US20070035031A1 - Sub-resolution assist feature to improve symmetry for contact hole lithography - Google Patents

Sub-resolution assist feature to improve symmetry for contact hole lithography Download PDF

Info

Publication number
US20070035031A1
US20070035031A1 US11/202,205 US20220505A US2007035031A1 US 20070035031 A1 US20070035031 A1 US 20070035031A1 US 20220505 A US20220505 A US 20220505A US 2007035031 A1 US2007035031 A1 US 2007035031A1
Authority
US
United States
Prior art keywords
mask
features
feature
substrate
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/202,205
Other versions
US7512928B2 (en
Inventor
Scott Jessen
Mark Terry
Robert Soper
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US11/202,205 priority Critical patent/US7512928B2/en
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TERRY, MARK, JESSEN, SCOTT WILLIAM, SOPER, ROBERT
Publication of US20070035031A1 publication Critical patent/US20070035031A1/en
Priority to US12/372,429 priority patent/US7987436B2/en
Application granted granted Critical
Publication of US7512928B2 publication Critical patent/US7512928B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A method of making a mask design having optical proximity correction features is provided. The method can include obtaining a target pattern comprising a plurality of target pattern features corresponding to a plurality of features to be imaged on a substrate. The method can also comprise generating a mask design comprising mask features corresponding to the plurality of features to be imaged on the substrate and controlling the aspect ratio of at least one of the features of the plurality of features to be imaged on the substrate by positioning a sub-resolution assist feature proximate to the corresponding mask feature.

Description

    DESCRIPTION OF THE INVENTION
  • 1. Field of the Invention
  • The subject matter of this application relates to photolithography. More particularly, the subject matter of this disclosure relates to photolithography methods and masks that use resolution enhancement techniques.
  • 2. Background of the Invention
  • Lithographic projection apparatus (tools) can be used, for example, in the manufacture of integrated circuits (ICs). When using the various tools, a mask can be used that contains a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g., comprising one or more dies) on a substrate, such as a silicon or other wafer comprising a semiconductor, that has been coated with a layer of radiation-sensitive material, such as a resist. In general, a single wafer may contain a network of adjacent target portions that can be successively irradiated using a projection system of the tool, one at a time. In one type of lithographic projection apparatus, each target portion is irradiated by exposing the entire mask pattern onto the target portion in one shot. In another apparatus, which is commonly referred to as a step-and-scan apparatus, each target portion is irradiated by progressively scanning the mask pattern under the projection beam in a given reference direction (the “scanning” direction) while synchronously scanning the substrate table parallel or anti-parallel to the scanning direction. Because the projection system typically has a magnification factor M, which is generally less than 1, the speed V at which the substrate table is scanned will be a factor M times that at which the mask table is scanned. More information with regard to lithographic apparatus can be found, for example, in U.S. Pat. No. 6,046,792, which is incorporated by reference herein in its entirety.
  • In a manufacturing process using a lithographic projection apparatus, a mask pattern can be imaged onto a substrate that is at least partially covered by a layer of resist. Prior to this imaging step, the substrate may undergo various procedures, such as, priming, resist coating, and a soft bake. After exposure, the substrate can be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake, and a measurement/inspection of the image features. This array of procedures can be used as a basis to pattern an individual layer of a device, such as an IC. Such a patterned layer may then undergo various processes, such as etching, ion-implantation, doping, metallization, oxidation, chemical mechanical polishing (CMP), etc., all intended to complete an individual layer. If several layers are required, then part of all of the procedure, or a variant thereof, may need to be repeated for each new layer. Eventually, an array of devices can be present on the substrate. These devices can then be separated from one another by a technique such as dicing or sawing. Thereafter, the individual devices can be mounted on a carrier, connected to pins, etc.
  • The lithographic tool may be of a type having two or more substrate tables (and/or two or more mask tables). In such “multiple stage” devices, the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • The photolithography masks referred to above comprise geometric patterns corresponding to the circuit components to be integrated onto a substrate. The patterns used to create such masks are typically generated using computer-aided design (CAD) programs, sometimes called electronic design automation (EDA). Most CAD programs follow a set a predetermined design rules in order to create functional masks. These rules are set by processing and design limitations. For example, design rules can define the space tolerance between circuit devices, such as gates, capacitors, etc., or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way.
  • One of the goals in IC fabrication is to faithfully reproduce the original circuit design on the wafer using the mask. Another goal is to use as much of the wafer real estate as possible. As the size of an IC is reduced and its density increases, however, the critical dimension (CD) of its corresponding mask pattern approaches the resolution limit of the optical exposure tool. The resolution for an exposure tool can be defined as the minimum feature sizes that the exposure tool can repeatedly expose on the wafer. The resolution value of present exposure tools often constrains the CD for many advanced IC designs.
  • Furthermore, the constant improvements in micro-processor speed, memory packing density, and low power consumption for micro-electronic components can be directly related to the ability of lithography techniques to transfer and form patterns onto the various layers of a semiconductor device. In order to keep pace with Moore's law and develop sub-wavelength resolution, it has become necessary to use a variety of resolution enhancement techniques (RET).
  • Historically, the Rayleigh criteria for resolution (R) and depth of focus (DOF) have been used to evaluate the performance of a given technology. The Rayleigh criteria has been defined by:
    R=k1λ/NA  (1)
    DOF=±k2λ/NA2  (2)
  • where k1 and k2 are process dependent factors, λis wavelength, and NA is numerical aperture. Depth of focus is one of the factors determining the resolution of the lithographic apparatus and is defined as the distance along the optical axis over which the image of the pattern is adequately sharp.
  • The control of the relative size of the illumination system numerical aperture (NA) has historically been used to optimize the resolution of a lithographic projection tool. Control of the NA with respect to the projection systems objective lens NA allows for modification of spatial coherence at the mask plane, commonly referred to as partial coherence σ. This can be accomplished through the specification of the condenser lens pupil in various illumination systems. Moreover, this can allow for manipulation of the optical processing of diffraction information. Optimization of the partial coherence of a projection imaging system can be accomplished using full circular illuminator apertures. By controlling the distribution of diffraction information in the objective lens with the illuminator pupil size, image modulation can be obtained. Illumination systems can be further refined by considering variations to full circular illumination apertures. A system where illumination is obliquely incident on the mask at an angle so that the zero and first diffraction orders are distributed on alternative sides of the optical axis may allow for improvements. Such an approach is generally referred to as off-axis illumination (OAI). OAI can improve resolution by illuminating the mask with radiation that is at an angle to the optical axis of the lens. The incidence of the radiation on the mask, which acts as a diffraction grating, can improve the contrast of the image by transmitting more of the diffracted orders through the lens. OAI techniques used with conventional masks can produce resolution enhancement effects similar to resolution enhancement effects obtained with phase shifted masks. Besides OAI, other RETs include optical proximity correction (OPC) of optical proximity errors (OPE), phase shifting masks (PSM), and sub-resolution assist features (SRAFs). Each technique may be used alone, or in combination with other techniques to enhance the resolution of the lithographic projection tool.
  • Printing mask features at near or below half of the optical exposure wavelength requires applying such resolution enhancement techniques, such as the various RETs. Problems remain, however. For example, the use of OAI can degrade the imaging of isolated geometries in the case of both dark-filed and bright-field mask types. As such, using OAI alone is unsuitable for printing random pitch features.
  • One such type of isolated geometry that cannot easily be printed using OAI is that of a contact hole. In the past, SRAFs have been used to improve the printing of isolated features, such as contact holes. For example, placing SRAFs adjacent to isolated features in a clear-field mask type, the isolated features can be made to behave as dense features, thereby achieving improved printing performance when exposed under OAI. However, the use of SRAFs has been limited to an attempt to improve the depth of focus of contacts. By focusing on dense feature performance only, one can optimize the illuminator to provide maximum DOF at a specific pitch. SRAF's can be inserted at larger pitches to improve the DOF dramatically by essentially changing the aerial image of the larger pitch feature to be similar to that of the optimal feature. More SRAFs can be inserted as the spacing allows so as to maximize the DOF of larger and larger pitch features. Moreover, the SRAFs that have been used in the past have been placed at a standard, equal position adjacent to the isolated features, i.e., each SRAF has been placed at the same distance to each isolated feature.
  • For example, FIG. 1A shows an exemplary mask layout according to prior techniques. As shown in FIG. 1A there are a plurality of contact hole patterns 10 a-10 d spaced away from each along the x-axis at a pitch Px1, and spaced away from each other along the y-axis at a pitch Py1. FIG. 1A also shows a plurality of contact hole patterns 12 a-12 b spaced away from patterns 10 b and 10 d along the x-axis at a pitch Px2 and away from each other along the y-axis at a pitch Py2, where Px1≠Px2; Py1≠Py2; Px1=Py1; and Px1≠Py2. Further, as shown in FIG. 1A, previous RET techniques place SRAFs (20) at the same distance (S1) from each mask feature.
  • One problem with the current SRAF approach of the past is that it forms asymmetric contact holes. For example, FIG. 1B shows a representation of the contact holes formed using the mask pattern shown in FIG. 1A. Mask features 10 a-10 d, which were equally spaced from each other with Px1=Py1, form contact holes 10 a′-10 d′ that are relatively symmetric, as shown in FIG. 1B. However, mask features 12 a and 12 b, which were spaced at various pitches from each other and from mask features 10 b and 10 d, form asymmetrical contact holes, as shown as items 12 a′ and 12 b ′ in FIG. 1B. The proximity effect of these configurations result in printed contact CDs which are too small to meet the printed contact (CT) design rules in the dense direction without violating a minimum mask spacing (allowed mask spacing due to the mask making process) in the dense direction. The small CD in the dense direction causes problem for subsequent processing steps in the manufacturing flow which cannot support this small dimension. To compensate for the small dense direction CD, the mask sizing in the opposite direction is increased until the dense direction sizing is achieved. The resulting printed CT suffers from printed CD asymmetry or delta. The larger CD direction may run the risk of violating design rules for layers immediately below and above the processing step in question.
  • Thus, there is a need to overcome these and other problems of the prior art to provide mask patters that can form symmetric contact holes and methods for their use.
  • SUMMARY OF THE INVENTION
  • In accordance with an embodiment of the invention, there is a method of making, a mask design having optical proximity correction features. The method can comprise obtaining a target pattern comprising a plurality of target pattern features corresponding to a plurality of features to be imaged on a substrate. The method can also comprise generating a mask design comprising mask features corresponding to the plurality of features to be imaged on the substrate and controlling the aspect ratio of at least one of the features of the plurality of features to be imaged on the substrate by positioning a sub-resolution assist feature proximate to the corresponding mask feature.
  • According to another embodiment of the invention, there is a mask for use in the manufacture of an integrated circuit device. The mask can comprise a transparent substrate having a plurality of mask features disposed thereon, where the plurality of mask features can comprise a first set of nearest neighbor mask features spaced substantially equidistant from each other along a first direction and a second set of nearest neighbor mask features spaced at nonequidistant distances from each other along the first direction. The mask can also comprise sub-resolution assist features spaced at various distances away from the plurality mask features, wherein the sub-resolution assist features are spaced away from the plurality of mask features as a function of the spacing between the nearest neighbor mask features.
  • According to another embodiment of the invention, there is a semiconductor device. The semiconductor device can comprise a first set of nearest neighbor contact holes formed in a substrate, wherein each of the contact holes in the first set are spaced substantially equidistant from each other along a first direction and a second set of nearest neighbor contact holes formed in the substrate, wherein each of the contact holes in the second set are spaced at nonequidistant distances from each other along the first direction. Moreover, each of the contact holes can be spaced from about 520 nm to about 800 nm from each other, and each of the contact holes can comprise a CDy-x of less than about 40 nm, where CDy is the critical dimension along a y axis and CDx is the critical dimension along an x axis.
  • According to another embodiment of the invention, there is an integrated circuit device formed according to a particular method. The method can comprise exposing a transparent substrate having a plurality of mask features disposed thereon to a light source. According to various embodiments, the plurality of mask features can comprise a first set of nearest neighbor mask features spaced substantially equidistant from each other along a first direction and a second set of nearest neighbor mask features spaced at different distances from each other along the first direction. The mask features can also comprise sub-resolution assist features spaced at various distances away from the plurality mask features, wherein the sub-resolution assist features are spaced away from the plurality of mask features as a function of the spacing between the nearest neighbor mask features.
  • According to another embodiment of the invention, there is a computer readable medium containing program code that configures a processor to perform a method for forming a mask design having optical proximity correction features. The computer readable medium can comprise program code for obtaining a target pattern comprising a plurality of target pattern features corresponding to a plurality of features to be imaged on a substrate, program code for generating a mask design comprising mask features corresponding to the plurality of features to be imaged on the substrate, and program code for controlling the aspect ratio of at least one of the features to be imaged on the substrate by positioning a sub-resolution assist feature proximate to the corresponding mask feature.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed.
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and together with the description, serve to explain the principles of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A depicts an exemplary mask layout according to prior techniques.
  • FIG. 1B depicts features formed using the mask pattern shown in FIG. 1A.
  • FIG. 2A depicts an exemplary mask layout according to various embodiments of the disclosure.
  • FIG. 2B depicts features formed using the mask pattern shown in FIG. 2A.
  • FIG. 3 is a graph of the effect of SRAF placement on printed contact hole CDy-x according to various embodiments of the disclosure.
  • DESCRIPTION OF THE EMBODIMENTS
  • Reference will now be made in detail to the present exemplary embodiments of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts.
  • Although reference is made herein to the use of the invention in the manufacture of ICs, it is to be understood that the invention has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid crystal display panels, thin-film magnetic heads, etc. Further, one of ordinary skill in the art will appreciate that, in the context of such alternative applications, any use of the term “reticle”, “wafer”, or “die” in this text should be considered as being replaced by the more general terms “mask”, “substrate”, and “target portion”, respectively.
  • In the present disclosure, the term “radiation” is used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g., with a wavelength of, for example, 365, 248, 193, 157, or 126 nm and extreme ultraviolet radiation (e.g., with a wavelength of, for example in the range of 5 to 20 nm). The term “beam” is used to encompass various types of beams including beams of radiation and electrons.
  • As used herein, the term “equidistant” is understood to mean spaced at a substantially equal distant along a particular direction. Further, “nonequidistant” is understood to mean spaced at different distances, or not equidistant.
  • The term mask, as used herein, can be broadly interpreted as referring to generic pattern means that can be used to endow an incoming beam with a patterned cross-section, corresponding to a target pattern that is to be created in a target portion of the substrate.
  • As used herein the term sub-resolution assist feature (SRAF) is understood to be features that may be too narrow to be resolved by the optical lithographic system. SRAFs can be added to any side of a mask pattern to improve the sharpness of the mask pattern. One effect of SRAFs is to allow semi-isolated and isolated patterns to behave more like nested patterns (patterns in close proximity to each other).
  • As used herein, the term “nearest neighbor” is generally understood to mean the closest feature to a main feature along a predetermined direction.
  • A few examples of using the method of the present invention to print contact holes are set forth herein. It should be noted, however, that the present invention is not limited to printing contact holes. Indeed, it can be used to print various mask patterns, also called mask features or mask designs.
  • As used herein, the term critical dimension (CD) is understood to mean the minimum dimension needed to print
  • FIG. 2A depicts a portion of an exemplary mask pattern according to various embodiments of the invention. As shown in FIG. 2A, there are a plurality of mask features 10 a-10 d and 12 a-12 b that can be used to pattern contact holes on a substrate. In the exemplary target pattern shown in FIG. 2A, some of the mask features can be considered to be arranged in an array. Further, some of the mask features shown in FIG. 2A are regularly spaced, and are equidistant from each other while other mask features are spaced at different distances, or nonequidistant. For example, mask features 10 a and 10 b and mask features 10 c and 10 d are spaced equidistant from each other at a distance (x1), respectively, and at a pitch Px1 along a first direction, such as the x-axis. Mask features 10 a and 10 c and mask features 10 b and 10 d are spaced equidistant from each other at a distance (y1), respectively, and at a pitch Py1 along a second direction, such as the y-axis. In contrast, mask features 12 a and 12 b are spaced at a distances of (x2) from mask features 10 b and 10 d, respectively, and at a pitch Px2 along the x-axis. In this example, mask feature 12 a is spaced from mask feature 12 b at a distance Of (y2) and at a pitch Py2 along the y-axis. In this case, and (xi) ≠(x2) and (y1)≠(y2), and thus, some mask features can be considered to be spaced at nonequidistant distances from each other. Moreover, in this example, Px1=Py1; Px1≠Px2; Px1≠Py2; and Py1≠Py2.
  • FIG. 2A also depicts a plurality of SRAF features 22, 23, 24, 26, and 28 positioned adjacent to a mask feature. According to various embodiments, some SRAFs shown in FIG. 2A are regularly spaced, or equidistant from a corresponding mask feature while other SRAFs are spaced at different distances, or nonequidistant. For example, SRAFs 22, which are proximate to regularly spaced mask features 10 a-10 d, are each positioned equidistant, and adjacent to the mask features 10 a-10 d at the same spacing, for example S1. However, there are SRAFs spaced from mask features 10 b and 10 d at a spacing of S3 and SRAFs 23 are spaced from mask features 12 a and 12 b at a spacing of S2. In this example, S1≠S2≠S3. SRAFs spaced at the same distance from the mask feature can be considered spaced equidistant, examples of which are SRAFs 22 spaced from mask features 10 a-10 d. In contrast, SRAFs spaced at different distances from the mask features can be considered spaced nonequidistant, such as how SRAFs 23, 24, 26, and 28, which are spaced from mask features 10 b, 10 d, 12 a, and 12 b. As will be understood, the arrangements shown in FIG. 2A are exemplary only.
  • According to various embodiments, the various mask features and SRAFs can be arranged in any configuration. What can be understood, however, is that the SRAF spacing from the mask features will vary depending on the proximity of a one mask feature to another mask feature.
  • According to various embodiments, by varying the spacing of the SRAFs from the mask features, the aspect ratio of the printed pattern can be controlled. For example, the SRAF spacing changes the aerial image of the feature in question in such a way as to allow the feature to print symmetrically.
  • For example, the SRAFs can be placed adjacent to the mask feature based on various' relationships. According to an embodiment of the invention, an SRAF can be placed adjacent to the mask feature based on CDx/CDy. According to various embodiments, varying the SRAF spacing can provide printed features, such as a contact hole, that have substantially symmetric aspect ratios. For example, using embodiments described herein can provide printed features that have an aspect ratio from about 1.0 to about 1.5. In still further embodiments, the aspect ratio can be from about 1.0 to about 1.3, and in other embodiments, the aspect ratio can be from 1.0 to about 1.2.
  • Alternatively, other functional relationships can be determined that will permit symmetrical contacts to be printed on the substrate. For example, SRAF spacings may be adjusted according to a model based environment where the optimal placement of the SRAF can be determined by an aerial image analysis. This analysis can involve the predicted CD in y and x directions.
  • Moreover, according to various embodiments, a look-up table can be generated to provide guidance as to where to position SRAFs with respect to mask features so as to provide a predetermined aspect ratio for a printed pattern. For example, the look-up table can include information that relates the spacing of an SRAF from a mask feature that has a particular pitch to the resulting aspect ratio or CDy-x of the printed image. CDy-x is understood to be the difference in the printed critical dimension along a y axis (CDy) and the critical dimension along an x axis (CDx)). For example a printed contact having the dimensions of 120 nm along an x axis and 145 nm along a y axis has a CDy-x of 25 nm.
  • According to various embodiments, the lookup table can be generated by empirical analysis or by well anchored simulations of printed wafer CD's as a function of SRAF spacing. Program code can then be generated that can apply the rules of the lookup table to a given geometry in the drawn database layout.
  • FIG. 3 shows result of an exemplary simulation of the effects of SRAF placement with respect to a mask feature on a mask on the CDy-x of printed images. A complementary plot of FIG. 3 can be generated, however, to show the effects that SRAF placement, with respect to a mask feature on a mask, has on the aspect ratio of printed images.
  • FIG. 3 plots SRAF spacing in nm versus mask feature pitch along a given direction (the y direction). As can be seen, by varying the spacing of the SRAF from a mask feature, the CDy-x can be controlled to allow symmetric contact hole printing.
  • For example, the combination of SRAF spacing away from a mask feature and mask feature pitch that conforms to the parameters contained in the region labeled 310 results in printed features having a CDy-x of from about 0 nm to about 10 nm. Similarly, the combination of SRAF spacing away from a mask feature and mask feature pitch that conforms to the parameters contained in the region labeled 315 results in printed features having a CDy-x of from about 10 nm to about 20 nm. The combination of SRAF spacing away from a mask feature and mask feature pitch that conforms to the parameters contained in the region labeled 320 results in printed features having a CDy-x of from about 20 nm to about 30 nm. And the combination of SRAF spacing away from a mask feature and mask feature pitch that conforms to the parameters contained in the regions labeled 325, 330, 335, 340, 345, 350, 355, and 360 result in printed features having CDy-x of about 30 nm to about 40 nm; of about 40 nm to about 50 nm; of about 50 nm to about 60 nm; of about 60 nm to about 70 nm; of about 70 nm to about 80 nm; of about 80 nm to about 90 nm; and of about 90 nm to about 100 nm, respectively.
  • It is to be noted, however, that other combinations of SRAF spacing and mask feature pitch along a given direction can be determined that can yield acceptable CDy-x and aspect ratios of printed features. These different combinations may be a result of different radiation sources, beams, lenses, or other variations in the lithography tool. According to various embodiments, however, the CDy-x or aspect ratio of a printed feature can be controlled by adjusting the SRAF spacing adjacent a mask feature based on the pitch of the feature.
  • A mask that conforms to various embodiments disclosed herein can be used to fabricate an IC, such as a semiconductor device, that has a plurality of contact holes with an aspect ratio from about 1.0 to about 1.5. For example, the semiconductor device can include a first set of nearest neighbor contact holes formed in a substrate with each of the contact holes in the first set being spaced substantially equidistant from each other along a first direction, such as an x-axis. The semiconductor device can also include a second set of nearest neighbor contact holes formed in the substrate with each contact hole in the second set being spaced at nonequidistant distances from each other along the first direction. In an exemplary embodiment where the mask used conforms to the relationships defined in FIG. 3, each of the contact holes can be spaced from about 100 nm to about 300 nm from each other.
  • According to various embodiments, the IC can be formed by exposing a mask as described herein to a source of radiation or beam. According to an embodiment, the second set of nearest neighbor mask features, as described above, can be spaced along the first direction at a distance greater than or equal to a critical distance (CDx),.
  • According to still further embodiments, a computer readable medium can be provided that configures a processor to perform a method for forming a mask having OPC. The computer readable medium can include program code for obtaining and storing a target patter that includes a plurality of target pattern features corresponding to a plurality of features to be imaged on a substrate. The target pattern can be obtained directly from a user or can be generated from a computer aided design (CAD) program. The computer readable medium can also include program code for generating a mask design that includes mask features corresponding to the plurality of features to be imaged on the substrate. The computer readable medium can control the aspect ratio of the at least one of the features formed on the substrate by controlling the positioning of an SRAF to be placed adjacent to the corresponding mask feature.
  • Other embodiments of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims.

Claims (17)

1. A method of making a mask design having optical proximity correction features, comprising:
obtaining a target pattern comprising a plurality of target pattern features corresponding to a plurality of features to be imaged on a substrate;
generating a mask design comprising mask features corresponding to the plurality of features to be imaged on the substrate; and
controlling the aspect ratio of at least one of the features of the plurality of features to be imaged on the substrate by positioning a sub-resolution assist feature proximate to the corresponding mask feature.
2. The method of making a mask design according to claim 1, wherein a first mask feature and a second mask feature are spaced along a first direction by a pitch (Px1), and wherein the sub-resolution assist feature corresponding to the first mask feature is spaced from the first mask feature by a distance (X1), wherein (X1) is determined based on (Px1).
3. The method of making a mask design according to claim 2, wherein the second mask feature is spaced from a third mask feature along the first direction by a pitch (Px2), and wherein the sub-resolution assist feature corresponding to the third mask feature is spaced from the third mask feature by a distance (x2), such that (x2) approximately equals (x1) when (Px2) approximately equals (Px1), and (x2) is different from (X1) when (Px2) is different than (Px1).
4. The method of making a mask design according to claim 1, wherein the plurality of target pattern features are arranged in an array, and wherein a first set of target pattern features in the array are spaced substantially equidistant from each other along a first direction, and wherein a second set of target pattern features are spaced at nonequidistant distances from each other along the first direction, and further wherein the sub-resolution assist features used to control the aspect ratio of the features to be imaged on the substrate of the first set of target pattern features are separated from the mask features corresponding to the first set of target pattern features by a distance (x1), and wherein the sub-resolution assist features used to control the aspect ratio of the features to be imaged on the substrate of the second set of target pattern features are separated from the mask features corresponding to the second set of target pattern features by a distance (x2), wherein (x1) is different than (x2).
5. The method of making a mask design according to claim 1, further comprising:
determining a relationship between
(i) a pitch of a first mask feature and a second mask feature, and
(ii) a spacing of the sub-resolution assist features and the corresponding first mask feature and the second mask features,
wherein the relationship provides an indication of the aspect ratio of the features imaged on the substrate corresponding to the first mask feature and the second mask feature.
6. The method of making a mask design according to claim 1, wherein the sub-resolution assist features are positioned proximate to the corresponding mask feature based on a relationship between a critical dimension (CDx) of features along a first direction and a critical dimension (CDy) of features along a second direction.
7. The method of making a mask design according to claim 1, wherein the aspect ratio of the at least one of the feature on the substrate is from about 1.0 to about 1.5.
8. The method of making a mask design according to claim 1 further comprising:
referencing a look-up table to determine where to position the sub-resolution assist feature proximate to the corresponding mask feature, wherein the look-up table comprises information relating sub-resolution assist feature spacing and-pitch of the mask features to the aspect ratio of the features to be imaged on the substrate.
9. A mask for use in the manufacture of an integrated circuit device, the mask comprising:
a transparent substrate having a plurality of mask features disposed thereon, the plurality of mask features comprising,
a first set of nearest neighbor mask features spaced substantially equidistant from each other along a first direction, and
a second set of nearest neighbor mask features spaced at nonequidistant distances from each other along the first direction; and
sub-resolution assist features spaced at various distances away from the plurality mask features, wherein the sub-resolution assist features are spaced away from the plurality of mask features as a function of the spacing between the nearest neighbor mask features.
10. The mask for use in the manufacture of an integrated circuit device according to claim 9, wherein the sub-resolution assist features are spaced an equal distance from each of the mask features in the first set, and wherein the sub-resolution assist features are spaced at nonequidistant distances away from each of the mask features in the second set.
11. The mask for use in the manufacture of an integrated circuit device according to claim 9, wherein a CDy-x of a feature imaged on a substrate by exposure of a corresponding mask feature is controlled by the spacing of the sub-resolution assist feature away from the corresponding mask feature.
12. A semiconductor device comprising:
a first set of nearest neighbor contact holes formed in a substrate, wherein each of the contact holes in the first set are spaced substantially equidistant from each other along a first direction;
a second set of nearest neighbor contact holes formed in the substrate, wherein each of the contact holes in the second set are spaced at nonequidistant distances from each other along the first direction;
wherein each of the contact holes are spaced from about 520 nm to about 800 nm from each other, and wherein each of the contact holes comprises a CDy-x of less than about 40 nm, where CDy is the critical dimension along a y axis and CDx is the critical dimension along an x axis.
13. An integrated circuit device formed according to the method comprising:
exposing a transparent substrate having a plurality of mask features disposed thereon to a light source, the plurality of mask features comprising,
a first set of nearest neighbor mask features spaced substantially equidistant from each other along a first direction, and
a second set of nearest neighbor mask features spaced at different distances from each other along the first direction; and
sub-resolution assist features spaced at various distances away from the plurality mask features, wherein the sub-resolution assist features are spaced away from the plurality of mask features as a function of the spacing between the nearest neighbor mask features.
14. The integrated circuit device formed according to the method of claim 13, wherein an aspect ratio of a feature imaged on a substrate by exposing a corresponding mask feature is controlled by the spacing of the sub-resolution assist feature away from the corresponding mask feature.
15. The integrated circuit device formed according to the method of claim 14, wherein the aspect ratio of the feature imaged on the substrate is from about 1.0 to about 1.5.
16. A computer readable medium containing program code that configures a processor to perform a method for forming a mask design having optical proximity correction features, comprising:
program code for obtaining a target pattern comprising a plurality of target pattern features corresponding to a plurality of features to be imaged on a substrate;
program code for generating a mask design comprising mask features corresponding to the plurality of features to be imaged on the substrate; and
program code for controlling the aspect ratio of at least one of the features to be imaged on the substrate by positioning a sub-resolution assist feature proximate to the corresponding mask feature.
17. The computer readable medium according to claim 16, wherein the program code for controlling the aspect ratio further comprises a look-up table relating sub-resolution assist feature spacing and pitch of the mask design to the aspect ratio of the features to be imaged on the substrate.
US11/202,205 2005-08-12 2005-08-12 Sub-resolution assist feature to improve symmetry for contact hole lithography Active 2027-04-06 US7512928B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/202,205 US7512928B2 (en) 2005-08-12 2005-08-12 Sub-resolution assist feature to improve symmetry for contact hole lithography
US12/372,429 US7987436B2 (en) 2005-08-12 2009-02-17 Sub-resolution assist feature to improve symmetry for contact hole lithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/202,205 US7512928B2 (en) 2005-08-12 2005-08-12 Sub-resolution assist feature to improve symmetry for contact hole lithography

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/372,429 Division US7987436B2 (en) 2005-08-12 2009-02-17 Sub-resolution assist feature to improve symmetry for contact hole lithography

Publications (2)

Publication Number Publication Date
US20070035031A1 true US20070035031A1 (en) 2007-02-15
US7512928B2 US7512928B2 (en) 2009-03-31

Family

ID=37741864

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/202,205 Active 2027-04-06 US7512928B2 (en) 2005-08-12 2005-08-12 Sub-resolution assist feature to improve symmetry for contact hole lithography
US12/372,429 Expired - Fee Related US7987436B2 (en) 2005-08-12 2009-02-17 Sub-resolution assist feature to improve symmetry for contact hole lithography

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/372,429 Expired - Fee Related US7987436B2 (en) 2005-08-12 2009-02-17 Sub-resolution assist feature to improve symmetry for contact hole lithography

Country Status (1)

Country Link
US (2) US7512928B2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070160914A1 (en) * 2006-01-06 2007-07-12 Chao-Lung Lo Anti-aberration pattern and method for manufacturing the same
US20090258302A1 (en) * 2008-04-10 2009-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Sub-resolution assist feature of a photomask
US20090317749A1 (en) * 2008-06-18 2009-12-24 Hynix Semiconductor Inc. Method for forming patterns of semiconductor device by using mixed assist feature system
US20110177670A1 (en) * 2010-01-20 2011-07-21 International Business Machines Corporaton Through silicon via lithographic alignment and registration
TWI447516B (en) * 2008-03-21 2014-08-01 Renesas Electronics Corp A mask, a manufacturing apparatus for a semiconductor device including the same, and a manufacturing method of a semiconductor device using the same
CN104570588A (en) * 2013-10-12 2015-04-29 北京京东方光电科技有限公司 Mask plate
CN104656003A (en) * 2013-11-22 2015-05-27 英业达科技有限公司 System and method for configuring signal circuit board and test circuit board
CN105467746A (en) * 2014-09-12 2016-04-06 上海华虹宏力半导体制造有限公司 Layout processing method in early OPC
USD776664S1 (en) * 2015-05-20 2017-01-17 Chaya Coleena Hendrick Smart card
US20170039311A1 (en) * 2015-08-05 2017-02-09 United Microelectronics Corp. Semiconductor layout structure and designing method thereof
USD780184S1 (en) * 2013-03-13 2017-02-28 Nagrastar Llc Smart card interface
USD780763S1 (en) * 2015-03-20 2017-03-07 Nagrastar Llc Smart card interface
USD792411S1 (en) * 2013-03-13 2017-07-18 Nagrastar Llc Smart card interface
CN107065430A (en) * 2017-03-10 2017-08-18 上海集成电路研发中心有限公司 A kind of rule-based Sub-resolution assist features adding method
USD840404S1 (en) 2013-03-13 2019-02-12 Nagrastar, Llc Smart card interface
CN110187600A (en) * 2019-06-03 2019-08-30 中国科学院微电子研究所 A method of SRAF is added according to rule
USD864968S1 (en) 2015-04-30 2019-10-29 Echostar Technologies L.L.C. Smart card interface
US20210240907A1 (en) * 2013-08-01 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus for Integrated Circuit Mask Patterning
US11624977B2 (en) * 2020-01-09 2023-04-11 Semiconductor Manufacturing International (Beijing) Corporation Correction method of mask layout and mask containing corrected layout
US11635680B2 (en) 2020-08-14 2023-04-25 Changxin Memory Technologies, Inc. Overlay pattern

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7840932B2 (en) * 2007-05-10 2010-11-23 Texas Instruments Incorporated Defocus determination method using sub-resolution feature (SRF) printing
JP5607308B2 (en) * 2009-01-09 2014-10-15 キヤノン株式会社 Original data generation program and method
JP5607348B2 (en) * 2009-01-19 2014-10-15 キヤノン株式会社 Method and program for generating original data, and original production method
KR101113326B1 (en) * 2009-07-01 2012-03-13 주식회사 하이닉스반도체 Method of fabricating assist feature in photomask
US8250498B2 (en) * 2010-01-28 2012-08-21 Synopsys, Inc. Method and apparatus for calibrating a photolithography process model by using a process window parameter
US8336003B2 (en) * 2010-02-19 2012-12-18 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
TWI421627B (en) * 2011-08-31 2014-01-01 Winbond Electronics Corp Optical proximity correction photomask
US8739078B2 (en) 2012-01-18 2014-05-27 International Business Machines Corporation Near-neighbor trimming of dummy fill shapes with built-in optical proximity corrections for semiconductor applications
US9466100B2 (en) * 2012-06-06 2016-10-11 Kla-Tencor Corporation Focus monitoring method using asymmetry embedded imaging target
US9140976B2 (en) * 2012-09-14 2015-09-22 Macronix International Co., Ltd. Mask design with optically isolated via and proximity correction features
US8612904B1 (en) 2012-11-21 2013-12-17 Global Foundries Inc. Use of polarization and composite illumination source for advanced optical lithography
US10418245B2 (en) 2017-07-31 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrated circuit manufacturing with directed self-assembly (DSA)
CN114077170B (en) * 2020-08-14 2022-11-18 长鑫存储技术有限公司 Alignment pattern

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046792A (en) * 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6627361B2 (en) * 2001-07-09 2003-09-30 International Business Machines Corporation Assist features for contact hole mask patterns
US20040156029A1 (en) * 2003-02-11 2004-08-12 Hansen Steven George Method for optimizing an illumination source using full resist simulation and process window response metric
US6777146B1 (en) * 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
US20040209170A1 (en) * 2003-01-14 2004-10-21 Broeke Douglas Van Den Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US20040248016A1 (en) * 2003-06-06 2004-12-09 Lucas Kevin D. Method of designing a reticle and forming a semiconductor device therewith
US6887625B2 (en) * 2000-07-21 2005-05-03 Asml Netherlands B.V. Assist features for use in lithographic projection
US20060040189A1 (en) * 2004-08-20 2006-02-23 Yang Chin C Advanced oriented assist features for integrated circuit hole patterns
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3275863B2 (en) * 1999-01-08 2002-04-22 日本電気株式会社 Photo mask
US6396569B2 (en) * 1999-09-02 2002-05-28 Texas Instruments Incorporated Image displacement test reticle for measuring aberration characteristics of projection optics
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
KR100564597B1 (en) * 2003-12-20 2006-03-28 삼성전자주식회사 Photomask and fabricating method the same
US7562333B2 (en) * 2004-12-23 2009-07-14 Texas Instruments Incorporated Method and process for generating an optical proximity correction model based on layout density

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046792A (en) * 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6887625B2 (en) * 2000-07-21 2005-05-03 Asml Netherlands B.V. Assist features for use in lithographic projection
US6627361B2 (en) * 2001-07-09 2003-09-30 International Business Machines Corporation Assist features for contact hole mask patterns
US20040209170A1 (en) * 2003-01-14 2004-10-21 Broeke Douglas Van Den Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US20040156029A1 (en) * 2003-02-11 2004-08-12 Hansen Steven George Method for optimizing an illumination source using full resist simulation and process window response metric
US6777146B1 (en) * 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
US20040248016A1 (en) * 2003-06-06 2004-12-09 Lucas Kevin D. Method of designing a reticle and forming a semiconductor device therewith
US20060040189A1 (en) * 2004-08-20 2006-02-23 Yang Chin C Advanced oriented assist features for integrated circuit hole patterns
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070160914A1 (en) * 2006-01-06 2007-07-12 Chao-Lung Lo Anti-aberration pattern and method for manufacturing the same
US7682756B2 (en) * 2006-01-06 2010-03-23 Macronix International Co., Ltd. Anti-aberration pattern and method for manufacturing the same
TWI447516B (en) * 2008-03-21 2014-08-01 Renesas Electronics Corp A mask, a manufacturing apparatus for a semiconductor device including the same, and a manufacturing method of a semiconductor device using the same
US20090258302A1 (en) * 2008-04-10 2009-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Sub-resolution assist feature of a photomask
US8679729B2 (en) 2008-06-18 2014-03-25 SK Hynix Inc. Method for forming patterns of semiconductor device by using mixed assist feature system
US9040228B2 (en) 2008-06-18 2015-05-26 SK Hynix Inc. Method for forming patterns of semiconductor device by using mixed assist feature system
US20090317749A1 (en) * 2008-06-18 2009-12-24 Hynix Semiconductor Inc. Method for forming patterns of semiconductor device by using mixed assist feature system
US8039356B2 (en) 2010-01-20 2011-10-18 International Business Machines Corporation Through silicon via lithographic alignment and registration
US20110177670A1 (en) * 2010-01-20 2011-07-21 International Business Machines Corporaton Through silicon via lithographic alignment and registration
USD780184S1 (en) * 2013-03-13 2017-02-28 Nagrastar Llc Smart card interface
USD792411S1 (en) * 2013-03-13 2017-07-18 Nagrastar Llc Smart card interface
USD840404S1 (en) 2013-03-13 2019-02-12 Nagrastar, Llc Smart card interface
USD792410S1 (en) * 2013-03-13 2017-07-18 Nagrastar Llc Smart card interface
USD949864S1 (en) * 2013-03-13 2022-04-26 Nagrastar Llc Smart card interface
US11748549B2 (en) * 2013-08-01 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit mask patterning
US20210240907A1 (en) * 2013-08-01 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus for Integrated Circuit Mask Patterning
CN104570588A (en) * 2013-10-12 2015-04-29 北京京东方光电科技有限公司 Mask plate
CN104656003A (en) * 2013-11-22 2015-05-27 英业达科技有限公司 System and method for configuring signal circuit board and test circuit board
CN105467746A (en) * 2014-09-12 2016-04-06 上海华虹宏力半导体制造有限公司 Layout processing method in early OPC
USD780763S1 (en) * 2015-03-20 2017-03-07 Nagrastar Llc Smart card interface
USD864968S1 (en) 2015-04-30 2019-10-29 Echostar Technologies L.L.C. Smart card interface
USD791772S1 (en) * 2015-05-20 2017-07-11 Chaya Coleena Hendrick Smart card with a fingerprint sensor
USD776664S1 (en) * 2015-05-20 2017-01-17 Chaya Coleena Hendrick Smart card
US9898569B2 (en) * 2015-08-05 2018-02-20 United Microelectronics Corp. Semiconductor layout structure and designing method thereof
TWI695283B (en) * 2015-08-05 2020-06-01 聯華電子股份有限公司 Semiconductor layout structure and designing method thereof
CN112908989A (en) * 2015-08-05 2021-06-04 联华电子股份有限公司 Semiconductor layout structure and design method thereof
CN106449628A (en) * 2015-08-05 2017-02-22 联华电子股份有限公司 Semiconductor layout structure and design method thereof
US20170039311A1 (en) * 2015-08-05 2017-02-09 United Microelectronics Corp. Semiconductor layout structure and designing method thereof
CN107065430A (en) * 2017-03-10 2017-08-18 上海集成电路研发中心有限公司 A kind of rule-based Sub-resolution assist features adding method
CN110187600A (en) * 2019-06-03 2019-08-30 中国科学院微电子研究所 A method of SRAF is added according to rule
US11624977B2 (en) * 2020-01-09 2023-04-11 Semiconductor Manufacturing International (Beijing) Corporation Correction method of mask layout and mask containing corrected layout
US11635680B2 (en) 2020-08-14 2023-04-25 Changxin Memory Technologies, Inc. Overlay pattern

Also Published As

Publication number Publication date
US7512928B2 (en) 2009-03-31
US7987436B2 (en) 2011-07-26
US20090146259A1 (en) 2009-06-11

Similar Documents

Publication Publication Date Title
US7512928B2 (en) Sub-resolution assist feature to improve symmetry for contact hole lithography
JP3645242B2 (en) Method and apparatus for generating masks used in connection with dipole illumination technology
US7620930B2 (en) Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
JP4602962B2 (en) Method, program product and apparatus for model-based geometry decomposition used in multiple exposure processes
US7681171B2 (en) Method, program product and apparatus for performing double exposure lithography
JP5658317B2 (en) Method for performing model-based lithography-guided layout design
US8543947B2 (en) Selection of optimum patterns in a design layout based on diffraction signature analysis
JP4267245B2 (en) Optical proximity correction method using ruled line ladder bar as auxiliary feature with resolution
US7211815B2 (en) Method of achieving CD linearity control for full-chip CPL manufacturing
KR100583697B1 (en) Automatic Optical Proximity CorrectionOPC Rule Generation
JP5121117B2 (en) Method and program for optimizing intensity profiles
US6934007B2 (en) Method for photolithography using multiple illuminations and a single fine feature mask
KR20050025095A (en) Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
TW200527120A (en) A method for performing transmission tuning of a mask pattern to improve process latitude
JP2006527398A (en) Method of designing a reticle and manufacturing a semiconductor element with a reticle
US7745067B2 (en) Method for performing place-and-route of contacts and vias in technologies with forbidden pitch requirements
US7614034B2 (en) Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology
US20070082425A1 (en) Using a center pole illumination scheme to improve symmetry for contact hole lithography
KR20120065886A (en) Mask of semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JESSEN, SCOTT WILLIAM;TERRY, MARK;SOPER, ROBERT;REEL/FRAME:016888/0995;SIGNING DATES FROM 20050719 TO 20050804

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12