WO2007040704A1 - Method for integrating a ruthenium layer with bulk copper in copper metallization - Google Patents

Method for integrating a ruthenium layer with bulk copper in copper metallization Download PDF

Info

Publication number
WO2007040704A1
WO2007040704A1 PCT/US2006/026688 US2006026688W WO2007040704A1 WO 2007040704 A1 WO2007040704 A1 WO 2007040704A1 US 2006026688 W US2006026688 W US 2006026688W WO 2007040704 A1 WO2007040704 A1 WO 2007040704A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
gas
substrate
depositing
ruthenium
Prior art date
Application number
PCT/US2006/026688
Other languages
French (fr)
Inventor
Kenji Suzuki
Original Assignee
Tokyo Electron Limited
Tokyo Electron America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron America, Inc. filed Critical Tokyo Electron Limited
Publication of WO2007040704A1 publication Critical patent/WO2007040704A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating

Definitions

  • the present invention relates to semiconductor processing, and more particularly, to a method for integrating a ruthenium layer with bulk copper in copper metallization.
  • Cu metal in multilayer metallization schemes for manufacturing integrated circuits requires the use of a diffusion barrier layer to promote adhesion and growth of the Cu layers and to prevent diffusion of Cu into the dielectric materials
  • Barrier layers that are deposited onto dielectric materials can include refractive materials, such as tungsten (W), molybdenum (Mo), and tantalum (Ta or TaN), that are non-reactive and immiscible in Cu, and can offer low electrical resistivity.
  • ruthenium (Ru) metal has been identified as a potential barrier layer for copper metallization since it is expected to behave similarly to the above-mentioned refractory metals. Furthermore, it is possible that a single Ru layer can replace current barrier layers, including TaN/Ta bilayers.
  • a bulk Cu deposition can be performed by direct Cu plating onto a Ru layer without depositing a Cu seed layer onto the Ru layer.
  • the as-deposited Ru layer may contain contaminants, for example due to by-products from the Ru deposition process that may become incorporated into the Ru layer, that can result in unacceptable properties for the Ru layer when it is integrated into Cu metallization schemes.
  • These properties include insufficient resistance to Cu diffusion through the Ru layer, poor Cu plating uniformity over the whole substrate (e.g., 200mm, 300mm, or even larger wafers) that subsequently can lead to problems during planarization of the substrate by chemical mechanical polishing (CMP), weak adhesion between the bulk Cu layer and the Ru layer that can result in electro-migration (EM) and stress-migration (SM) problems, as well as reduced device production yields.
  • CMP chemical mechanical polishing
  • EM electro-migration
  • SM stress-migration
  • a method for integrating a Ru layer with bulk Cu in semiconductor manufacturing includes depositing a Ru layer onto a substrate in a chemical vapor deposition process, modifying the deposited Ru layer by oxidation, or nitridation, or a combination thereof, depositing an ultra thin Cu layer onto the modified Ru layer, and plating a bulk Cu layer on the ultra thin Cu layer.
  • FIG. 1 depicts a schematic view of a deposition system according to an embodiment of the invention
  • FIG. 2 depicts a schematic view of a deposition system according to another embodiment of the invention.
  • FIG. 3 depicts a schematic view of a processing tool for processing a substrate according to embodiments of the invention
  • FIG. 4A is a flowchart for processing a substrate according to an embodiment of the invention
  • FIG. 4B is a flowchart for processing a substrate to another embodiment of the invention.
  • FIGS. 5A - 5E schematically show formation of a plated Cu layer onto a treated Ru layer according to an embodiment of the invention
  • FIGS. 6A - 6D schematically show formation of a plated Cu layer onto a patterned structure according to an embodiment of the invention.
  • Embodiments of the invention provide a method for integrating a Ru layer with bulk Cu in semiconductor manufacturing.
  • Embodiments of the invention can reduce or eliminate problems with Ru layers in Cu interconnect metallization schemes associated with insufficient resistance to Cu diffusion through the Ru layer and poor Cu plating uniformity over the whole substrate.
  • embodiments of the invention can improve adhesion between the plated bulk Cu layer and the underlying Ru layer, reduce electro-migration (EM) and stress-migration (SM) problems, and improve device production yields.
  • EM electro-migration
  • SM stress-migration
  • a Ru layer can be deposited on the substrate in a chemical vapor deposition process by exposing the substrate to a ruthenium carbonyl precursor or a ruthenium organometallic precursor, but this is not required for the invention as other ruthenium-containing precursors capable of forming a Ru metal layer suitable for use as a layer for Cu metallization may be utilized.
  • the ruthenium-containing precursor can be a ruthenium carbonyl precursor such as Ru 3 (CO)- I2 .
  • the ruthenium-containing precursor can be a ruthenium organometallic precursor such as (2 ,4-dimethyl pentad ienyl) (ethylcyclopentadienyl) ruthenium (Ru(DMPD)(EtCp)), bis(2,4-dimethylpentadienyl) ruthenium (Ru(DMPD) 2 ), or (2,4-dimethylpentadienyl) (methylcyclopentadienyl) ruthenium.
  • organometallic precursors are not required for the invention, as other ruthenium organometallic precursors may be used, including the liquid precursor bis(ethylcyclopentadienyl) ruthenium (Ru(EtCp) 2 ), as well as combinations of these and other precursors.
  • ruthenium organometallic precursors including the liquid precursor bis(ethylcyclopentadienyl) ruthenium (Ru(EtCp) 2 ), as well as combinations of these and other precursors.
  • FIG. 1 illustrates a deposition system 1 for depositing a Ru metal layer on a substrate from a ruthenium-containing precursor according to one embodiment of the invention.
  • the following sections describe the use of a ruthenium carbonyl precursor, Ru 3 (CO)i 2 , however as described above, other ruthenium carbonyl precursors and ruthenium organometallic precursors may be used without departing from the scope of the invention.
  • the deposition system 1 comprises a process chamber 10 having a substrate holder 20 configured to support a substrate 25 upon which the ruthenium metal layer is formed.
  • the process chamber 10 is coupled to a metal precursor vaporization system 50 via a vapor precursor delivery system 40.
  • the process chamber 10 is further coupled to a vacuum pumping system 38 through a duct 36, wherein the pumping system 38 is configured to evacuate the process chamber 10, vapor precursor delivery system 40, and metal precursor vaporization system 50 to a pressure suitable for forming the Ru metal layer on the substrate 25, and suitable for vaporization of the ruthenium carbonyl precursor 52 in the metal precursor vaporization system 50.
  • the metal precursor vaporization system 50 is configured to store a ruthenium carbonyl precursor 52, to heat the ruthenium carbonyl precursor 52 to a temperature sufficient for vaporizing the ruthenium carbonyl precursor 52, and to introduce ruthenium carbonyl precursor vapor to the vapor precursor delivery system 40.
  • the ruthenium carbonyl precursor 52 (Ru 3 (CO)i2) is a solid under the selected heating conditions in the metal precursor vaporization system 50, however, those skilled in the art will appreciate that other ruthenium carbonyl precursors and ruthenium organometallic precursors that are liquids under the selected heating conditions can be used without departing from the scope of the invention.
  • the metal precursor vaporization system 50 is coupled to a vaporization temperature control system 54 configured to control the vaporization temperature.
  • the temperature of the ruthenium carbonyl precursor 52 is generally elevated to approximately 4O 0 C to approximately 45°C in conventional systems in order to sublime the Ru 3 (CO)- I2 .
  • the vapor pressure of the Ru 3 (CO)i 2 ranges from approximately 1 to approximately 3 mTorr.
  • a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 52, or any combination thereof.
  • the CO-containing gas contains CO and optionally an inert carrier gas, such as N 2 , or a noble gas (i.e., He, Ne 1 Ar, Kr, or Xe), or a combination thereof.
  • a gas supply system 60 is coupled to the metal precursor vaporization system 50, and it is configured to, for instance, supply CO, a carrier gas, or a mixture thereof, beneath the ruthenium carbonyl precursor 52 via feed line 61 , or over the ruthenium carbonyl precursor 52 via feed line 62.
  • the gas supply system 60 is coupled to the vapor precursor delivery system 40 downstream from the metal precursor vaporization system 50 to supply the gas to the vapor of the ruthenium carbonyl precursor 52 via feed line 63 as or after it enters the vapor precursor delivery system 40.
  • the gas supply system 60 can comprise a carrier gas source, a CO gas source, one or more control valves, one or more filters, and a mass flow controller.
  • the flow rate of the CO-containing gas can be between about 0.1 standard cubic centimeters per minute (seem) and about 1000 seem. Alternately, the flow rate of the CO- containing gas can be between about 10 seem and about 500 seem.
  • the flow rate of the CO-containing gas can be between about 50 seem and about 200 seem. According to embodiments of the invention, the flow rate of the CO gas can range from approximately 0.1 seem to approximately 1000 seem. Alternately, the flow rate of the CO gas can be between about 1 seem and about 500 seem. [0022] Downstream from the metal precursor vaporization system 50, the process gas containing the ruthenium carbonyl precursor vapor flows through the vapor precursor delivery system 40 until it enters the process chamber 10 via a vapor distribution system 30 coupled thereto.
  • the vapor precursor delivery system 40 can be coupled to a vapor line temperature control system 42 in order to control the vapor line temperature and prevent decomposition of the ruthenium carbonyl precursor vapor as well as condensation of the ruthenium carbonyl precursor vapor.
  • the vapor distribution system 30, which forms part of and is coupled to the process chamber 10, comprises a vapor distribution plenum 32 within which the vapor disperses prior to passing through a vapor distribution plate 34 and entering a processing zone 33 above substrate 25.
  • the vapor distribution plate 34 can be coupled to a distribution plate temperature control system 35 configured to control the temperature of the vapor distribution plate 34.
  • the ruthenium carbonyl precursor vapor thermally decomposes upon adsorption at the substrate surface due to the elevated temperature of the substrate 25, and a Ru metal layer is formed on the substrate 25.
  • the substrate holder 20 is configured to elevate the temperature of the substrate 25 by virtue of the substrate holder 20 being coupled to a substrate temperature control system 22.
  • the substrate temperature control system 22 can be configured to elevate the temperature of the substrate 25 up to approximately 500 0 C.
  • the process chamber 10 can be coupled to a chamber temperature control system 12 configured to control the temperature of the chamber walls.
  • Ru 3 (CO)i2 can decompose at elevated temperatures to form by-products, such as those illustrated below:
  • Ru 3 (CO) x (ad) ⁇ > 3Ru(s) + xC ⁇ (g) (2) wherein these by-products can adsorb (ad), i.e., condense, on the interior surfaces of the deposition system 1.
  • ad i.e., condense
  • Ru 3 (CO)- I2 can condense on the internal surfaces of the deposition system 1 , viz.
  • Adding a CO gas to the ruthenium carbonyl precursor vapor can reduce the above-mentioned problems that limit the delivery of the ruthenium carbonyl precursor to the substrate.
  • the CO gas is added to the ruthenium carbonyl precursor vapor to reduce dissociation of the ruthenium carbonyl precursor vapor in the gas line, thereby shifting the equilibrium in Equation (1 ) to the left and reducing premature decomposition of the ruthenium carbonyl precursor in the vapor precursor delivery system 40 prior to delivery of the ruthenium carbonyl precursor to the process chamber 10.
  • the addition of the CO gas to the ruthenium carbonyl precursor vapor allows for increasing the vaporization temperature from approximately 40 0 C to approximately 100 0 C, or higher.
  • the elevated temperature increases the vapor pressure of the ruthenium carbonyl precursor, resulting in increased delivery of the ruthenium carbonyl precursor to the process chamber and, hence, increased deposition rate of the metal on the substrate 25.
  • flowing a mixture of Ar and the CO gas over or through the ruthenium carbonyl precursor reduces premature decomposition of the ruthenium carbonyl precursor.
  • the addition of CO gas to a Ru 3 (CO)- I2 precursor vapor allows for maintaining the Ru 3 (CO) ⁇ precursor vaporization temperature from approximately 4O 0 C to approximately 150 0 C. Alternately, the vaporization temperature can be maintained at approximately 6O 0 C to approximately 9O 0 C.
  • the relative concentration of the ruthenium carbonyl precursor vapor to the CO gas in the process gas can be utilized to control the decomposition rate of the ruthenium carbonyl precursor on the substrate 25 at a certain substrate temperature. Furthermore, the substrate temperature can be utilized to control the decomposition rate (and thereby the deposition rate) of the metal on the substrate 25.
  • the amount of CO gas and the substrate temperature can easily be varied to allow for a desired vaporization temperature of the ruthenium carbonyl precursor and for achieving a desired deposition rate of the ruthenium carbonyl precursor on the substrate 25.
  • the amount of CO gas in the process gas can be selected so that Ru metal deposition on the substrate 25 from a ruthenium carbonyl precursor occurs in a kinetic-limited temperature regime (also commonly referred to as a reaction rate limited temperature regime).
  • a kinetic-limited temperature regime refers to the range of deposition conditions where the deposition rate of a chemical vapor deposition process is limited by the kinetics of the chemical reactions at the substrate surface, typically characterized by a strong dependence of deposition rate on temperature.
  • a mass-transfer limited regime is normally observed at higher substrate temperatures and includes a range of deposition conditions where the deposition rate is limited by the flux of chemical reactants to the substrate surface.
  • a mass-transfer limited regime is characterized by a strong dependence of deposition rate on ruthenium carbonyl precursor flow rate and is independent of deposition temperature.
  • Metal deposition in the kinetic-limited regime normally results in good step coverage and good conformality of the metal layer on patterned substrates. Conformality is commonly defined as the thinnest part of the metal layer on the sidewall of a feature on the patterned substrate divided by the thickest part of the metal layer on the sidewall.
  • the deposition system 1 can further include a control system 80 configured to operate and control the operation of the deposition system 1.
  • the control system 80 is coupled to the process chamber 10, the substrate holder 20, the substrate temperature control system 22, the chamber temperature control system 12, the vapor distribution system 30, the vapor precursor delivery system 40, the metal, precursor vaporization system 50, and the gas supply system 60.
  • FIG. 2 illustrates a deposition system 100 for depositing a metal layer, such as a Ru metal layer, on a substrate.
  • the deposition system 100 comprises a process chamber 110 having a substrate holder 120 configured to support a substrate 125 upon which the Ru metal layer is formed.
  • the process chamber 110 is coupled to a precursor delivery system 105 having metal precursor vaporization system 150 configured to store and vaporize a ruthenium carbonyl precursor 152, and a vapor precursor delivery system 140 configured to transport the vapor of the ruthenium carbonyl precursor 152 to the process chamber 110.
  • the process chamber 110 comprises an upper chamber section 111 , a lower chamber section 112, and an exhaust chamber 113.
  • An opening 1 14 is formed within lower chamber section 112, where bottom section 112 couples with exhaust chamber 113.
  • substrate holder 120 provides a horizontal surface to support substrate (or wafer) 125, which is to be processed.
  • the substrate holder 120 can be supported by a cylindrical support member 122, which extends upward from the lower portion of exhaust chamber 113.
  • the substrate holder 120 comprises a heater 126 coupled to substrate holder temperature control system 128.
  • the heater 126 can, for example, include one or more resistive heating elements.
  • the heater 126 can, for example, include a radiant heating system, such as a tungsten-halogen lamp.
  • the substrate holder temperature control system 128 can include a power source for providing power to the one or more heating elements, one or more temperature sensors for measuring the substrate temperature or the substrate holder temperature, or both, and a controller configured to perform at least one of monitoring, adjusting, or controlling the temperature of the substrate 125 or substrate holder 120.
  • the heated substrate 125 can thermally decompose the ruthenium carbonyl precursor vapor, and enable deposition of a Ru metal layer on the substrate 125.
  • the substrate holder 120 is heated to a pre-determined temperature that is suitable for depositing the desired Ru metal layer or other metal layer onto the substrate 125.
  • a heater (not shown) coupled to a chamber temperature control system 121 can be embedded in the walls of process chamber 110 to heat the chamber walls to a pre-determined temperature. The heater can maintain the temperature of the walls of process chamber 110 from about 4O 0 C to about 15O 0 C, or from about 4O 0 C to about 8O 0 C.
  • a pressure gauge (not shown) is used to measure the process chamber pressure.
  • the process chamber pressure can be between about 1 mTorr and about 200 mTorr. Alternately, the process chamber pressure can be between about 2 mTorr and about 50 mTorr.
  • a vapor distribution system 130 is coupled to the upper chamber section 111 of process chamber 110. Vapor distribution system 130 comprises a vapor distribution plate 131 configured to introduce precursor vapor from vapor distribution plenum 132 to a processing zone 133 above substrate 125 through one or more orifices 134.
  • an opening 135 is provided in the upper chamber section 111 for introducing a ruthenium carbonyl precursor vapor from vapor precursor delivery system 140 into vapor distribution plenum 132.
  • temperature control elements 136 such as concentric fluid channels configured to flow a cooled or heated fluid, are provided for controlling the temperature of the vapor distribution system 130, and thereby prevent the decomposition or condensation of the ruthenium carbonyl precursor inside the vapor distribution system 130.
  • a fluid such as water, can be supplied to the fluid channels from a vapor distribution temperature control system 138.
  • the vapor distribution temperature control system 138 can include a fluid source, a heat exchanger, one or more temperature sensors for measuring the fluid temperature or vapor distribution plate temperature or both, and a controller configured to control the temperature of the vapor distribution plate 131 from about 2O 0 C to about 15O 0 C.
  • a Ru 3 (CO)i 2 precursor the temperature of the vapor distribution plate 131 can be maintained at or above a temperature of about 65°C to avoid precursor condensation on the plate 131.
  • a metal precursor vaporization system 150 is configured to hold a ruthenium carbonyl precursor 152 and to evaporate (or sublime) the ruthenium carbonyl precursor 152 by elevating the temperature of the ruthenium carbonyl precursor.
  • a precursor heater 154 is provided for heating the ruthenium carbonyl precursor 152 to maintain the ruthenium carbonyl precursor 152 at a temperature that produces a desired vapor pressure of ruthenium carbonyl precursor 152.
  • the precursor heater 154 is coupled to a vaporization temperature control system 156 configured to control the temperature of the ruthenium carbonyl precursor 152.
  • the precursor heater 154 can be configured to adjust the temperature of the ruthenium carbonyl precursor 152 from about 40 0 C to about 150 0 C, or from about 60 0 C to about 90 0 C.
  • a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 152, or any combination thereof.
  • the CO-containing gas contains CO and optionally an inert carrier gas, such as N 2 , or a noble gas (i.e., He, Ne, Ar, Kr, Xe).
  • a CO gas can be added to the inert gas.
  • a gas supply system 160 is coupled to the metal precursor vaporization system 150, and it is configured to, for instance, flow the CO gas, the inert gas, or both, over or through the ruthenium carbonyl precursor 152.
  • gas supply system 160 can also or alternatively be coupled to the vapor precursor delivery system 140 to supply the carrier gas and/or CO gas to the vapor of the metal precursor 152 as or after it enters the vapor precursor delivery system 140.
  • the gas supply system 160 can comprise a gas source 161 containing an inert carrier gas, a CO gas, or a mixture thereof, one or more control valves 162, one or more filters 164, and a mass flow controller 165.
  • the mass flow rate of the CO-containing gas can range from approximately 0.1 seem to approximately 1000 seem.
  • a sensor 166 is provided for measuring the total gas flow from the metal precursor vaporization system 150.
  • the sensor 166 can, for example, comprise a mass flow controller, and the amount of ruthenium carbonyl precursor vapor delivered to the process chamber 110 can be determined using sensor 166 and mass flow controller 165.
  • the sensor 166 can comprise a light absorption sensor to measure the concentration of the ruthenium carbonyl precursor in the gas flow to the process chamber 110.
  • a bypass line 167 can be located downstream from sensor 166, and it can connect the vapor delivery system 140 to an exhaust line 116.
  • Bypass line 167 is provided for evacuating the vapor precursor delivery system 140, and for stabilizing the supply of the ruthenium carbonyl precursor vapor to the process chamber 110.
  • a bypass valve 168 located downstream from the branching of the vapor precursor delivery system 140, is provided on bypass line 167.
  • the vapor precursor delivery system 140 comprises a high conductance vapor line having first and second valves 141 and 142, respectively.
  • the vapor precursor delivery system 140 can further comprise a vapor line temperature control system 143 configured to heat the vapor precursor delivery system 140 via heaters (not shown).
  • the temperatures of the vapor lines can be controlled to avoid condensation of the ruthenium carbonyl precursor vapor in the vapor line.
  • the temperature of the vapor lines can be controlled from about 20 0 C to about 100 0 C, or from about 40°C to about 9O 0 C.
  • a CO gas can be supplied from a gas supply system 190.
  • the gas supply system 190 is coupled to the vapor precursor delivery system 140, and it is configured to, for instance, mix the CO gas with the ruthenium carbonyl precursor vapor in the vapor precursor delivery system 140, for example, downstream of valve 141.
  • the gas supply system 190 can comprise a CO gas source 191 , one or more control valves 192, one or more filters 194, and a mass flow controller 195.
  • the mass flow rate of CO gas can range from approximately 0.1 seem (standard cubic centimeters per minute) to approximately 1000 seem.
  • Mass flow controllers 165 and 195, and valves 162, 192, 168, 141 , and 142 are controlled by controller 196, which controls the supply, shutoff, and the flow of the inert carrier gas, the CO gas, and the ruthenium carbonyl precursor vapor.
  • Sensor 166 is also connected to controller 195 and, based on output of the sensor 166, controller 195 can control the carrier gas flow through mass flow controller 165 to obtain the desired ruthenium carbonyl precursor flow to the process chamber 110.
  • the exhaust line 116 connects exhaust chamber 113 to pumping system 118.
  • a vacuum pump 119 is used to evacuate process chamber 110 to the desired degree of vacuum, and to remove gaseous species from the process chamber 110 during processing.
  • An automatic pressure controller (APC) 115 and a trap 117 can be used in series with the vacuum pump 119.
  • the vacuum pump 119 can include a turbo-molecular pump (TMP) capable of a pumping speed up to 500 liters per second (and greater). Alternately, the vacuum pump 119 can include a dry roughing pump.
  • TMP turbo-molecular pump
  • the process gas can be introduced into the process chamber 110, and the chamber pressure can be adjusted by the APC 115.
  • the APC 115 can comprise a butterfly-type valve or a gate valve.
  • the trap 117 can collect unreacted ruthenium carbonyl precursor material and by-products from the process chamber 110.
  • three substrate lift pins 127 are provided for holding, raising, and lowering the substrate 125.
  • the substrate lift pins 127 are coupled to plate 123, and can be lowered to below the Upper surface of substrate holder 120.
  • a drive mechanism 129 utilizing, for example, an air cylinder provides means for raising and lowering the plate 123.
  • Substrate 125 can be transferred into and out of process chamber 110 through gate valve 200 and chamber feed-through passage 202 via a robotic transfer system (not shown), and received by the substrate lift pins 127. Once the substrate 125 is received from the transfer system, it can be lowered to the upper surface of the substrate holder 120 by lowering the substrate lift pins 127.
  • a controller 180 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs of the processing system 100 as well as monitor outputs from the processing system 100. Moreover, the processing system controller 180 is coupled to and exchanges information with process chamber 110; precursor delivery system 105, which includes controller 196, vapor line temperature control system 143, and vaporization temperature control system 156; vapor distribution temperature control system 138; vacuum pumping system 118;-and substrate temperature control system 128. In the vacuum pumping system 118, the controller 180 is coupled to and exchanges information with the automatic pressure controller 115 for controlling the pressure in the process chamber 110. A program stored in the memory is utilized to control the aforementioned components of deposition system 100 according to a stored process recipe.
  • processing system controller 180 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Dallas, Texas.
  • the controller 180 may be implemented as a general purpose computer system that performs a portion or all of the microprocessor-based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive.
  • processors in a multi-processing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
  • the controller 180 includes at least one computer readable medium or memory, such as the controller memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention.
  • Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of. holes, a carrier wave (described below), or any other medium from which a computer can read.
  • the present invention includes software for controlling the controller 180, for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user.
  • software may include, but is not limited to, device drivers, operating systems, development tools, and applications software.
  • Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • the computer code devices of the present invention may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs.
  • Nonvolatile media includes, for example, optical disks, magnetic disks, and magneto- optical disks, such as the hard disk or the removable media drive.
  • Volatile media includes dynamic memory, such as the main memory.
  • various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to the processor of the controller for execution.
  • the instructions may initially be carried on a magnetic disk of a remote computer.
  • the remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 180.
  • the controller 180 may be locally located relative to the deposition system 100, or it may be remotely located relative to the deposition system 100.
  • the controller 180 may exchange data with the deposition system 100 using at least one of a direct connection, an intranet, the Internet and a wireless connection.
  • the controller 180 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the controller 180 may be coupled to the Internet.
  • FIG. 3 schematically shows a processing tool for processing a substrate according to embodiments of the invention.
  • the processing tool 800 contains substrate loading chambers 810 and 820, processing systems 830 - 860, robotic transfer system 870, and controller 880.
  • the processing system 840 can be configured for depositing a Ru metal layer on a substrate in a chemical vapor deposition process.
  • the processing system 840 can, for example, be the deposition systems 1 , 100 depicted in FIGS. 1 and 2.
  • the processing system 830 can, for example, be a plasma processing system configured for modifying the deposited Ru layer by exposure to an oxygen- containing plasma, or a nitrogen-containing plasma, or a combination thereof.
  • Embodiments of the invention contemplate use of any plasma processing system capable of forming a plasma for exposing a Ru layer to a plasma.
  • plasma processing systems suitable for plasma exposing and annealing a Ru layer according to embodiments of the invention are described in U.S. Patent Application No.
  • the processing system 830 can be a TRIASTM SPA processing system from Tokyo Electron Limited, Akasaka, Japan. According to an embodiment of the invention, the processing system 830 can be further configured to treat the Ru layer by exposure to a hydrogen-containing plasma, and/or to anneal the substrate by heating and maintaining the substrate at a temperature between about 100°C and about 500°C.
  • the plasma processing system 830 can be configured for exposing the substrate to a noble gas, O 2 gas, N 2 gas, NH 3 gas, H2 gas, or a combination of two or more thereof. As those skilled in the art will readily recognize, the plasma processing system 830 may also be utilized to clean the substrate of any oxide or contaminants before depositing a Ru layer onto the substrate.
  • an ultra thin Cu layer can be formed on the modified Ru layer in the processing system 850 prior to performing a Cu plating.
  • the processing system 850 can be configured to carry out physical vapor deposition of an ultra thin Cu layer on the modified Ru layer and can, for example, be configured to carry out ionized physical vapor deposition (IPVD). IPVD systems for depositing a Cu metal layer onto a substrate are well known to those skilled in the art. One example of an IPVD system is described in U.S. Patent No. 6,287,435.
  • a plating system 890 is operatively coupled to the processing tool 800 through the substrate loading chamber 810.
  • the plating system 890 can, for example, be configured for performing an electrochemical or electroless plating process for plating a Cu layer onto a substrate containing the modified Ru layer or a substrate containing an ultra thin Cu layer formed on the ⁇ modified Ru layer. Electrochemical and electroless plating systems are well known to those skilled in the art and are readily available commercially.
  • the processing tool 800 can be configured to expose the substrate to air when transferring the substrate from the substrate loading chamber 810 to plating system 890.
  • the processing system 860 can be a Cu plating system.
  • the processing system 860 can be configured to process a substrate without exposing the substrate to air.
  • the processing tool 800 can be controlled by a controller 880.
  • the controller 880 can be coupled to and exchange information with substrate loading chambers 810 and 820, processing systems 830-860, and robotic transfer system 870.
  • the controller 880 can further control the plating system 890.
  • the plating system 890 can contain a separate controller for controlling the functions of the plating system 890.
  • a program stored in the memory of the controller 880 can be utilized to control the aforementioned components of the processing tool 800 according to a desired process, and to perform any functions associated with monitoring the process.
  • controller 880 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Texas.
  • FIG. 4A is a flowchart for processing a substrate according to an embodiment of the invention.
  • the process 400 includes, at 402, disposing a substrate 502 in a process chamber of a deposition system.
  • the deposition system can, for example, include the depositions systems 1 , 100 described above in FIGS. 1 and 2.
  • the deposition system can be the processing system 840 of the processing tool 800 in FIG. 3.
  • the substrate 502 can, for example, be a Si substrate.
  • a Si substrate can be of n- or p- type, depending on the type of device being formed.
  • the substrate can be of any size, for example a 200 mm substrate, a 300 mm substrate, or an even larger substrate.
  • the substrate can be a planar substrate or a patterned substrate containing one or more vias or trenches, or combinations thereof.
  • a Ru layer 504 is deposited on the substrate 502 in a chemical vapor deposition process.
  • the deposited Ru layer 504 may contain contaminants distributed within the Ru layer 504. It is contemplated that the contaminants may have the highest concentration near the top of the layer 504.
  • the contaminants can, for example contain CO or reaction products (e.g., carbon and oxygen) from CO dissociation originating from dissociation of a ruthenium carbonyl precursor, such as a Ru 3 (CO) 12 precursor.
  • the contaminants may be due to adsorption of CO or other gaseous species (e.g., H 2 O) from the process environment onto the Ru layer 504 during or after the Ru deposition step 404.
  • the contaminants can, for example, include carbon species from dissociation of the precursor ligands, or adsorption of other gaseous species from the process environment onto the Ru layer 504.
  • a thickness of the Ru layer 504 can be between about 1 nm and about 30 nm.
  • a thickness of the Ru layer 504 can be between about 1.5 nm and about 10 nm.
  • the Ru layer 504 can be deposited by exposing the substrate to a process gas containing a ruthenium carbonyl precursor vapor and a CO gas.
  • the ruthenium precursor can, for example, be Ru3(CO)i2.
  • the process gas can further contain an inert gas such as N 2 or a noble gas.
  • the noble gas can include He, Ne, Ar, Kr, or Xe, or a combination of two or more thereof.
  • the substrate can, for example, be maintained at a temperature between about 100°C and about 400 0 C during the exposing. Alternately, the substrate can be maintained at a temperature between about 150 0 C and about 300 0 C during the exposing.
  • the process chamber can be maintained at a pressure between about 0.1 mTorr and about 200 mTorr during the exposing.
  • the Ru layer 504 can be deposited by exposing the substrate to a process gas containing a ruthenium organometallic precursor.
  • the ruthenium organometallic precursor can, for example, be selected from any of the above-mentioned ruthenium organometallic precursors.
  • the process gas can further contain an inert gas such as N 2 or a noble gas, a reducing gas (e.g., H 2 or O 2 ), or a combination thereof.
  • step 406 the Ru layer 504 is modified by oxidation, or nitridation, or a combination thereof, to form a modified Ru layer 506, as shown in FIG. 5C.
  • Step 406 may, for example, be carried out in processing system 830 of the processing tool 800 in FIG. 3.
  • the current inventors have realized that modifying a deposited Ru layer 504 according to embodiments of the invention can reduce or eliminate problems encountered for an as-deposited Ru layer 504, including insufficient resistance to Cu diffusion through the Ru layer 504. It is contemplated that the modifying step 406 at least partially removes any contaminants from the Ru layer 504 and incorporates oxygen and/or nitrogen species into the Ru layer 504, thereby improving the barrier properties of the Ru layer 504.
  • the modifying step 406 can be performed at a gas pressure between about 10 mTorr and about 1000 Torr. Alternately, the modifying step 406 can be performed at a gas pressure between about 100 mTorr and about 10 Torr.
  • the modifying step 406 can be carried out without annealing the substrate.
  • the modifying step 406 can be carried out while annealing the substrate.
  • the substrate may be annealed prior to or following the plasma exposing step 406.
  • the annealing may at least partially overlap with the Ru deposition step 404.
  • annealing of the substrate and the modifying in step 406 can at least partially overlap in time.
  • an ultra thin Cu layer 508 shown in FIG. 5D is deposited on the modified Ru layer 506.
  • the ultra thin Cu layer 508 can, for example, be deposited by IPVD using the processing system 850 in FIG. 3.
  • the thickness of the ultra thin Cu layer 508 can, for example, between about 1 nm and about 30 nm.
  • ultra thin Cu layer 508 can be between about 5 nm and about 20 nm.
  • the ultra thin Cu layer 508 may be thinner than a conventional Cu seed layer used for Cu plating, where the thickness is commonly greater than about 50nm.
  • step 410 a bulk Cu layer 510 shown in FIG. 5E is plated on the ultra thin Cu layer 508 from step 408.
  • the bulk Cu layer 510 can, for example, be plated using the processing system 860 or the plating system 890 described in FIG. 3.
  • each of the steps or stages in the flowchart of FIG. 4A may encompass one or more separate steps and/or operations. Accordingly, the recitation of only five steps in 402, 404, 406, 408, 410 should not be understood to limit the method of the present invention solely to five steps or stages. Moreover, each representative step or stage 402, 404, 406, 408, 410 should not be understood to be limited to only a single process.
  • FIG. 4B is a flowchart for processing a substrate according to another embodiment of the invention.
  • the steps 420, 422, 424, 428 and 430 correspond to the steps 402, 404, 406, 408 and 410, respectively, described above in reference to FIG. 4A.
  • the process 401 includes, in step 426, treating the modified Ru layer by exposure to a hydrogen-containing plasma, annealing or both.
  • the hydrogen-containing plasma can contain H 2 .
  • the hydrogen- containing plasma can contain H 2 and a noble gas.
  • the annealing described above may be performed while exposing the Ru layer 504 to a noble gas, N 2 gas, NH 3 gas, H 2 gas, or a combination thereof.
  • a noble gas N 2 gas, NH 3 gas, H 2 gas, or a combination thereof.
  • the processing tool 800 is configured for depositing a Ru layer 504 on a substrate in the processing system 840, plasma exposing the Ru layer 504 in the processing system 830, depositing an ultra thin Cu layer 508 on the modified Ru layer 506 in the processing system 850, and plating a Cu layer 510 onto the ultra thin Cu layer 508 in processing system 860 or plating system 890.
  • the modified Ru layer 506 may be exposed to an oxygen- containing ambient (e.g., air) before, after, or before and after depositing the ultra thin Cu layer 508.
  • the processing tool 800 may be configured to prevent exposure of the modified Ru layer 506 to an oxygen-containing ambient before, after, or before and after depositing the ultra thin Cu layer 508.
  • the use of an ultra thin Cu layer 508 can reduce the effect of the terminal ('resistive substrate') effect that is commonly encountered in electrochemical plating processing where a non-uniform thickness of the plated Cu layer over the whole substrate (wafer) is observed.
  • the terminal effect is the tendency for the current density to be non-uniform as a result of the ohmic potential drop associated with conducting current from the substrate edge to the entire substrate surface through a thin resistive layer. This problem can be more severe for a highly resistive non-Cu (e.g., Ru) layer than a lower resistivity Cu layer.
  • FIGS. 6A - 6D schematically show formation of a plated Cu layer onto a patterned structure according to an embodiment of the invention. As those skilled in the art will readily appreciate, embodiments of the invention can be applied to a variety of patterned structures and substrates containing one or more vias or trenches, or combinations thereof.
  • FIG. 6A shows a patterned structure 601 containing a first metal layer 610 and a patterned layer 612 containing an opening 620.
  • the patterned layer 612 can, for example, be a dielectric material.
  • the structure 601 further contains a Ru layer 614 deposited on the patterned layer 612 and the first metal layer 610.
  • the patterned structure 601 can further contain an additional barrier layer (not shown) formed on the exposed surface of the patterned layer 612 and the first metal layer 610, underneath the Ru layer 614.
  • the additional barrier layer can, for example, be a tantalum-containing layer (e.g., Ta, TaN, or TaCN, or a combination thereof) or a tungsten-containing layer (e.g., W, or WN, or a combination thereof).
  • FIG. 6B shows a patterned structure 602 containing a modified Ru layer 616 formed by oxidation, or nitridation, or a combination thereof, of the patterned structure 601 of FIG. 6A according to an embodiment of the invention.
  • FIG. 6C shows a patterned structure 603 containing an ultra thin Cu layer 618 formed on the modified Ru layer 616.
  • FIG. 6D shows a patterned structure 604 containing a plated Cu layer 622 formed by a Cu plating process onto the ultra-thin Cu layer 618.

Abstract

A method (400, 401 ) for integrating a Ru layer (504, 614) with bulk Cu (510, 622) in semiconductor manufacturing. The method includes depositing a Ru layer (504, 614) onto a substrate (25, 125, 502, 601 ) in a chemical vapor deposition process, modifying the deposited Ru layer (504, 614) by oxidation, or nitridation, or a combination thereof, depositing an ultra thin Cu layer (508, 618) onto the modified Ru layer (506, 616), and plating a Cu layer (510, 622) onto the ultra thin Cu layer (508, 618).

Description

TITLE OF THE INVENTION
METHOD FOR INTEGRATING A RUTHENIUM LAYER WITH BULK COPPER IN
COPPER METALLIZATION
FIELD OF THE INVENTION
[0001] . The present invention relates to semiconductor processing, and more particularly, to a method for integrating a ruthenium layer with bulk copper in copper metallization.
BACKGROUND OF THE INVENTION
[0002] The use of copper (Cu) metal in multilayer metallization schemes for manufacturing integrated circuits requires the use of a diffusion barrier layer to promote adhesion and growth of the Cu layers and to prevent diffusion of Cu into the dielectric materials, Barrier layers that are deposited onto dielectric materials can include refractive materials, such as tungsten (W), molybdenum (Mo), and tantalum (Ta or TaN), that are non-reactive and immiscible in Cu, and can offer low electrical resistivity.
[0003] More recently, ruthenium (Ru) metal has been identified as a potential barrier layer for copper metallization since it is expected to behave similarly to the above-mentioned refractory metals. Furthermore, it is possible that a single Ru layer can replace current barrier layers, including TaN/Ta bilayers. In addition, recent research has suggested that a bulk Cu deposition can be performed by direct Cu plating onto a Ru layer without depositing a Cu seed layer onto the Ru layer. However, the as-deposited Ru layer may contain contaminants, for example due to by-products from the Ru deposition process that may become incorporated into the Ru layer, that can result in unacceptable properties for the Ru layer when it is integrated into Cu metallization schemes. These properties include insufficient resistance to Cu diffusion through the Ru layer, poor Cu plating uniformity over the whole substrate (e.g., 200mm, 300mm, or even larger wafers) that subsequently can lead to problems during planarization of the substrate by chemical mechanical polishing (CMP), weak adhesion between the bulk Cu layer and the Ru layer that can result in electro-migration (EM) and stress-migration (SM) problems, as well as reduced device production yields. Thus, new processing methods are needed for improving the properties and integration of Ru layers into Cu metallization schemes.
SUMMARY OF THE INVENTION
[0004] A method is provided for integrating a Ru layer with bulk Cu in semiconductor manufacturing. The method includes depositing a Ru layer onto a substrate in a chemical vapor deposition process, modifying the deposited Ru layer by oxidation, or nitridation, or a combination thereof, depositing an ultra thin Cu layer onto the modified Ru layer, and plating a bulk Cu layer on the ultra thin Cu layer.
BRIEF DESCRIPTION OF THE DRAWINGS [0005] In the drawings:
[0006] FIG. 1 depicts a schematic view of a deposition system according to an embodiment of the invention;
[0007] FIG. 2 depicts a schematic view of a deposition system according to another embodiment of the invention;
[0008] FIG. 3 depicts a schematic view of a processing tool for processing a substrate according to embodiments of the invention; [0009] FIG. 4A is a flowchart for processing a substrate according to an embodiment of the invention;
[0010] FIG. 4B is a flowchart for processing a substrate to another embodiment of the invention;
[0011] FIGS. 5A - 5E schematically show formation of a plated Cu layer onto a treated Ru layer according to an embodiment of the invention; and [0012] FIGS. 6A - 6D schematically show formation of a plated Cu layer onto a patterned structure according to an embodiment of the invention.
DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS OF THE INVENTION [0013] Embodiments of the invention provide a method for integrating a Ru layer with bulk Cu in semiconductor manufacturing. Embodiments of the invention can reduce or eliminate problems with Ru layers in Cu interconnect metallization schemes associated with insufficient resistance to Cu diffusion through the Ru layer and poor Cu plating uniformity over the whole substrate. Furthermore, embodiments of the invention can improve adhesion between the plated bulk Cu layer and the underlying Ru layer, reduce electro-migration (EM) and stress-migration (SM) problems, and improve device production yields.
[0014] In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the deposition systems and the processing tool and descriptions of various components. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
[0015] According to an embodiment of the invention, a Ru layer can be deposited on the substrate in a chemical vapor deposition process by exposing the substrate to a ruthenium carbonyl precursor or a ruthenium organometallic precursor, but this is not required for the invention as other ruthenium-containing precursors capable of forming a Ru metal layer suitable for use as a layer for Cu metallization may be utilized.
[0016] According to an embodiment of the invention, the ruthenium-containing precursor can be a ruthenium carbonyl precursor such as Ru3(CO)-I2. According to another embodiment of the invention the ruthenium-containing precursor can be a ruthenium organometallic precursor such as (2 ,4-dimethyl pentad ienyl) (ethylcyclopentadienyl) ruthenium (Ru(DMPD)(EtCp)), bis(2,4-dimethylpentadienyl) ruthenium (Ru(DMPD)2), or (2,4-dimethylpentadienyl) (methylcyclopentadienyl) ruthenium. The above-mentioned organometallic precursors are not required for the invention, as other ruthenium organometallic precursors may be used, including the liquid precursor bis(ethylcyclopentadienyl) ruthenium (Ru(EtCp)2), as well as combinations of these and other precursors.
[0017] Referring now to the drawings, FIG. 1 illustrates a deposition system 1 for depositing a Ru metal layer on a substrate from a ruthenium-containing precursor according to one embodiment of the invention. The following sections describe the use of a ruthenium carbonyl precursor, Ru3(CO)i2, however as described above, other ruthenium carbonyl precursors and ruthenium organometallic precursors may be used without departing from the scope of the invention. The deposition system 1 comprises a process chamber 10 having a substrate holder 20 configured to support a substrate 25 upon which the ruthenium metal layer is formed. The process chamber 10 is coupled to a metal precursor vaporization system 50 via a vapor precursor delivery system 40.
[0018] The process chamber 10 is further coupled to a vacuum pumping system 38 through a duct 36, wherein the pumping system 38 is configured to evacuate the process chamber 10, vapor precursor delivery system 40, and metal precursor vaporization system 50 to a pressure suitable for forming the Ru metal layer on the substrate 25, and suitable for vaporization of the ruthenium carbonyl precursor 52 in the metal precursor vaporization system 50.
[0019] Still referring to FIG. 1 , the metal precursor vaporization system 50 is configured to store a ruthenium carbonyl precursor 52, to heat the ruthenium carbonyl precursor 52 to a temperature sufficient for vaporizing the ruthenium carbonyl precursor 52, and to introduce ruthenium carbonyl precursor vapor to the vapor precursor delivery system 40. The ruthenium carbonyl precursor 52 (Ru3(CO)i2) is a solid under the selected heating conditions in the metal precursor vaporization system 50, however, those skilled in the art will appreciate that other ruthenium carbonyl precursors and ruthenium organometallic precursors that are liquids under the selected heating conditions can be used without departing from the scope of the invention.
[0020] In order to achieve the desired temperature for subliming the solid ruthenium carbonyl precursor 52, the metal precursor vaporization system 50 is coupled to a vaporization temperature control system 54 configured to control the vaporization temperature. For instance, the temperature of the ruthenium carbonyl precursor 52 is generally elevated to approximately 4O0C to approximately 45°C in conventional systems in order to sublime the Ru3(CO)-I2. At this temperature, the vapor pressure of the Ru3(CO)i2, for instance, ranges from approximately 1 to approximately 3 mTorr. As the ruthenium carbonyl precursor 52 is heated to cause sublimation, a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 52, or any combination thereof. The CO-containing gas contains CO and optionally an inert carrier gas, such as N2, or a noble gas (i.e., He, Ne1 Ar, Kr, or Xe), or a combination thereof. [0021] For example, a gas supply system 60 is coupled to the metal precursor vaporization system 50, and it is configured to, for instance, supply CO, a carrier gas, or a mixture thereof, beneath the ruthenium carbonyl precursor 52 via feed line 61 , or over the ruthenium carbonyl precursor 52 via feed line 62. In addition, or in the alternative, the gas supply system 60 is coupled to the vapor precursor delivery system 40 downstream from the metal precursor vaporization system 50 to supply the gas to the vapor of the ruthenium carbonyl precursor 52 via feed line 63 as or after it enters the vapor precursor delivery system 40. Although not shown, the gas supply system 60 can comprise a carrier gas source, a CO gas source, one or more control valves, one or more filters, and a mass flow controller. For instance, the flow rate of the CO-containing gas can be between about 0.1 standard cubic centimeters per minute (seem) and about 1000 seem. Alternately, the flow rate of the CO- containing gas can be between about 10 seem and about 500 seem. Still alternately, the flow rate of the CO-containing gas can be between about 50 seem and about 200 seem. According to embodiments of the invention, the flow rate of the CO gas can range from approximately 0.1 seem to approximately 1000 seem. Alternately, the flow rate of the CO gas can be between about 1 seem and about 500 seem. [0022] Downstream from the metal precursor vaporization system 50, the process gas containing the ruthenium carbonyl precursor vapor flows through the vapor precursor delivery system 40 until it enters the process chamber 10 via a vapor distribution system 30 coupled thereto. The vapor precursor delivery system 40 can be coupled to a vapor line temperature control system 42 in order to control the vapor line temperature and prevent decomposition of the ruthenium carbonyl precursor vapor as well as condensation of the ruthenium carbonyl precursor vapor. [0023] Referring again to FIG. 1 , the vapor distribution system 30, which forms part of and is coupled to the process chamber 10, comprises a vapor distribution plenum 32 within which the vapor disperses prior to passing through a vapor distribution plate 34 and entering a processing zone 33 above substrate 25. In addition, the vapor distribution plate 34 can be coupled to a distribution plate temperature control system 35 configured to control the temperature of the vapor distribution plate 34. [0024] Once the process gas containing the ruthenium carbonyl precursor vapor enters the processing zone 33 of process chamber 10, the ruthenium carbonyl precursor vapor thermally decomposes upon adsorption at the substrate surface due to the elevated temperature of the substrate 25, and a Ru metal layer is formed on the substrate 25. The substrate holder 20 is configured to elevate the temperature of the substrate 25 by virtue of the substrate holder 20 being coupled to a substrate temperature control system 22. For example, the substrate temperature control system 22 can be configured to elevate the temperature of the substrate 25 up to approximately 5000C. Additionally, the process chamber 10 can be coupled to a chamber temperature control system 12 configured to control the temperature of the chamber walls.
[0025] Conventional systems have contemplated operating the metal precursor vaporization system 50, as well as the vapor precursor delivery system 40, within a temperature range of approximately 4O0C to approximately 45°C for Ru3(CO)-I2 in order to prevent decomposition, which occurs at higher temperatures. For example, Ru3(CO)i2 can decompose at elevated temperatures to form by-products, such as those illustrated below:
Ru3 (CO)n (ad) <=> Ru3 (Cθ)x (ad)+ (12 - x)Cθ(g) (1 ) or,
Ru3 (CO)x (ad) <=> 3Ru(s) + xCθ(g) (2) wherein these by-products can adsorb (ad), i.e., condense, on the interior surfaces of the deposition system 1. The accumulation of material on these surfaces can cause problems from one substrate to the next, such as process repeatability. Alternatively, for example, Ru3(CO)-I2 can condense on the internal surfaces of the deposition system 1 , viz.
Ru3 (CO)12 (g) o Ru3 (CO)12 (ad) (3).
[0026] In summary, low vapor pressure of some ruthenium carbonyl precursors (e.g., Ru3(CO)-I2) and the small process window, results in very low deposition rate of a metal layer on the substrate 25.
[0027] Adding a CO gas to the ruthenium carbonyl precursor vapor can reduce the above-mentioned problems that limit the delivery of the ruthenium carbonyl precursor to the substrate. Thus, according to an embodiment of the invention, the CO gas is added to the ruthenium carbonyl precursor vapor to reduce dissociation of the ruthenium carbonyl precursor vapor in the gas line, thereby shifting the equilibrium in Equation (1 ) to the left and reducing premature decomposition of the ruthenium carbonyl precursor in the vapor precursor delivery system 40 prior to delivery of the ruthenium carbonyl precursor to the process chamber 10. The addition of the CO gas to the ruthenium carbonyl precursor vapor allows for increasing the vaporization temperature from approximately 400C to approximately 1000C, or higher. The elevated temperature increases the vapor pressure of the ruthenium carbonyl precursor, resulting in increased delivery of the ruthenium carbonyl precursor to the process chamber and, hence, increased deposition rate of the metal on the substrate 25. Furthermore, flowing a mixture of Ar and the CO gas over or through the ruthenium carbonyl precursor reduces premature decomposition of the ruthenium carbonyl precursor.
[0028] According to an embodiment of the invention, the addition of CO gas to a Ru3(CO)-I2 precursor vapor allows for maintaining the Ru3(CO)^ precursor vaporization temperature from approximately 4O0C to approximately 1500C. Alternately, the vaporization temperature can be maintained at approximately 6O0C to approximately 9O0C.
[0029] Since the addition of the CO gas to the ruthenium carbonyl precursor vapor increases the thermal stability of the ruthenium carbonyl precursor vapor, the relative concentration of the ruthenium carbonyl precursor vapor to the CO gas in the process gas can be utilized to control the decomposition rate of the ruthenium carbonyl precursor on the substrate 25 at a certain substrate temperature. Furthermore, the substrate temperature can be utilized to control the decomposition rate (and thereby the deposition rate) of the metal on the substrate 25. As those skilled in the art will readily appreciate, the amount of CO gas and the substrate temperature can easily be varied to allow for a desired vaporization temperature of the ruthenium carbonyl precursor and for achieving a desired deposition rate of the ruthenium carbonyl precursor on the substrate 25.
[0030] Furthermore, the amount of CO gas in the process gas can be selected so that Ru metal deposition on the substrate 25 from a ruthenium carbonyl precursor occurs in a kinetic-limited temperature regime (also commonly referred to as a reaction rate limited temperature regime). For example, the amount of CO gas in the process gas can be increased until the Ru metal deposition process is observed to occur in a kinetic-limited temperature regime. A kinetic-limited temperature regime refers to the range of deposition conditions where the deposition rate of a chemical vapor deposition process is limited by the kinetics of the chemical reactions at the substrate surface, typically characterized by a strong dependence of deposition rate on temperature. Unlike the kinetic-limited temperature regime, a mass-transfer limited regime is normally observed at higher substrate temperatures and includes a range of deposition conditions where the deposition rate is limited by the flux of chemical reactants to the substrate surface. A mass-transfer limited regime is characterized by a strong dependence of deposition rate on ruthenium carbonyl precursor flow rate and is independent of deposition temperature. Metal deposition in the kinetic-limited regime normally results in good step coverage and good conformality of the metal layer on patterned substrates. Conformality is commonly defined as the thinnest part of the metal layer on the sidewall of a feature on the patterned substrate divided by the thickest part of the metal layer on the sidewall. [0031] Still referring to FIG. 1 , the deposition system 1 can further include a control system 80 configured to operate and control the operation of the deposition system 1. The control system 80 is coupled to the process chamber 10, the substrate holder 20, the substrate temperature control system 22, the chamber temperature control system 12, the vapor distribution system 30, the vapor precursor delivery system 40, the metal, precursor vaporization system 50, and the gas supply system 60.
[0032] In another embodiment, FIG. 2 illustrates a deposition system 100 for depositing a metal layer, such as a Ru metal layer, on a substrate. The deposition system 100 comprises a process chamber 110 having a substrate holder 120 configured to support a substrate 125 upon which the Ru metal layer is formed. The process chamber 110 is coupled to a precursor delivery system 105 having metal precursor vaporization system 150 configured to store and vaporize a ruthenium carbonyl precursor 152, and a vapor precursor delivery system 140 configured to transport the vapor of the ruthenium carbonyl precursor 152 to the process chamber 110.
[0033] The process chamber 110 comprises an upper chamber section 111 , a lower chamber section 112, and an exhaust chamber 113. An opening 1 14 is formed within lower chamber section 112, where bottom section 112 couples with exhaust chamber 113.
[0034] Still referring to FIG. 2, substrate holder 120 provides a horizontal surface to support substrate (or wafer) 125, which is to be processed. The substrate holder 120 can be supported by a cylindrical support member 122, which extends upward from the lower portion of exhaust chamber 113. Furthermore, the substrate holder 120 comprises a heater 126 coupled to substrate holder temperature control system 128. The heater 126 can, for example, include one or more resistive heating elements. Alternately, the heater 126 can, for example, include a radiant heating system, such as a tungsten-halogen lamp. The substrate holder temperature control system 128 can include a power source for providing power to the one or more heating elements, one or more temperature sensors for measuring the substrate temperature or the substrate holder temperature, or both, and a controller configured to perform at least one of monitoring, adjusting, or controlling the temperature of the substrate 125 or substrate holder 120.
[0035] During processing, the heated substrate 125 can thermally decompose the ruthenium carbonyl precursor vapor, and enable deposition of a Ru metal layer on the substrate 125. The substrate holder 120 is heated to a pre-determined temperature that is suitable for depositing the desired Ru metal layer or other metal layer onto the substrate 125. Additionally, a heater (not shown) coupled to a chamber temperature control system 121 can be embedded in the walls of process chamber 110 to heat the chamber walls to a pre-determined temperature. The heater can maintain the temperature of the walls of process chamber 110 from about 4O0C to about 15O0C, or from about 4O0C to about 8O0C. A pressure gauge (not shown) is used to measure the process chamber pressure. According to an embodiment of the invention, the process chamber pressure can be between about 1 mTorr and about 200 mTorr. Alternately, the process chamber pressure can be between about 2 mTorr and about 50 mTorr. [0036] Also shown in FIG. 2, a vapor distribution system 130 is coupled to the upper chamber section 111 of process chamber 110. Vapor distribution system 130 comprises a vapor distribution plate 131 configured to introduce precursor vapor from vapor distribution plenum 132 to a processing zone 133 above substrate 125 through one or more orifices 134.
[0037] Furthermore, an opening 135 is provided in the upper chamber section 111 for introducing a ruthenium carbonyl precursor vapor from vapor precursor delivery system 140 into vapor distribution plenum 132. Moreover, temperature control elements 136, such as concentric fluid channels configured to flow a cooled or heated fluid, are provided for controlling the temperature of the vapor distribution system 130, and thereby prevent the decomposition or condensation of the ruthenium carbonyl precursor inside the vapor distribution system 130. For instance, a fluid, such as water, can be supplied to the fluid channels from a vapor distribution temperature control system 138. The vapor distribution temperature control system 138 can include a fluid source, a heat exchanger, one or more temperature sensors for measuring the fluid temperature or vapor distribution plate temperature or both, and a controller configured to control the temperature of the vapor distribution plate 131 from about 2O0C to about 15O0C. For a Ru3(CO)i2 precursor, the temperature of the vapor distribution plate 131 can be maintained at or above a temperature of about 65°C to avoid precursor condensation on the plate 131. [0038] As illustrated in FIG. 2, a metal precursor vaporization system 150 is configured to hold a ruthenium carbonyl precursor 152 and to evaporate (or sublime) the ruthenium carbonyl precursor 152 by elevating the temperature of the ruthenium carbonyl precursor. The terms "vaporization," "sublimation" and "evaporation" are used interchangeably herein to refer to the general formation of a vapor (gas) from a solid or liquid precursor, regardless of whether the transformation is, for example, from solid to liquid to gas, solid to gas, or liquid to gas. A precursor heater 154 is provided for heating the ruthenium carbonyl precursor 152 to maintain the ruthenium carbonyl precursor 152 at a temperature that produces a desired vapor pressure of ruthenium carbonyl precursor 152. The precursor heater 154 is coupled to a vaporization temperature control system 156 configured to control the temperature of the ruthenium carbonyl precursor 152. For example, the precursor heater 154 can be configured to adjust the temperature of the ruthenium carbonyl precursor 152 from about 400C to about 1500C, or from about 600C to about 900C. [0039] As the ruthenium carbonyl precursor 152 is heated to cause evaporation (or sublimation), a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 152, or any combination thereof. The CO-containing gas contains CO and optionally an inert carrier gas, such as N2, or a noble gas (i.e., He, Ne, Ar, Kr, Xe). According to an embodiment of the invention, a CO gas can be added to the inert gas. Alternately, other embodiments contemplate the CO gas replacing the inert gas. For example, a gas supply system 160 is coupled to the metal precursor vaporization system 150, and it is configured to, for instance, flow the CO gas, the inert gas, or both, over or through the ruthenium carbonyl precursor 152. Although not shown in FIG. 2, gas supply system 160 can also or alternatively be coupled to the vapor precursor delivery system 140 to supply the carrier gas and/or CO gas to the vapor of the metal precursor 152 as or after it enters the vapor precursor delivery system 140. The gas supply system 160 can comprise a gas source 161 containing an inert carrier gas, a CO gas, or a mixture thereof, one or more control valves 162, one or more filters 164, and a mass flow controller 165. For instance, the mass flow rate of the CO-containing gas can range from approximately 0.1 seem to approximately 1000 seem.
[0040] Additionally, a sensor 166 is provided for measuring the total gas flow from the metal precursor vaporization system 150. The sensor 166 can, for example, comprise a mass flow controller, and the amount of ruthenium carbonyl precursor vapor delivered to the process chamber 110 can be determined using sensor 166 and mass flow controller 165. Alternately, the sensor 166 can comprise a light absorption sensor to measure the concentration of the ruthenium carbonyl precursor in the gas flow to the process chamber 110.
[0041] A bypass line 167 can be located downstream from sensor 166, and it can connect the vapor delivery system 140 to an exhaust line 116. Bypass line 167 is provided for evacuating the vapor precursor delivery system 140, and for stabilizing the supply of the ruthenium carbonyl precursor vapor to the process chamber 110. In addition, a bypass valve 168, located downstream from the branching of the vapor precursor delivery system 140, is provided on bypass line 167. [0042] Referring still to FIG. 2, the vapor precursor delivery system 140 comprises a high conductance vapor line having first and second valves 141 and 142, respectively. Additionally, the vapor precursor delivery system 140 can further comprise a vapor line temperature control system 143 configured to heat the vapor precursor delivery system 140 via heaters (not shown). The temperatures of the vapor lines can be controlled to avoid condensation of the ruthenium carbonyl precursor vapor in the vapor line. The temperature of the vapor lines can be controlled from about 200C to about 1000C, or from about 40°C to about 9O0C. [0043] Moreover, a CO gas can be supplied from a gas supply system 190. For example, the gas supply system 190 is coupled to the vapor precursor delivery system 140, and it is configured to, for instance, mix the CO gas with the ruthenium carbonyl precursor vapor in the vapor precursor delivery system 140, for example, downstream of valve 141. The gas supply system 190 can comprise a CO gas source 191 , one or more control valves 192, one or more filters 194, and a mass flow controller 195. For instance, the mass flow rate of CO gas can range from approximately 0.1 seem (standard cubic centimeters per minute) to approximately 1000 seem.
[0044] Mass flow controllers 165 and 195, and valves 162, 192, 168, 141 , and 142 are controlled by controller 196, which controls the supply, shutoff, and the flow of the inert carrier gas, the CO gas, and the ruthenium carbonyl precursor vapor. Sensor 166 is also connected to controller 195 and, based on output of the sensor 166, controller 195 can control the carrier gas flow through mass flow controller 165 to obtain the desired ruthenium carbonyl precursor flow to the process chamber 110. [0045] As illustrated in FIG. 2, the exhaust line 116 connects exhaust chamber 113 to pumping system 118. A vacuum pump 119 is used to evacuate process chamber 110 to the desired degree of vacuum, and to remove gaseous species from the process chamber 110 during processing. An automatic pressure controller (APC) 115 and a trap 117 can be used in series with the vacuum pump 119. The vacuum pump 119 can include a turbo-molecular pump (TMP) capable of a pumping speed up to 500 liters per second (and greater). Alternately, the vacuum pump 119 can include a dry roughing pump. During processing, the process gas can be introduced into the process chamber 110, and the chamber pressure can be adjusted by the APC 115. The APC 115 can comprise a butterfly-type valve or a gate valve. The trap 117 can collect unreacted ruthenium carbonyl precursor material and by-products from the process chamber 110.
[0046] Referring back to the substrate holder 120 in the process chamber 110, as shown in FIG. 2, three substrate lift pins 127 (only two are shown) are provided for holding, raising, and lowering the substrate 125. The substrate lift pins 127 are coupled to plate 123, and can be lowered to below the Upper surface of substrate holder 120. A drive mechanism 129 utilizing, for example, an air cylinder provides means for raising and lowering the plate 123. Substrate 125 can be transferred into and out of process chamber 110 through gate valve 200 and chamber feed-through passage 202 via a robotic transfer system (not shown), and received by the substrate lift pins 127. Once the substrate 125 is received from the transfer system, it can be lowered to the upper surface of the substrate holder 120 by lowering the substrate lift pins 127.
[0047] Still referring to FIG. 2, a controller 180 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs of the processing system 100 as well as monitor outputs from the processing system 100. Moreover, the processing system controller 180 is coupled to and exchanges information with process chamber 110; precursor delivery system 105, which includes controller 196, vapor line temperature control system 143, and vaporization temperature control system 156; vapor distribution temperature control system 138; vacuum pumping system 118;-and substrate temperature control system 128. In the vacuum pumping system 118, the controller 180 is coupled to and exchanges information with the automatic pressure controller 115 for controlling the pressure in the process chamber 110. A program stored in the memory is utilized to control the aforementioned components of deposition system 100 according to a stored process recipe. One example of processing system controller 180 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Dallas, Texas.
[0048] The controller 180 may be implemented as a general purpose computer system that performs a portion or all of the microprocessor-based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive. One or more processors in a multi-processing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
[0049] The controller 180 includes at least one computer readable medium or memory, such as the controller memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention. Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of. holes, a carrier wave (described below), or any other medium from which a computer can read.
[0050] Stored on any one or on a combination of computer readable media, the present invention includes software for controlling the controller 180, for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user. Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention. [0051] The computer code devices of the present invention may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs. Moreover, parts of the processing of the present invention may be distributed for better performance, reliability, and/or cost. [0052] The term "computer readable medium" as used herein refers to any medium that participates in providing instructions to the processor of the controller 180 for execution. A computer readable medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Nonvolatile media includes, for example, optical disks, magnetic disks, and magneto- optical disks, such as the hard disk or the removable media drive. Volatile media includes dynamic memory, such as the main memory. Moreover, various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to the processor of the controller for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 180.
[0053] The controller 180 may be locally located relative to the deposition system 100, or it may be remotely located relative to the deposition system 100. For example, the controller 180 may exchange data with the deposition system 100 using at least one of a direct connection, an intranet, the Internet and a wireless connection. The controller 180 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the controller 180 may be coupled to the Internet. Furthermore, another computer (i.e., controller, server, etc.) may access, for example, the controller 180 to exchange data via at least one of a direct connection, an intranet, and the Internet. As also would be appreciated by those skilled in the art, the controller 180 may exchange data with the deposition system 100 via a wireless connection. [0054] FIG. 3 schematically shows a processing tool for processing a substrate according to embodiments of the invention. The processing tool 800 contains substrate loading chambers 810 and 820, processing systems 830 - 860, robotic transfer system 870, and controller 880. In one embodiment of the invention, the processing system 840 can be configured for depositing a Ru metal layer on a substrate in a chemical vapor deposition process. The processing system 840 can, for example, be the deposition systems 1 , 100 depicted in FIGS. 1 and 2. [0055] The processing system 830 can, for example, be a plasma processing system configured for modifying the deposited Ru layer by exposure to an oxygen- containing plasma, or a nitrogen-containing plasma, or a combination thereof. Embodiments of the invention contemplate use of any plasma processing system capable of forming a plasma for exposing a Ru layer to a plasma. Several examples of plasma processing systems suitable for plasma exposing and annealing a Ru layer according to embodiments of the invention are described in U.S. Patent Application No. 11/045,124, titled " METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE", filed on January 31 , 2005, the entire contents of which are hereby incorporated by reference. According to one embodiment of the invention, the processing system 830 can be a TRIAS™ SPA processing system from Tokyo Electron Limited, Akasaka, Japan. According to an embodiment of the invention, the processing system 830 can be further configured to treat the Ru layer by exposure to a hydrogen-containing plasma, and/or to anneal the substrate by heating and maintaining the substrate at a temperature between about 100°C and about 500°C. Furthermore, the plasma processing system 830 can be configured for exposing the substrate to a noble gas, O2 gas, N2 gas, NH3 gas, H2 gas, or a combination of two or more thereof. As those skilled in the art will readily recognize, the plasma processing system 830 may also be utilized to clean the substrate of any oxide or contaminants before depositing a Ru layer onto the substrate. [0056] According to one embodiment of the invention, an ultra thin Cu layer can be formed on the modified Ru layer in the processing system 850 prior to performing a Cu plating. The processing system 850 can be configured to carry out physical vapor deposition of an ultra thin Cu layer on the modified Ru layer and can, for example, be configured to carry out ionized physical vapor deposition (IPVD). IPVD systems for depositing a Cu metal layer onto a substrate are well known to those skilled in the art. One example of an IPVD system is described in U.S. Patent No. 6,287,435.
[0057] A plating system 890 is operatively coupled to the processing tool 800 through the substrate loading chamber 810. The plating system 890 can, for example, be configured for performing an electrochemical or electroless plating process for plating a Cu layer onto a substrate containing the modified Ru layer or a substrate containing an ultra thin Cu layer formed on the ^modified Ru layer. Electrochemical and electroless plating systems are well known to those skilled in the art and are readily available commercially. Furthermore, the processing tool 800 can be configured to expose the substrate to air when transferring the substrate from the substrate loading chamber 810 to plating system 890. Alternately, the processing system 860 can be a Cu plating system. The processing system 860 can be configured to process a substrate without exposing the substrate to air. [0058] The processing tool 800 can be controlled by a controller 880. The controller 880 can be coupled to and exchange information with substrate loading chambers 810 and 820, processing systems 830-860, and robotic transfer system 870. In one example, the controller 880 can further control the plating system 890. In another example, the plating system 890 can contain a separate controller for controlling the functions of the plating system 890. For example, a program stored in the memory of the controller 880 can be utilized to control the aforementioned components of the processing tool 800 according to a desired process, and to perform any functions associated with monitoring the process. One example of controller 880 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Texas.
[0059] FIG. 4A is a flowchart for processing a substrate according to an embodiment of the invention. Referring now to FIG. 4A and FIGS. 5A - 5D, the process 400 includes, at 402, disposing a substrate 502 in a process chamber of a deposition system. The deposition system can, for example, include the depositions systems 1 , 100 described above in FIGS. 1 and 2. Furthermore, the deposition system can be the processing system 840 of the processing tool 800 in FIG. 3. The substrate 502 can, for example, be a Si substrate. A Si substrate can be of n- or p- type, depending on the type of device being formed. The substrate can be of any size, for example a 200 mm substrate, a 300 mm substrate, or an even larger substrate. According to an embodiment of the invention, and as further described in FIGS. 5A - 6D, the substrate can be a planar substrate or a patterned substrate containing one or more vias or trenches, or combinations thereof. [0060] In step 404, a Ru layer 504 is deposited on the substrate 502 in a chemical vapor deposition process. Although not shown, the deposited Ru layer 504 may contain contaminants distributed within the Ru layer 504. It is contemplated that the contaminants may have the highest concentration near the top of the layer 504. The contaminants can, for example contain CO or reaction products (e.g., carbon and oxygen) from CO dissociation originating from dissociation of a ruthenium carbonyl precursor, such as a Ru3(CO)12 precursor. In addition, or in the alternative, the contaminants may be due to adsorption of CO or other gaseous species (e.g., H2O) from the process environment onto the Ru layer 504 during or after the Ru deposition step 404. In the case of ruthenium organometallic precursors, the contaminants can, for example, include carbon species from dissociation of the precursor ligands, or adsorption of other gaseous species from the process environment onto the Ru layer 504. According to one embodiment of the invention, a thickness of the Ru layer 504 can be between about 1 nm and about 30 nm. According to another embodiment of the invention, a thickness of the Ru layer 504 can be between about 1.5 nm and about 10 nm.
[0061] According to one embodiment of the invention, the Ru layer 504 can be deposited by exposing the substrate to a process gas containing a ruthenium carbonyl precursor vapor and a CO gas. The ruthenium precursor can, for example, be Ru3(CO)i2. The process gas can further contain an inert gas such as N2 or a noble gas. The noble gas can include He, Ne, Ar, Kr, or Xe, or a combination of two or more thereof. The substrate can, for example, be maintained at a temperature between about 100°C and about 4000C during the exposing. Alternately, the substrate can be maintained at a temperature between about 1500C and about 3000C during the exposing. Furthermore, the process chamber can be maintained at a pressure between about 0.1 mTorr and about 200 mTorr during the exposing. [0062] According to another embodiment of the invention, the Ru layer 504 can be deposited by exposing the substrate to a process gas containing a ruthenium organometallic precursor. The ruthenium organometallic precursor can, for example, be selected from any of the above-mentioned ruthenium organometallic precursors. The process gas can further contain an inert gas such as N2 or a noble gas, a reducing gas (e.g., H2 or O2), or a combination thereof.
[0063] In step 406, the Ru layer 504 is modified by oxidation, or nitridation, or a combination thereof, to form a modified Ru layer 506, as shown in FIG. 5C. Step 406 may, for example, be carried out in processing system 830 of the processing tool 800 in FIG. 3. The current inventors have realized that modifying a deposited Ru layer 504 according to embodiments of the invention can reduce or eliminate problems encountered for an as-deposited Ru layer 504, including insufficient resistance to Cu diffusion through the Ru layer 504. It is contemplated that the modifying step 406 at least partially removes any contaminants from the Ru layer 504 and incorporates oxygen and/or nitrogen species into the Ru layer 504, thereby improving the barrier properties of the Ru layer 504. The modifying step 406 can be performed at a gas pressure between about 10 mTorr and about 1000 Torr. Alternately, the modifying step 406 can be performed at a gas pressure between about 100 mTorr and about 10 Torr.
[0064] According to an embodiment of the invention, the modifying step 406, can be carried out without annealing the substrate. According to another embodiment of the invention, the modifying step 406 can be carried out while annealing the substrate. According to yet another embodiment of the invention, the substrate may be annealed prior to or following the plasma exposing step 406. In one example, the annealing may at least partially overlap with the Ru deposition step 404. According to another embodiment of the invention, annealing of the substrate and the modifying in step 406 can at least partially overlap in time.
[0065] In step 408, an ultra thin Cu layer 508 shown in FIG. 5D is deposited on the modified Ru layer 506. The ultra thin Cu layer 508 can, for example, be deposited by IPVD using the processing system 850 in FIG. 3. The thickness of the ultra thin Cu layer 508 can, for example, between about 1 nm and about 30 nm. By way of further example, ultra thin Cu layer 508 can be between about 5 nm and about 20 nm. The ultra thin Cu layer 508 may be thinner than a conventional Cu seed layer used for Cu plating, where the thickness is commonly greater than about 50nm.
[0066] In step 410, a bulk Cu layer 510 shown in FIG. 5E is plated on the ultra thin Cu layer 508 from step 408. The bulk Cu layer 510 can, for example, be plated using the processing system 860 or the plating system 890 described in FIG. 3. [0067] As would be appreciated by those skilled in the art, each of the steps or stages in the flowchart of FIG. 4A may encompass one or more separate steps and/or operations. Accordingly, the recitation of only five steps in 402, 404, 406, 408, 410 should not be understood to limit the method of the present invention solely to five steps or stages. Moreover, each representative step or stage 402, 404, 406, 408, 410 should not be understood to be limited to only a single process. [0068] FIG. 4B is a flowchart for processing a substrate according to another embodiment of the invention. In FIG. 4B, the steps 420, 422, 424, 428 and 430 correspond to the steps 402, 404, 406, 408 and 410, respectively, described above in reference to FIG. 4A. In FIG. 4B, the process 401 includes, in step 426, treating the modified Ru layer by exposure to a hydrogen-containing plasma, annealing or both. According to an embodiment of the invention, the hydrogen-containing plasma can contain H2. According to another embodiment of the invention, the hydrogen- containing plasma can contain H2 and a noble gas. According to an embodiment of the invention, the annealing described above may be performed while exposing the Ru layer 504 to a noble gas, N2 gas, NH3 gas, H2 gas, or a combination thereof. [0069] As would be appreciated by those skilled in the art, each of the steps or stages in the flowchart of FIG. 4B may encompass one or more separate steps and/or operations. Accordingly, the recitation of only six steps in 420, 422, 424, 426, 428, 430 should not be understood to limit the method of the present invention solely to six steps or stages. Moreover, each representative step or stage 420, 422, 424, 426, 428, 430 should not be understood to be limited to only a single process. [0070] In one embodiment of the invention, the processing tool 800 is configured for depositing a Ru layer 504 on a substrate in the processing system 840, plasma exposing the Ru layer 504 in the processing system 830, depositing an ultra thin Cu layer 508 on the modified Ru layer 506 in the processing system 850, and plating a Cu layer 510 onto the ultra thin Cu layer 508 in processing system 860 or plating system 890. Furthermore, the modified Ru layer 506 may be exposed to an oxygen- containing ambient (e.g., air) before, after, or before and after depositing the ultra thin Cu layer 508. Alternately, the processing tool 800 may be configured to prevent exposure of the modified Ru layer 506 to an oxygen-containing ambient before, after, or before and after depositing the ultra thin Cu layer 508. [0071] In addition to improving adhesion of the plated bulk Cu layer 510 to the modified Ru layer 506, the use of an ultra thin Cu layer 508 can reduce the effect of the terminal ('resistive substrate') effect that is commonly encountered in electrochemical plating processing where a non-uniform thickness of the plated Cu layer over the whole substrate (wafer) is observed. The terminal effect is the tendency for the current density to be non-uniform as a result of the ohmic potential drop associated with conducting current from the substrate edge to the entire substrate surface through a thin resistive layer. This problem can be more severe for a highly resistive non-Cu (e.g., Ru) layer than a lower resistivity Cu layer. The sheet resistance of a non-Cu layer can be orders of magnitude higher than that of today's Cu seed layers and straightforward extension of methods currently used to manipulate current distribution (e.g., electrolyte conductivity) generally may not be adequate to combat the terminal effect experienced using a non-Cu seed layer. [0072] FIGS. 6A - 6D schematically show formation of a plated Cu layer onto a patterned structure according to an embodiment of the invention. As those skilled in the art will readily appreciate, embodiments of the invention can be applied to a variety of patterned structures and substrates containing one or more vias or trenches, or combinations thereof. FIG. 6A shows a patterned structure 601 containing a first metal layer 610 and a patterned layer 612 containing an opening 620. The patterned layer 612 can, for example, be a dielectric material. The structure 601 further contains a Ru layer 614 deposited on the patterned layer 612 and the first metal layer 610. Although not shown, those skilled in the art will appreciate that the patterned structure 601 can further contain an additional barrier layer (not shown) formed on the exposed surface of the patterned layer 612 and the first metal layer 610, underneath the Ru layer 614. The additional barrier layer can, for example, be a tantalum-containing layer (e.g., Ta, TaN, or TaCN, or a combination thereof) or a tungsten-containing layer (e.g., W, or WN, or a combination thereof).
[0073] FIG. 6B shows a patterned structure 602 containing a modified Ru layer 616 formed by oxidation, or nitridation, or a combination thereof, of the patterned structure 601 of FIG. 6A according to an embodiment of the invention. [0074] FIG. 6C shows a patterned structure 603 containing an ultra thin Cu layer 618 formed on the modified Ru layer 616. [0075] FIG. 6D shows a patterned structure 604 containing a plated Cu layer 622 formed by a Cu plating process onto the ultra-thin Cu layer 618. [0076] Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

WHAT IS CLAIMED IS:
1. A method for processing a substrate, comprising: depositing a Ru layer onto the substrate in a chemical vapor deposition process; modifying the deposited Ru layer by oxidation, or nitridation, or a combination thereof; depositing an ultra thin Cu layer onto the modified Ru layer; and plating a bulk Cu layer onto the ultra thin Cu layer.
2. The method according to claim 1 , wherein the depositing comprises: exposing the substrate to a process gas comprising a ruthenium carbonyl precursor and CO gas.
3. The method according to claim 1 , wherein the depositing comprises: exposing the substrate to a process gas comprising Ru3(CO)i2 and CO gas.
4. The method according to claim 1 , wherein the depositing comprises: exposing the substrate to a process gas comprising a ruthenium organometallic precursor and a reducing gas.
5. The method according to claim 4, wherein the ruthenium organometallic precursor comprises (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium, bis(2,4-dimethylpentadienyl) ruthenium, (2,4-dimethylpentadienyl) (methylcyclopentadienyl) ruthenium, or bis(ethylcyclopentadienyl) ruthenium, or a combination of two or more thereof.
6. The method according to claim 4, wherein the reducing gas comprises H2 Or O2.
7. The method according to claim 1 , wherein the depositing further comprises: maintaining the substrate at a temperature between about 1000C and about 4000C.
8. The method according to claim 1 , wherein the depositing is performed at a process pressure between about 0.1 mTorr and about 200 mTorr.
9. The method according to claim 1 , wherein a thickness of the Ru layer is between about 1 nm and about 30 nm.
10. The method according to claim 1 , wherein a thickness of the Ru layer is between about 1.5 nm and about 10 nm.
11. The method according to claim 1 , wherein the modifying by oxidation comprises exposing the first Ru layer to air, O2 gas, or an oxygen-containing plasma.
12. The method according to claim 11 , wherein the oxygen-containing plasma comprises O2 gas and a noble gas.
13. The method according to claim 1 , wherein the modifying by nitridation comprises exposing the first Ru layer to a nitrogen-containing plasma.
14. The method according to claim 13, wherein the nitrogen-containing plasma comprises N2 gas or NH3 gas, and a noble gas.
15. The method according to claim 1 , wherein the modifying is performed at a gas pressure between about 10 mTorr and about lOOOTorr.
16. The method according to claim 1 , wherein the modifying further comprises: annealing the substrate at a substrate temperature between about 100°C and about 5000C.
17. The method according to claim 1 , further comprising: prior to depositing the ultra thin Cu layer, treating the modified Ru layer, wherein the treating comprises exposing the modified Ru layer to a hydrogen- containing plasma, or annealing the substrate, or a combination thereof.
18. The method according to claim 17, wherein the hydrogen-containing plasma comprises H2 gas and a noble gas.
19. The method according to claim 1 , further comprising: prior to depositing the ultra thin Cu layer, annealing the substrate in the absence of a plasma at a substrate temperature between about 1000C and about 500°C while exposing the modified Ru layer to a noble gas, N2 gas, NH3 gas, H2 gas, or a combination of two or more thereof.
20. The method according to claim 1 , wherein the ultra thin Cu layer is deposited by an ionized physical vapor deposition process.
21. The method according to claim 1 , wherein a thickness of the ultra thin Cu layer is between about 1 nm and about 30 nm.
22. The method according to claim 1 , wherein a thickness of the ultra thin Cu layer is between about 5 nm and about 20 nm.
23. A computer readable medium containing program instructions for execution on a processor, which when executed by the processor, cause a processing tool to perform the steps in the method recited in claim 1.
24. A method for processing a substrate, comprising: depositing a Ru layer onto the substrate in a chemical vapor deposition process from a process gas comprising RU3(CO)I2 and CO gas, the Ru layer having a thickness between about 1 nm and about 30 nm; modifying the deposited Ru layer by oxidation, or nitridation, or a combination thereof; depositing an ultra thin Cu layer onto the modified Ru layer, the ultra thin Cu layer having a thickness between about 1 nm and about 30 nm; and plating a Cu layer onto the ultra thin Cu layer.
PCT/US2006/026688 2005-09-28 2006-07-10 Method for integrating a ruthenium layer with bulk copper in copper metallization WO2007040704A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/238,756 2005-09-28
US11/238,756 US7713876B2 (en) 2005-09-28 2005-09-28 Method for integrating a ruthenium layer with bulk copper in copper metallization

Publications (1)

Publication Number Publication Date
WO2007040704A1 true WO2007040704A1 (en) 2007-04-12

Family

ID=37560744

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/026688 WO2007040704A1 (en) 2005-09-28 2006-07-10 Method for integrating a ruthenium layer with bulk copper in copper metallization

Country Status (3)

Country Link
US (1) US7713876B2 (en)
TW (1) TWI360167B (en)
WO (1) WO2007040704A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010168349A (en) * 2008-12-25 2010-08-05 Tosoh Corp Ruthenium compound, method for producing the same and method for forming film by using the same

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070187386A1 (en) * 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
JP5119618B2 (en) * 2006-07-20 2013-01-16 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, and storage medium
US8283485B2 (en) * 2007-06-21 2012-10-09 Air Products And Chemicals, Inc. Process for selectively depositing copper thin films on substrates with copper and ruthenium areas via vapor deposition
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US7799681B2 (en) 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US20110263123A1 (en) * 2008-08-05 2011-10-27 Tokyo Electron Limited Placing table structure
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
TWI419280B (en) * 2009-01-16 2013-12-11 Univ Nat Taiwan Electronic device for preventing diffusion of metals
US20110312148A1 (en) * 2010-06-18 2011-12-22 Applied Materials, Inc. Chemical vapor deposition of ruthenium films containing oxygen or carbon
US9206507B2 (en) 2011-09-27 2015-12-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing films depositions
US20130146468A1 (en) * 2011-12-08 2013-06-13 Applied Materials, Inc. Chemical vapor deposition (cvd) of ruthenium films and applications for same
WO2013117955A1 (en) 2012-02-07 2013-08-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for the deposition of a ruthenium containing film using arene diazadiene ruthenium(0) precursors
US10157784B2 (en) * 2016-02-12 2018-12-18 Tokyo Electron Limited Integration of a self-forming barrier layer and a ruthenium metal liner in copper metallization
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9716063B1 (en) 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9941212B2 (en) * 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US11177171B2 (en) 2019-10-01 2021-11-16 International Business Machines Corporation Encapsulated top via interconnects
US11854878B2 (en) * 2019-12-27 2023-12-26 Taiwan Semiconductor Manufacturing Ltd. Bi-layer alloy liner for interconnect metallization and methods of forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849122B1 (en) * 2001-01-19 2005-02-01 Novellus Systems, Inc. Thin layer metal chemical vapor deposition
US20050186341A1 (en) * 2004-02-23 2005-08-25 Hendrix Bryan C. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4348263A (en) * 1980-09-12 1982-09-07 Western Electric Company, Inc. Surface melting of a substrate prior to plating
US5130172A (en) * 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals
KR0172772B1 (en) * 1995-05-17 1999-03-30 김주용 Method of forming ruo2 film of semiconductor equipment
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6218297B1 (en) * 1998-09-03 2001-04-17 Micron Technology, Inc. Patterning conductive metal layers and methods using same
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
KR100389913B1 (en) * 1999-12-23 2003-07-04 삼성전자주식회사 Forming method of Ru film using chemical vapor deposition with changing process conditions and Ru film formed thereby
TW490718B (en) * 2000-01-25 2002-06-11 Toshiba Corp Semiconductor device and the manufacturing method thereof
US6380080B2 (en) * 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
KR100331570B1 (en) * 2000-06-13 2002-04-06 윤종용 Method for manufacturing capacitor of semiconductor memory device using electroplating method
US6903005B1 (en) * 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
JP3916565B2 (en) 2001-01-22 2007-05-16 東京エレクトロン株式会社 Manufacturing method of electronic device material
US20030036242A1 (en) * 2001-08-16 2003-02-20 Haining Yang Methods of forming metal-comprising materials and capacitor electrodes; and capacitor constructions
KR100450470B1 (en) * 2001-12-20 2004-10-01 주성엔지니어링(주) Ru thin film forming method using plasma enhanced process
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6989457B2 (en) * 2003-01-16 2006-01-24 Advanced Technology Materials, Inc. Chemical vapor deposition precursors for deposition of tantalum-based materials
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US7107998B2 (en) * 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7211509B1 (en) * 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849122B1 (en) * 2001-01-19 2005-02-01 Novellus Systems, Inc. Thin layer metal chemical vapor deposition
US20050186341A1 (en) * 2004-02-23 2005-08-25 Hendrix Bryan C. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
DATABASE INSPEC [online] THE INSTITUTION OF ELECTRICAL ENGINEERS, STEVENAGE, GB; December 2004 (2004-12-01), OH-KYUM KWON ET AL: "PEALD of a ruthenium adhesion Layer for copper interconnects", XP002417185, Database accession no. 8185118 *
GOSWAMI I ET AL: "TRANSITION METALS SHOW PROMISE AS COPPER BARRIERS", SEMICONDUCTOR INTERNATIONAL, CAHNERS PUB., NEWTON, MAS, IL, US, vol. 27, no. 5, May 2004 (2004-05-01), pages 49 - 54, XP008072872, ISSN: 0163-3767 *
JOURNAL OF THE ELECTROCHEMICAL SOCIETY ELECTROCHEM. SOC USA, vol. 151, no. 12, December 2004 (2004-12-01), pages C753 - C756, XP002413373, ISSN: 0013-4651 *
MALHOTRA S G ET AL: "INTEGRATION OF DIRECT PLATING OF CU ONTO A CVD RU LINER", ADVANCED METALLIZATION CONFERENCE. PROCEEDINGS OF THE CONFERENCE, XX, XX, 2004, pages 525 - 530, XP008067596 *
WANG Q ET AL: "Low-temperature chemical vapor deposition and scaling limit of ultrathin Ru films", APPLIED PHYSICS LETTERS, AIP, AMERICAN INSTITUTE OF PHYSICS, MELVILLE, NY, US, vol. 84, no. 8, 23 February 2004 (2004-02-23), pages 1380 - 1382, XP012062189, ISSN: 0003-6951 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010168349A (en) * 2008-12-25 2010-08-05 Tosoh Corp Ruthenium compound, method for producing the same and method for forming film by using the same
US8748644B2 (en) 2008-12-25 2014-06-10 Tosoh Corporation Ruthenium compound, method of producing the same, method of producing ruthenium-containing thin film using the same, and ruthenium-containing thin film

Also Published As

Publication number Publication date
TW200717624A (en) 2007-05-01
US20070072415A1 (en) 2007-03-29
TWI360167B (en) 2012-03-11
US7713876B2 (en) 2010-05-11

Similar Documents

Publication Publication Date Title
US7713876B2 (en) Method for integrating a ruthenium layer with bulk copper in copper metallization
US7288479B2 (en) Method for forming a barrier/seed layer for copper metallization
US20070069383A1 (en) Semiconductor device containing a ruthenium diffusion barrier and method of forming
US7279421B2 (en) Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7678421B2 (en) Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7396766B2 (en) Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US7482269B2 (en) Method for controlling the step coverage of a ruthenium layer on a patterned substrate
US7473634B2 (en) Method for integrated substrate processing in copper metallization
US7273814B2 (en) Method for forming a ruthenium metal layer on a patterned substrate
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7776740B2 (en) Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
JP2013507008A5 (en)
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06786740

Country of ref document: EP

Kind code of ref document: A1