WO2005119733A1 - Blocker plate bypass to distribute gases in a chemical vapor deposition system - Google Patents

Blocker plate bypass to distribute gases in a chemical vapor deposition system Download PDF

Info

Publication number
WO2005119733A1
WO2005119733A1 PCT/US2005/017561 US2005017561W WO2005119733A1 WO 2005119733 A1 WO2005119733 A1 WO 2005119733A1 US 2005017561 W US2005017561 W US 2005017561W WO 2005119733 A1 WO2005119733 A1 WO 2005119733A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
plate
chamber
blocker plate
feed
Prior art date
Application number
PCT/US2005/017561
Other languages
French (fr)
Inventor
Ganesh Balasubramanian
Juan Carlos Rocha-Alvarez
Tom K. Cho
Daemian Raj
Deenesh Padhi
Thomas Nowak
Bok Hoen Kim
Hichem M'saad
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN2005800130964A priority Critical patent/CN1989587B/en
Priority to KR1020067027335A priority patent/KR101165110B1/en
Publication of WO2005119733A1 publication Critical patent/WO2005119733A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • Embodiments of the invention generally relate to semiconductor substrate processing systems. More particularly, embodiments of the invention relate to methods and apparatus for delivering gases into a processing chamber.
  • a gas distribution plate or faceplate of the deposition chamber includes a plurality of spaced holes that process gases pass through to aid in distributing the materials in the chamber.
  • the deposition processes typically result in deposition of some of the materials on walls and components of the deposition chamber. For example, a layer of deposition material often forms on the gas distribution plate, which may clog the holes of the plate or flake off in particles that rain down on the substrate, thereby affecting the uniformity of deposition on the substrate and contaminating the substrate. Consequently, the interior of the deposition chamber requires cleaning on a regular basis.
  • a remote plasma cleaning procedure may be employed using an etchant plasma generated remotely from the deposition chamber by introduction of an etchant gas such as a fluorine containing gas to a remote high density plasma source, which may be a microwave plasma system, a toroidal plasma generator or a similar device.
  • an etchant gas such as a fluorine containing gas
  • a remote high density plasma source which may be a microwave plasma system, a toroidal plasma generator or a similar device.
  • Dissociated species from the etchant plasma transported to the deposition chamber react with and etch away the undesired deposition build up.
  • Another method to remove the unwanted deposition material that builds up on the interior of chamber walls involves an in situ chamber clean operation.
  • Common in situ chamber cleaning techniques include the use of an etchant gas such as a fluorine containing gas to remove the deposited material from the chamber walls and other areas.
  • the etchant gas is introduced into the chamber and plasma is formed within the chamber so that the etchant gas reacts with and removes the deposited material from the chamber walls.
  • both the process gases and the cleaning gases pass through a common feed path to a blocker plate that aids in uniformly distributing the gases prior to their passage through the gas distribution plate.
  • Some deposition processes operate at reduced flow significantly reducing chamber cleaning efficiency when the cleaning gases are passed through the common feed that is adapted for the reduced flow. The lower clean efficiency increases the amount of time it takes to clean the chamber, increases the amount of cleaning gases consumed, and reduces the number of substrates that can be processed in a given time (i.e., throughput).
  • One design that enables the cleaning gases to bypass the blocker plate routes all of the cleaning gases to a periphery of the gas distribution plate in order to increase the cleaning rate at the perimeter of the chamber compared to the center of the chamber.
  • the center of the chamber may not be sufficiently cleaned with all the cleaning gases directed to the periphery of the gas distribution plate.
  • Embodiments of the invention generally provide apparatus and methods for distributing gases into a processing chamber.
  • the apparatus includes a gas distribution plate having a plurality of apertures disposed therethrough and a blocker plate having both a plurality of apertures disposed therethrough and a plurality of feed through passageways disposed therein.
  • a first gas pathway delivers a first gas through the plurality of apertures in the blocker plate and the plurality of apertures in the gas distribution plate.
  • a bypass gas pathway delivers a second gas through the plurality of feed through passageways in the blocker plate and to areas around the blocker plate prior to the second gas passing through the gas distribution plate.
  • Figure 1 is a schematic view of a chemical vapor deposition (CVD) system, which incorporates various embodiments of the present invention.
  • Figure 2 is a perspective view of a gas distribution assembly for the CVD system, which incorporates various embodiments of the present invention.
  • Figure 3 is a top plan view of the gas distribution assembly, which incorporates various embodiments of the present invention.
  • Figure 4 is a partial cross section of the gas distribution assembly of Figure 3 along a section line 4-4.
  • Figure 5 is a partial cross section of the gas distribution assembly of Figure 4 along a section line 5-5.
  • Figure 6 is a partial cross section of an alternative embodiment of a gas box for use in a gas distribution assembly for a CVD system.
  • Figure 7 is a partial cross section of the gas distribution assembly of Figure 6 along a section line 7-7.
  • Embodiments of the invention generally relate to apparatus and methods for distributing gases into a processing chamber, such as a chemical vapor deposition (CVD) apparatus.
  • Figure 1 illustrates an exemplary CVD apparatus 100, which incorporates various embodiments of the invention.
  • the CVD apparatus 100 is an improvement of a Producer ® chamber, which is commercially available from Applied Materials, Inc. of Santa Clara, California.
  • the CVD apparatus 100 is described in more detail in commonly assigned U.S. Patent No. 6,495,233 B1 , issued December 17, 2002, which is incorporated herein by reference.
  • the CVD apparatus 100 includes electrically grounded external walls 106, an internal wall 108, and a gas distribution assembly 110, which concurrently define a first chamber 102 and a second chamber 104.
  • the internal wall 108 isolates the first and second chambers 102 and 104 from one another.
  • Pedestals 114 disposed substantially centered within each of the chambers 102 and 104 support substrates 116.
  • the substrates 116 rest on, or secure to, the pedestals 114 through the use of electrostatic force, mechanical or vacuum clamping, gravitational force or the like.
  • a gas panel 112 couples to the CVD apparatus 100 and provides process and other gases as required for conventional CVD to occur within the first and second chambers 102 and 104.
  • the CVD chamber 100 may also couple to an RF source 118 for forming a plasma within the chamber.
  • Figures 2 and 3 illustrate perspective and top views of the gas distribution assembly 110.
  • the gas distribution assembly 110 includes a lid plate 228, a first gas box 208, a second gas box 210, and a remote plasma source 200.
  • the first gas box 208 is centrally disposed on the lid plate 228 along the chamber centerline 120A
  • the second gas box 210 is centrally disposed on the lid plate 228 along the chamber centerline 120B.
  • the lid plate 228 is generally fabricated from a conductive material, such as aluminum.
  • the lid plate 228 affixes to one of the electrically grounded external walls 106 by one or more hinges 214, and a handle 216 facilitates opening of the lid plate 228.
  • the gas distribution assembly 110 additionally includes a pair of inlet manifolds 218 (one of which is partially obscured by the remote plasma source 200 in Figure 2) and a pair of constant voltage gradient feed channels 220 (also, one of which is partially obscured by the remote plasma source 200 in Figure 2).
  • Each inlet manifold 218 disposed upon the lid plate 228 adjacent to each gas box 208 and 210 receives process gases from gas passages 424 that are in fluid communication with the gas panel 112 (shown in Figure 1 ).
  • the feed channel 220 defines a feed passage 425 (shown in Figure 4) that connects each inlet manifold 218 to the respective gas box.
  • the feed channel 220 is made of an electrically insulating material and electrically isolates the inlet manifold 218 from the gas boxes 208 and 210.
  • each inlet manifold 218 includes an inlet heat exchange fitting and an outlet heat exchange fitting 217 and 219, respectively, for circulating a cooling fluid, e.g., water.
  • the cooling fluid circulates at a temperature range of about 65 degrees Celsius to about 70 degrees Celsius through channels (not shown) extending through each inlet manifold 218 and the gas distribution assembly 110.
  • the remote plasma source 200 delivers and sustains a cleaning gas for removing unwanted deposition material from chambers 102 and 104.
  • the cleaning gas may be a halogen-containing gas, such as a fluorine-containing gas.
  • the cleaning gas is NF 3 .
  • the processing conditions and ranges described herein for cleaning gases can be used with NF 3 .
  • Other cleaning gases that can be used include F 2 , C 4 , C 3 F 8 , C 2 F 4 , SF 6 , C 2 F 6 , CCI 4 , and C 2 CI 6 .
  • the remote plasma source 200 may be an ASTRON ® generator, which is commercially available from MKS Instruments, Inc. of Wilmington, Massachusetts.
  • a bracket 212 centrally supports the remote plasma source 200 above the lid plate 228.
  • the remote plasma source 200 delivers the cleaning gas to the chambers 102 and 104 via a divider 202, a first conduit 204, and a second conduit 206.
  • the divider 202 couples to the remote plasma source 200 and both the first conduit 204 and the second conduit 206 to form a "tee.”
  • the first conduit 204 couples the divider 202 to the first gas box 208 while the second conduit 206 couples the divider 202 to the second gas box 210.
  • the first and second conduits 204 and 206 are fabricated from a dielectric material to electrically isolate the gas boxes 208 and 210 from the remote plasma source 200.
  • the cleaning gas thus enters the respective chamber by flowing out of the remote plasma source 200 into the divider 202, then through the respective conduit and gas box into the respective chamber.
  • the CVD apparatus 100 shown includes only a single remote plasma source 200, embodiments of the invention may be used with any chamber having any number of remote plasma sources.
  • commonly assigned U.S. Serial No. 10/122,481 filed April 12, 2002 and entitled “METHOD FOR CLEANING A PROCESS CHAMBER,” which is incorporated herein by reference, describes a Producer ® chamber with two remote plasma sources.
  • the first gas box 208 (and the second gas box 210 which is not shown but is analogous to the first gas box 208) includes a mixing block 230, a mounting plate 426 and a showerhead 437.
  • the showerhead 437 includes a blocker plate 436 and a generally dish-shaped gas distribution plate 438 having a perforated bottom 464.
  • the mounting plate 426 includes a center aperture 418 and three mounting holes 232 for securing the mounting plate to the lid plate 228.
  • the mounting plate and gas distribution plate 438 are fabricated from a conductive material, such as aluminum.
  • RF coupling tabs 222 (shown in Figure 3) couple the mounting plates 426 of the first and second gas boxes 208 and 210 to the RF source 118.
  • the RF power couples through the mounting plate 426 to the gas distribution plate 438 since a rim 462 of the gas distribution plate 438 abuts against a flange 460 of the. mounting plate 426.
  • An inward shoulder 473 around the bottom perimeter of the mounting plate 426 defines a center portion 475 or protrusion of the mounting plate 426 spaced from and surrounded by the gas distribution plate 438.
  • the blocker plate 436 couples to the bottom surface of the center portion 475 of the mounting plate 426.
  • the blocker plate 436 includes an annular lip facing the mounting plate 426 that forms a gap 448 between the blocker plate 436 and the center portion 475 of the mounting plate 426.
  • the blocker plate 436 may be a high pressure blocker plate having reduced number of perforations and/or reduced diameter of apertures forming the perforations in order to provide sufficient back pressure such as when depositing low dielectric constant material (e.g., Black DiamondTM process commercially available from Applied Materials, Inc. of Santa Clara, California). Space between the blocker plate 436 and the gas distribution plate 438 defines a plenum 458.
  • the perforated bottom 464 of the gas distribution plate 438 subjects the processing gases and the cleaning gases to a slight flow restriction that causes the various gases to further diffuse radially across the gas distribution plate 438 prior to passing into the chamber 104.
  • the mixing block 230 that is centrally disposed upon the mounting plate 426 distributes the processing gases from the passage 425 to a first flow path passing through the blocker plate 436 and distributes the cleaning gases from the first conduit 204 to a bypass flow path that bypasses the blocker plate 436.
  • Arrows 480 illustrate flow through the first flow path
  • arrows 481 illustrate flow through the bypass flow path.
  • the mixing block includes a housing 402, a vortex generator 404, and a gas delivery tube 410.
  • the vortex generator 404 includes a wall 450 and a bottom 452 that define a substantially cylindrical interior volume 454 having an exit aperture 456.
  • a center passage 444 of the gas delivery tube 410 aligns with the exit aperture 456.
  • the gas delivery tube 410 affixes to the bottom 452 of the vortex generator 404 and couples to the mounting plate 426 so that processing gases and other gases passing through the vortex generator 404 from the passage 425 flow through the first fluid path to the gap 448 ahead of the blocker plate 436.
  • the housing 402 defines an internal flow passage surrounding the delivery tube 410 and separated from the center passage 444 of the delivery tube 410.
  • the internal flow passage through the housing 402 distributes flow from the first conduit 204 to the bypass flow path so that the cleaning gases and other gases from the first conduit 204 flow separately to the bypass flow path, as illustrated by arrows 481.
  • the bypass flow path includes a plurality of radially extending bores or spokes 476 disposed in the center portion 475 of the mounting plate 426 and a plurality of feed throughs 477 extending downward from inward locations along the spokes 476.
  • the center aperture 418 through the mounting plate 426 includes an upper portion with an increased diameter defining an annular area 446 between the delivery tube 410 and the mounting plate 426 that enables fluid flow between the spokes 476 and the mixing block 230.
  • the annular area 446 may be replaced with discrete longitudinal channels in the mounting plate 426 that provide fluid communication between each of the spokes
  • the feed through 477 includes an aperture in the bottom of the center portion 475 of the mounting plate 426 that extends to the spoke 476 and aligns with a passage through a central region of the blocker plate 436.
  • a doughnut-shaped lip of the blocker plate 436 defines the passage and separates the gap 448 from the feed through 477 such that flow through the feed through 477 bypasses the perforations of the blocker plate 436.
  • the spoke 476 provides both a perimeter outlet for flow of the cleaning gases to the plenum 458 at a side of the center portion 475 where the spoke terminates and a central outlet for flow of the cleaning gases to the plenum 458 at the location of the feed through 477.
  • FIGS 6 and 7 illustrate an alternative embodiment of the invention having an additional feed through 478 spaced outward in a radial direction from the feed through 477.
  • the arrangement, number and spacing of the feed throughs 477 and 478 can take the form of an infinite number of configurations. Controlling the diameter of the bore forming the spoke 476 and/or the number, size and/or location of the feed throughs 477 and 478 effectively controls the ratio of cleaning gases directed toward the center of the chamber 104 and the cleaning gases directed toward the perimeter of the chamber 104.
  • the diameter of the bore forming the spoke 476 can be determined initially during manufacture or subsequently by the addition of a block 700 (only one is shown in Figure 7) secured at the perimeter outlet of the spoke that has an orifice 701 of predetermined size to restrict flow from the spoke 476. Due to the bypass flow path, this flow control of the cleaning gases is independent from the flow of the processing gases.
  • a series of o-rings 422 are disposed between the isolator 440 and the lid plate 228, the isolator 440 and the gas distribution plate 438, the mixing block 230 and the mounting plate 426, and the gas distribution plate 438 and the mounting plate 426 to ensure gas delivery into the respective chamber.
  • the o-rings 422 are generally made of a material compatible with the processing and cleaning gases used in the CVD apparatus 100.
  • the substrates 116 are set upon the pedestals 114 in each of the chambers 102 and 104.
  • a pump (not shown) evacuates the chambers 102 and 104.
  • the processing gases are delivered to the mixing block 230 of each gas box 208 and 210, and thoroughly mixed in a cyclonic manner. Once mixed, the processing gases exit the mixing block 230 via the gas delivery tube 410, entering the respective chambers through the blocker plate 436 and the gas distribution plate 438.
  • the processing gases then deposit a layer of material upon the substrates 116.
  • the layer of material may have a low dielectric constant, e.g. about 3 or less.
  • the deposition process may be enhanced by forming a plasma of the processing gases within the chamber.
  • the optional RF power source 118 is coupled to the gas boxes via the RF coupling tabs 222.
  • the RF power may be coupled to the gas distribution plate 438 to bias the gas distribution plate 438, thereby igniting and sustaining the plasma of the mixed processing gases within the chambers.
  • the chambers may be cleaned using the remote plasma source 200, which is configured to generate the cleaning gases (i.e., in the form of free radicals).
  • the cleaning gases are delivered through the divider 202 and the conduits to the gas boxes. From the gas boxes, the cleaning gases flow through the center aperture 418 surrounding the gas delivery tube 410, through the spokes 476 and feed throughs 477, and through the gas distribution plate 438. As the cleaning gases flow through various components in the chambers, those components, including the gas distribution plate 438, are effectively scrubbed or etched of substantially all material that may have been deposited during the deposition process.
  • the amount of cleaning gases (i.e., in the form of free radicals) that flow through the gas distribution plate 438 increases by directing the cleaning gases around the blocker plate 436 and through central regions of the blocker plate 436 at locations of the feed throughs 477 since passing the cleaning gas through the blocker plate 436 tends to cause radical recombination.
  • a standard gas block that flows both cleaning and processing gases through the same path which includes a high pressure blocker plate, provides an etch rate of approximately 9500 A/min using NF 3 as an etchant gas.
  • a uniformity ratio defined by the ratio of the high etch rate to the low etch rate across the chamber when using the standard gas block is about 2.29 compared to an ideal uniformity ratio of 1.0 where the etch rate is completely uniform.
  • the etch rate significantly increases to approximately 16,500 A/min (approximately a 75% improvement) if the etchant gas flows through the bypass flow path according to the embodiment shown in Figures 4 and 5.
  • the diameter of the bore of the spokes 476 is 0.35 inches and the diameter of the feed throughs 477 is 0.2 inches.
  • the etch rate uniformity improves as evidenced by a uniformity ratio of about 1.3 when using the bypass flow path to direct the etchant gas into the chamber.
  • the bypass flow path improves the clean rate in the chamber 104 both at the perimeter and the center.
  • the high pressure blocker plate used in the example includes 500 apertures each having a diameter of 0.16 millimeters that form the perforations therethrough.
  • Use of the high pressure blocker plate improves film deposition uniformity on the substrates.
  • the high pressure blocker plate provides a film uniformity of 1.1 % in both chambers.
  • the high back pressure effectively controls flow splitting of the process gases to each of the two chambers.
  • the prior art blocker plate provides a film thickness mismatch between the two chambers of 5% while the high pressure blocker plate provides a film thickness mismatch between the two chambers of less than 1 %.
  • Embodiments of the invention described herein are not limited to any specific apparatus or to any specific plasma excitation method. Although embodiments of the invention are described with reference to a remote plasma source, embodiments of the invention may also be practiced in connection with other clean operations, such as an in-situ clean operation.
  • the above CVD system description is mainly for illustrative purposes, and other CVD equipment such as electrode cyclotron resonance (ECR) plasma CVD devices, induction-coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above described system such as variations in substrate support pedestal design, heater design, gas box design, remote plasma source design, location of power connections and others are possible.
  • ECR electrode cyclotron resonance

Abstract

Apparatus and methods for distributing gases into a processing chamber are disclosed. In one embodiment, the apparatus includes a gas distribution plate having a plurality of apertures disposed therethrough and a blocker plate having both a plurality of apertures disposed therethrough and a plurality of feed through passageways disposed therein. A first gas pathway delivers a first gas through the plurality of apertures in the blocker plate and the gas distribution plate. A bypass gas pathway delivers a second gas through the plurality of feed through passageways in the blocker plate and to areas around the blocker plate prior to the second gas passing through the gas distribution plate.

Description

BLOCKER PLATE BYPASS TO DISTRIBUTE GASES IN A CHEMICAL VAPOR DEPOSITION SYSTEM
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the invention generally relate to semiconductor substrate processing systems. More particularly, embodiments of the invention relate to methods and apparatus for delivering gases into a processing chamber.
Description of the Related Art
[0002] Materials such as oxides are typically deposited on a substrate within a process chamber, such as a chemical vapor deposition (CVD) chamber, during fabrication of integrated circuits and semiconductor devices. A gas distribution plate or faceplate of the deposition chamber includes a plurality of spaced holes that process gases pass through to aid in distributing the materials in the chamber. The deposition processes typically result in deposition of some of the materials on walls and components of the deposition chamber. For example, a layer of deposition material often forms on the gas distribution plate, which may clog the holes of the plate or flake off in particles that rain down on the substrate, thereby affecting the uniformity of deposition on the substrate and contaminating the substrate. Consequently, the interior of the deposition chamber requires cleaning on a regular basis.
[0003] There exist several methods for cleaning the deposition chamber including the gas distribution plate using cleaning gases. For example, a remote plasma cleaning procedure may be employed using an etchant plasma generated remotely from the deposition chamber by introduction of an etchant gas such as a fluorine containing gas to a remote high density plasma source, which may be a microwave plasma system, a toroidal plasma generator or a similar device. Dissociated species from the etchant plasma transported to the deposition chamber react with and etch away the undesired deposition build up. Another method to remove the unwanted deposition material that builds up on the interior of chamber walls involves an in situ chamber clean operation. Common in situ chamber cleaning techniques include the use of an etchant gas such as a fluorine containing gas to remove the deposited material from the chamber walls and other areas. The etchant gas is introduced into the chamber and plasma is formed within the chamber so that the etchant gas reacts with and removes the deposited material from the chamber walls.
[0004] In prior CVD chambers, both the process gases and the cleaning gases pass through a common feed path to a blocker plate that aids in uniformly distributing the gases prior to their passage through the gas distribution plate. Some deposition processes operate at reduced flow significantly reducing chamber cleaning efficiency when the cleaning gases are passed through the common feed that is adapted for the reduced flow. The lower clean efficiency increases the amount of time it takes to clean the chamber, increases the amount of cleaning gases consumed, and reduces the number of substrates that can be processed in a given time (i.e., throughput).
[0005] One design that enables the cleaning gases to bypass the blocker plate routes all of the cleaning gases to a periphery of the gas distribution plate in order to increase the cleaning rate at the perimeter of the chamber compared to the center of the chamber. However, the center of the chamber may not be sufficiently cleaned with all the cleaning gases directed to the periphery of the gas distribution plate.
[0006] Therefore, a need exists for apparatus and methods for delivering gases into a chamber that enables independent control of processing gases and cleaning gases. A further need exists for apparatus and methods for controlling the ratio of cleaning gases directed toward the center of the chamber and the perimeter of the chamber.
SUMMARY OF THE INVENTION
[0007] Embodiments of the invention generally provide apparatus and methods for distributing gases into a processing chamber. In one embodiment, the apparatus includes a gas distribution plate having a plurality of apertures disposed therethrough and a blocker plate having both a plurality of apertures disposed therethrough and a plurality of feed through passageways disposed therein. A first gas pathway delivers a first gas through the plurality of apertures in the blocker plate and the plurality of apertures in the gas distribution plate. A bypass gas pathway delivers a second gas through the plurality of feed through passageways in the blocker plate and to areas around the blocker plate prior to the second gas passing through the gas distribution plate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0009] Figure 1 is a schematic view of a chemical vapor deposition (CVD) system, which incorporates various embodiments of the present invention.
[0010] Figure 2 is a perspective view of a gas distribution assembly for the CVD system, which incorporates various embodiments of the present invention.
[0011] Figure 3 is a top plan view of the gas distribution assembly, which incorporates various embodiments of the present invention.
[0012] Figure 4 is a partial cross section of the gas distribution assembly of Figure 3 along a section line 4-4.
[0013] Figure 5 is a partial cross section of the gas distribution assembly of Figure 4 along a section line 5-5.
[0014] Figure 6 is a partial cross section of an alternative embodiment of a gas box for use in a gas distribution assembly for a CVD system. [0015] Figure 7 is a partial cross section of the gas distribution assembly of Figure 6 along a section line 7-7.
DETAILED DESCRIPTION
[0016] Embodiments of the invention generally relate to apparatus and methods for distributing gases into a processing chamber, such as a chemical vapor deposition (CVD) apparatus. Figure 1 illustrates an exemplary CVD apparatus 100, which incorporates various embodiments of the invention. In one embodiment, the CVD apparatus 100 is an improvement of a Producer® chamber, which is commercially available from Applied Materials, Inc. of Santa Clara, California. The CVD apparatus 100 is described in more detail in commonly assigned U.S. Patent No. 6,495,233 B1 , issued December 17, 2002, which is incorporated herein by reference. Although embodiments of the invention are described with reference to the Producer® chamber, other CVD reactors and chambers may also be modified to practice various embodiments of the invention, such as the DXZ® Chamber, which is also commercially available from Applied Materials, Inc. of Santa Clara, California. The DXZ® Chamber is disclosed in commonly assigned U.S. patent No. 6,364,954 B2, issued April 2, 2002, which is incorporated herein by reference.
[0017] The CVD apparatus 100 includes electrically grounded external walls 106, an internal wall 108, and a gas distribution assembly 110, which concurrently define a first chamber 102 and a second chamber 104. The internal wall 108 isolates the first and second chambers 102 and 104 from one another. Pedestals 114 disposed substantially centered within each of the chambers 102 and 104 support substrates 116. The substrates 116 rest on, or secure to, the pedestals 114 through the use of electrostatic force, mechanical or vacuum clamping, gravitational force or the like. A gas panel 112 couples to the CVD apparatus 100 and provides process and other gases as required for conventional CVD to occur within the first and second chambers 102 and 104. The CVD chamber 100 may also couple to an RF source 118 for forming a plasma within the chamber. [0018] Figures 2 and 3 illustrate perspective and top views of the gas distribution assembly 110. The gas distribution assembly 110 includes a lid plate 228, a first gas box 208, a second gas box 210, and a remote plasma source 200. The first gas box 208 is centrally disposed on the lid plate 228 along the chamber centerline 120A, and the second gas box 210 is centrally disposed on the lid plate 228 along the chamber centerline 120B. The lid plate 228 is generally fabricated from a conductive material, such as aluminum. The lid plate 228 affixes to one of the electrically grounded external walls 106 by one or more hinges 214, and a handle 216 facilitates opening of the lid plate 228. A fastening mechanism 226, i.e., a captive latch, secures the lid plate 228 to the chambers 102 and 104 when the gas distribution assembly 110 is in a closed position. The gas distribution assembly 110 additionally includes a pair of inlet manifolds 218 (one of which is partially obscured by the remote plasma source 200 in Figure 2) and a pair of constant voltage gradient feed channels 220 (also, one of which is partially obscured by the remote plasma source 200 in Figure 2). Each inlet manifold 218 disposed upon the lid plate 228 adjacent to each gas box 208 and 210 receives process gases from gas passages 424 that are in fluid communication with the gas panel 112 (shown in Figure 1 ). The feed channel 220 defines a feed passage 425 (shown in Figure 4) that connects each inlet manifold 218 to the respective gas box. Commonly assigned U.S. Patent No. 5,725,675, which is incorporated herein by reference, fully describes an exemplary feed channel. The feed channel 220 is made of an electrically insulating material and electrically isolates the inlet manifold 218 from the gas boxes 208 and 210. To control the temperature of the gas distribution assembly 110, each inlet manifold 218 includes an inlet heat exchange fitting and an outlet heat exchange fitting 217 and 219, respectively, for circulating a cooling fluid, e.g., water. The cooling fluid circulates at a temperature range of about 65 degrees Celsius to about 70 degrees Celsius through channels (not shown) extending through each inlet manifold 218 and the gas distribution assembly 110.
[0019] The remote plasma source 200 delivers and sustains a cleaning gas for removing unwanted deposition material from chambers 102 and 104. The cleaning gas may be a halogen-containing gas, such as a fluorine-containing gas. Preferably, the cleaning gas is NF3. The processing conditions and ranges described herein for cleaning gases can be used with NF3. Other cleaning gases that can be used include F2, C4, C3F8, C2F4, SF6, C2F6, CCI4, and C2CI6. The remote plasma source 200 may be an ASTRON® generator, which is commercially available from MKS Instruments, Inc. of Wilmington, Massachusetts. A bracket 212 centrally supports the remote plasma source 200 above the lid plate 228.
[0020] The remote plasma source 200 delivers the cleaning gas to the chambers 102 and 104 via a divider 202, a first conduit 204, and a second conduit 206. The divider 202 couples to the remote plasma source 200 and both the first conduit 204 and the second conduit 206 to form a "tee." The first conduit 204 couples the divider 202 to the first gas box 208 while the second conduit 206 couples the divider 202 to the second gas box 210. The first and second conduits 204 and 206 are fabricated from a dielectric material to electrically isolate the gas boxes 208 and 210 from the remote plasma source 200. The cleaning gas thus enters the respective chamber by flowing out of the remote plasma source 200 into the divider 202, then through the respective conduit and gas box into the respective chamber. Although the CVD apparatus 100 shown includes only a single remote plasma source 200, embodiments of the invention may be used with any chamber having any number of remote plasma sources. For example, commonly assigned U.S. Serial No. 10/122,481 , filed April 12, 2002 and entitled "METHOD FOR CLEANING A PROCESS CHAMBER," which is incorporated herein by reference, describes a Producer® chamber with two remote plasma sources.
[0021] As shown in Figure 4, the first gas box 208 (and the second gas box 210 which is not shown but is analogous to the first gas box 208) includes a mixing block 230, a mounting plate 426 and a showerhead 437. The showerhead 437 includes a blocker plate 436 and a generally dish-shaped gas distribution plate 438 having a perforated bottom 464. The mounting plate 426 includes a center aperture 418 and three mounting holes 232 for securing the mounting plate to the lid plate 228. The mounting plate and gas distribution plate 438 are fabricated from a conductive material, such as aluminum. RF coupling tabs 222 (shown in Figure 3) couple the mounting plates 426 of the first and second gas boxes 208 and 210 to the RF source 118. The RF power couples through the mounting plate 426 to the gas distribution plate 438 since a rim 462 of the gas distribution plate 438 abuts against a flange 460 of the. mounting plate 426. An isolator 440 disposed between the lid plate 228 and the gas distribution plate 438 and fabricated from an insulative dielectric material such as a fluoropolymer or ceramic electrically insulates the gas box 208 from the lid plate 228.
[0022] An inward shoulder 473 around the bottom perimeter of the mounting plate 426 defines a center portion 475 or protrusion of the mounting plate 426 spaced from and surrounded by the gas distribution plate 438. The blocker plate 436 couples to the bottom surface of the center portion 475 of the mounting plate 426. The blocker plate 436 includes an annular lip facing the mounting plate 426 that forms a gap 448 between the blocker plate 436 and the center portion 475 of the mounting plate 426. Thus, the blocker plate 436 that is perforated to allow gas passage enables build up of back pressure of the processing gases and radial diffusion of the processing gases within the gap 448. The blocker plate 436 may be a high pressure blocker plate having reduced number of perforations and/or reduced diameter of apertures forming the perforations in order to provide sufficient back pressure such as when depositing low dielectric constant material (e.g., Black Diamond™ process commercially available from Applied Materials, Inc. of Santa Clara, California). Space between the blocker plate 436 and the gas distribution plate 438 defines a plenum 458. Thus, the perforated bottom 464 of the gas distribution plate 438 subjects the processing gases and the cleaning gases to a slight flow restriction that causes the various gases to further diffuse radially across the gas distribution plate 438 prior to passing into the chamber 104.
[0023] The mixing block 230 that is centrally disposed upon the mounting plate 426 distributes the processing gases from the passage 425 to a first flow path passing through the blocker plate 436 and distributes the cleaning gases from the first conduit 204 to a bypass flow path that bypasses the blocker plate 436. Arrows 480 illustrate flow through the first flow path, while arrows 481 illustrate flow through the bypass flow path. The mixing block includes a housing 402, a vortex generator 404, and a gas delivery tube 410. The vortex generator 404 includes a wall 450 and a bottom 452 that define a substantially cylindrical interior volume 454 having an exit aperture 456. Commonly assigned U.S. Patent No. 6,495,233 B1 , issued December 17, 2002, which is incorporated herein by reference, describes in detail an exemplary vortex generator. A center passage 444 of the gas delivery tube 410 aligns with the exit aperture 456. The gas delivery tube 410 affixes to the bottom 452 of the vortex generator 404 and couples to the mounting plate 426 so that processing gases and other gases passing through the vortex generator 404 from the passage 425 flow through the first fluid path to the gap 448 ahead of the blocker plate 436. Additionally, the housing 402 defines an internal flow passage surrounding the delivery tube 410 and separated from the center passage 444 of the delivery tube 410. The internal flow passage through the housing 402 distributes flow from the first conduit 204 to the bypass flow path so that the cleaning gases and other gases from the first conduit 204 flow separately to the bypass flow path, as illustrated by arrows 481.
[0024] As shown in Figures 4 and 5, the bypass flow path includes a plurality of radially extending bores or spokes 476 disposed in the center portion 475 of the mounting plate 426 and a plurality of feed throughs 477 extending downward from inward locations along the spokes 476. The center aperture 418 through the mounting plate 426 includes an upper portion with an increased diameter defining an annular area 446 between the delivery tube 410 and the mounting plate 426 that enables fluid flow between the spokes 476 and the mixing block 230. Alternatively, the annular area 446 may be replaced with discrete longitudinal channels in the mounting plate 426 that provide fluid communication between each of the spokes
476 and the mixing block 230. If the discrete longitudinal channels couple the spokes 476 and the mixing block 230, the center aperture 418 of the mounting plate
426 may itself define a portion of the first flow path between the delivery tube 410 and the blocker plate 436. The feed through 477 includes an aperture in the bottom of the center portion 475 of the mounting plate 426 that extends to the spoke 476 and aligns with a passage through a central region of the blocker plate 436. A doughnut-shaped lip of the blocker plate 436 defines the passage and separates the gap 448 from the feed through 477 such that flow through the feed through 477 bypasses the perforations of the blocker plate 436. Thus, the spoke 476 provides both a perimeter outlet for flow of the cleaning gases to the plenum 458 at a side of the center portion 475 where the spoke terminates and a central outlet for flow of the cleaning gases to the plenum 458 at the location of the feed through 477.
[0025] Figures 6 and 7 illustrate an alternative embodiment of the invention having an additional feed through 478 spaced outward in a radial direction from the feed through 477. As seen by this embodiment, the arrangement, number and spacing of the feed throughs 477 and 478 can take the form of an infinite number of configurations. Controlling the diameter of the bore forming the spoke 476 and/or the number, size and/or location of the feed throughs 477 and 478 effectively controls the ratio of cleaning gases directed toward the center of the chamber 104 and the cleaning gases directed toward the perimeter of the chamber 104. The diameter of the bore forming the spoke 476 can be determined initially during manufacture or subsequently by the addition of a block 700 (only one is shown in Figure 7) secured at the perimeter outlet of the spoke that has an orifice 701 of predetermined size to restrict flow from the spoke 476. Due to the bypass flow path, this flow control of the cleaning gases is independent from the flow of the processing gases.
[0026] A series of o-rings 422 are disposed between the isolator 440 and the lid plate 228, the isolator 440 and the gas distribution plate 438, the mixing block 230 and the mounting plate 426, and the gas distribution plate 438 and the mounting plate 426 to ensure gas delivery into the respective chamber. The o-rings 422 are generally made of a material compatible with the processing and cleaning gases used in the CVD apparatus 100.
[0027] In operation, the substrates 116 are set upon the pedestals 114 in each of the chambers 102 and 104. A pump (not shown) evacuates the chambers 102 and 104. The processing gases are delivered to the mixing block 230 of each gas box 208 and 210, and thoroughly mixed in a cyclonic manner. Once mixed, the processing gases exit the mixing block 230 via the gas delivery tube 410, entering the respective chambers through the blocker plate 436 and the gas distribution plate 438. The processing gases then deposit a layer of material upon the substrates 116. In one embodiment, the layer of material may have a low dielectric constant, e.g. about 3 or less. Once the desired thickness of deposition is achieved, the processing gases are removed from the chambers 102 and 104.
[0028] Optionally, the deposition process may be enhanced by forming a plasma of the processing gases within the chamber. If desired, the optional RF power source 118 is coupled to the gas boxes via the RF coupling tabs 222. The RF power may be coupled to the gas distribution plate 438 to bias the gas distribution plate 438, thereby igniting and sustaining the plasma of the mixed processing gases within the chambers.
[0029] After the substrates 116 have been removed, the chambers may be cleaned using the remote plasma source 200, which is configured to generate the cleaning gases (i.e., in the form of free radicals). Once generated, the cleaning gases are delivered through the divider 202 and the conduits to the gas boxes. From the gas boxes, the cleaning gases flow through the center aperture 418 surrounding the gas delivery tube 410, through the spokes 476 and feed throughs 477, and through the gas distribution plate 438. As the cleaning gases flow through various components in the chambers, those components, including the gas distribution plate 438, are effectively scrubbed or etched of substantially all material that may have been deposited during the deposition process.
[0030] The amount of cleaning gases (i.e., in the form of free radicals) that flow through the gas distribution plate 438 increases by directing the cleaning gases around the blocker plate 436 and through central regions of the blocker plate 436 at locations of the feed throughs 477 since passing the cleaning gas through the blocker plate 436 tends to cause radical recombination. In one example, a standard gas block that flows both cleaning and processing gases through the same path, which includes a high pressure blocker plate, provides an etch rate of approximately 9500 A/min using NF3 as an etchant gas. Further, a uniformity ratio defined by the ratio of the high etch rate to the low etch rate across the chamber when using the standard gas block is about 2.29 compared to an ideal uniformity ratio of 1.0 where the etch rate is completely uniform. However, the etch rate significantly increases to approximately 16,500 A/min (approximately a 75% improvement) if the etchant gas flows through the bypass flow path according to the embodiment shown in Figures 4 and 5. In this example, the diameter of the bore of the spokes 476 is 0.35 inches and the diameter of the feed throughs 477 is 0.2 inches. Additionally, the etch rate uniformity improves as evidenced by a uniformity ratio of about 1.3 when using the bypass flow path to direct the etchant gas into the chamber. Thus, the bypass flow path improves the clean rate in the chamber 104 both at the perimeter and the center.
[0031] The high pressure blocker plate used in the example includes 500 apertures each having a diameter of 0.16 millimeters that form the perforations therethrough. Use of the high pressure blocker plate improves film deposition uniformity on the substrates. Compared to a prior blocker plate with more holes and/or increased diameter holes that provides a film uniformity of 3% in a first chamber and 2.6% in a second chamber, the high pressure blocker plate provides a film uniformity of 1.1 % in both chambers. Furthermore, the high back pressure effectively controls flow splitting of the process gases to each of the two chambers. Specifically, the prior art blocker plate provides a film thickness mismatch between the two chambers of 5% while the high pressure blocker plate provides a film thickness mismatch between the two chambers of less than 1 %.
[0032] Embodiments of the invention described herein are not limited to any specific apparatus or to any specific plasma excitation method. Although embodiments of the invention are described with reference to a remote plasma source, embodiments of the invention may also be practiced in connection with other clean operations, such as an in-situ clean operation. The above CVD system description is mainly for illustrative purposes, and other CVD equipment such as electrode cyclotron resonance (ECR) plasma CVD devices, induction-coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above described system such as variations in substrate support pedestal design, heater design, gas box design, remote plasma source design, location of power connections and others are possible.
[0033] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. An apparatus for distributing gases into a processing chamber, comprising: a gas distribution plate in the chamber having a plurality of apertures disposed therethrough; and a blocker plate spaced above the gas distribution plate and having a plurality of apertures disposed therethrough and feed through passages extending through a center region of the blocker plate; wherein a first gas pathway is configured to deliver a first gas sequentially through the blocker plate and the gas distribution plate; and wherein a second gas pathway is configured to deliver a second gas around the blocker plate, through the feed through passages extending through a center region of the blocker plate, and through the gas distribution plate.
2. The apparatus of claim 1 , wherein the second gas pathway includes a radial passage having a perimeter outlet proximate a perimeter of the chamber.
3. The apparatus of claim 2, wherein the second gas pathway includes a feed through passage that extends from the radial passage and through the blocker plate, the feed through passage being disposed along the radial passage at an inward radial location.
4. The apparatus of claim 2, wherein the second gas pathway includes at least two feed through passages that extend from the radial passage and through the blocker plate, the feed through passages disposed along the radial passage at inward radial locations.
5. The apparatus of claim 2, further comprising a block secured to the perimeter outlet that includes an orifice of predetermined size to control flow of the second gas through the radial passage.
6. The apparatus of claim 1 , wherein the processing chamber is a chemical vapor deposition chamber.
7. The apparatus of claim 1 , wherein the blocker plate includes approximately 500 apertures.
8. The apparatus of claim 1 , wherein the blocker plate includes approximately 500 apertures that each have a diameter of approximately 0.16 millimeters.
9. An apparatus for distributing gases into a processing chamber, comprising: a gas distribution plate in the chamber; a mounting plate in the chamber having a plurality of radial bores therein that provide perimeter outlets to an area between the mounting plate and the gas distribution plate, the radial bores in fluid communication with a gas distribution assembly; and a blocker plate disposed between the mounting plate and the gas distribution plate, wherein a first side of the blocker plate is in fluid communication with the gas distribution assembly.
10. The apparatus of claim 9, further comprising feed through passages that extend from the radial bores and through the blocker plate, the feed through passages disposed along the radial bores at inward radial locations.
11. The apparatus of claim 10, wherein selected ones of the radial bores have at least two feed through passages.
12. The apparatus of claim 9, further comprising blocks secured to the perimeter outlets, each block including an orifice of predetermined size to control flow of the second gas through the radial bores.
13. The apparatus of claim 9, wherein the processing chamber is a chemical vapor deposition chamber.
14. The apparatus of claim 9, wherein the blocker plate includes approximately 500 apertures.
15. The apparatus of claim 9, wherein the blocker plate includes approximately 500 apertures that have a diameter of approximately 0.16 millimeters.
16. A method for processing a substrate, comprising: delivering a processing gas into a chemical vapor deposition chamber through a first gas pathway that includes flow through a blocker plate, the blocker plate creating a pressure drop thereacross; reacting the processing gas to deposit a material on a substrate surface; removing the substrate from the chamber; delivering a cleaning gas into the chamber through a second gas pathway that includes flowing the cleaning gas through radial passages having discrete perimeter outlets proximate a perimeter of the chamber and bypassing the blocker plate; and reacting the cleaning gas with deposits within the chamber to etch the deposits from the chamber.
17. The method of claim 16, wherein delivering the cleaning gas includes flowing the cleaning gas through feed through passages that extend from the radial passages and through the blocker plate, the feed through passages disposed along the radial passages at inward radial locations.
18. The method of claim 16, wherein the cleaning gas comprises a fluorine- containing gas.
19. The method of claim 16, wherein the cleaning gas comprises NF3.
20. The method of claim 16, wherein the cleaning gas comprises a gas selected from at least one gas in the group consisting of F2, C4, C3F8, C2F4, SF6, C2F6, CCI4,
Figure imgf000018_0001
PCT/US2005/017561 2004-05-26 2005-05-19 Blocker plate bypass to distribute gases in a chemical vapor deposition system WO2005119733A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2005800130964A CN1989587B (en) 2004-05-26 2005-05-19 Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR1020067027335A KR101165110B1 (en) 2004-05-26 2005-05-19 Blocker plate bypass to distribute gases in a chemical vapor deposition system

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US57482304P 2004-05-26 2004-05-26
US60/574,823 2004-05-26
US61185204P 2004-09-21 2004-09-21
US60/611,852 2004-09-21
US11/131,010 2005-05-16
US11/131,010 US7572337B2 (en) 2004-05-26 2005-05-16 Blocker plate bypass to distribute gases in a chemical vapor deposition system

Publications (1)

Publication Number Publication Date
WO2005119733A1 true WO2005119733A1 (en) 2005-12-15

Family

ID=35456818

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/017561 WO2005119733A1 (en) 2004-05-26 2005-05-19 Blocker plate bypass to distribute gases in a chemical vapor deposition system

Country Status (5)

Country Link
US (1) US7572337B2 (en)
KR (1) KR101165110B1 (en)
CN (1) CN1989587B (en)
TW (1) TWI298747B (en)
WO (1) WO2005119733A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009086013A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
WO2020027980A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Gas box for cvd chamber

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
KR20080031473A (en) * 2005-07-27 2008-04-08 어플라이드 머티어리얼스, 인코포레이티드 Unique passivation technique for a cvd blocker plate to prevent particle formation
KR20080033406A (en) * 2005-07-29 2008-04-16 에비자 테크놀로지, 인크. Deposition apparatus for semiconductor processing
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
JP2007191792A (en) * 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
CN100451163C (en) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
JP2008186865A (en) * 2007-01-26 2008-08-14 Tokyo Electron Ltd Substrate treating equipment
JP5202050B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Shower head and substrate processing apparatus
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
KR200452532Y1 (en) * 2008-11-06 2011-03-07 주식회사 테스 Gas injection unit
KR101190750B1 (en) * 2009-02-19 2012-10-12 엘지전자 주식회사 Method for Manufacturing of Silicon Thin Film Layer and Apparatus for Manufacturing of Silicon Thin Film Layer
KR101108879B1 (en) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 Gas injecting device and Substrate processing apparatus using the same
KR101141926B1 (en) * 2010-02-10 2012-05-11 엘아이지에이디피 주식회사 A gas supply unit of a chemical vapor diposition apparatus and a method for manufacturing thereof
JP5902896B2 (en) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 Substrate processing equipment
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
WO2014018480A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
KR102156795B1 (en) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10351955B2 (en) 2013-12-18 2019-07-16 Lam Research Corporation Semiconductor substrate processing apparatus including uniformity baffles
CN110724938B (en) * 2014-05-16 2022-02-22 应用材料公司 Spray head design
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10557197B2 (en) * 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
KR102493945B1 (en) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Deposition radial and edge profile tenability through independent control of teos flow
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US20190309419A1 (en) * 2018-04-06 2019-10-10 Applied Materials, Inc. High temperature gas distribution assembly
KR102474847B1 (en) * 2018-04-25 2022-12-06 삼성전자주식회사 Gas injector and wafer processing apparatus having the same
CN111155072B (en) * 2018-11-08 2022-12-09 北京北方华创微电子装备有限公司 Cleaning device and cleaning method for chamber and semiconductor processing equipment
JP2022516714A (en) * 2018-12-28 2022-03-02 コーニング インコーポレイテッド Systems and methods for deposit coating on extruded dies using impedance discs
KR20210042810A (en) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
WO2021091948A1 (en) * 2019-11-08 2021-05-14 Applied Materials, Inc. Chamber components for gas delivery modulation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999066101A1 (en) * 1998-06-16 1999-12-23 Applied Materials, Inc. Dual channel gas distribution plate
WO2001004376A1 (en) * 1999-07-09 2001-01-18 Applied Materials, Inc. A method of forming a silicon nitride layer on a semiconductor wafer
US6478872B1 (en) * 1999-01-18 2002-11-12 Samsung Electronics Co., Ltd. Method of delivering gas into reaction chamber and shower head used to deliver gas
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20030192568A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Method for cleaning a process chamber
US20040216844A1 (en) * 2003-05-02 2004-11-04 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS615515A (en) 1984-06-07 1986-01-11 Fujitsu Ltd Chemical vapor growth apparatus
JPH05152208A (en) 1991-11-29 1993-06-18 Fujitsu Ltd Semiconductor production device
GB9207292D0 (en) 1992-04-02 1992-05-13 Unilever Plc Fluid dispensers
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5725675A (en) 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6029602A (en) 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4487338B2 (en) 1999-08-31 2010-06-23 東京エレクトロン株式会社 Film forming apparatus and film forming method
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
DE10005820C1 (en) 2000-02-10 2001-08-02 Schott Glas Gas supply device for precursors of low vapor pressure
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (en) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 Showerhead used chemically enhanced chemical vapor deposition equipment
DE10064944A1 (en) 2000-09-22 2002-04-11 Aixtron Ag Process for depositing crystalline layers in particular, gas inlet element and device for carrying out the process
DE10057491A1 (en) 2000-11-20 2002-05-23 Aixtron Ag Process for introducing a liquid starting material brought into gas form into a chemical vapour deposition (CVD) reactor comprises forming an aerosol, vaporizing the heat supply and removing the heat of vaporization
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030017268A1 (en) 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US20040052969A1 (en) 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999066101A1 (en) * 1998-06-16 1999-12-23 Applied Materials, Inc. Dual channel gas distribution plate
US6478872B1 (en) * 1999-01-18 2002-11-12 Samsung Electronics Co., Ltd. Method of delivering gas into reaction chamber and shower head used to deliver gas
WO2001004376A1 (en) * 1999-07-09 2001-01-18 Applied Materials, Inc. A method of forming a silicon nitride layer on a semiconductor wafer
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20030192568A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Method for cleaning a process chamber
US20040216844A1 (en) * 2003-05-02 2004-11-04 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
TIEMESSEN M ET AL: "Fluorine induced formation of intermetal dielectric defects", ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE AND WORKSHOP, 1996. ASMC 96 PROCEEDINGS. IEEE/SEMI 1996 CAMBRIDGE, MA, USA 12-14 NOV. 1996, NEW YORK, NY, USA,IEEE, US, 12 November 1996 (1996-11-12), pages 303 - 307, XP010204546, ISBN: 0-7803-3371-3 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009086013A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
WO2009086013A3 (en) * 2007-12-21 2009-10-08 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
WO2020027980A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Gas box for cvd chamber
US11049699B2 (en) 2018-07-31 2021-06-29 Applied Materials, Inc. Gas box for CVD chamber

Also Published As

Publication number Publication date
US20050263248A1 (en) 2005-12-01
KR101165110B1 (en) 2012-07-13
TW200540292A (en) 2005-12-16
KR20070038047A (en) 2007-04-09
CN1989587B (en) 2011-07-06
CN1989587A (en) 2007-06-27
US7572337B2 (en) 2009-08-11
TWI298747B (en) 2008-07-11

Similar Documents

Publication Publication Date Title
US7572337B2 (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7829145B2 (en) Methods of uniformity control for low flow process and chamber to chamber matching
US20200149166A1 (en) Flow control features of cvd chambers
US20040118519A1 (en) Blocker plate bypass design to improve clean rate at the edge of the chamber
US11101136B2 (en) Process window widening using coated parts in plasma etch processes
CN108962715B (en) Semiconductor processing chamber for multiple precursor flows
US11024486B2 (en) Semiconductor processing systems having multiple plasma configurations
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US20050252447A1 (en) Gas blocker plate for improved deposition
TWI589724B (en) Showerhead designs of a hwcvd chamber
JPH09251992A (en) Method for reducing residue deposition in cvd chamber using ceramic lining and its device
WO2013070438A1 (en) Precursor distribution features for improved deposition uniformity
JP2001520321A (en) Lid assembly for process chamber using asymmetric flow geometry
US20180258531A1 (en) Diffuser design for flowable cvd
CN112105759B (en) Gas box for CVD chamber
CN117916846A (en) Clip type double-channel spray header
WO2009126827A2 (en) Plasma processing apparatus and method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 200580013096.4

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 1020067027330

Country of ref document: KR

Ref document number: 1020067027335

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1020067027330

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020067027335

Country of ref document: KR

122 Ep: pct application non-entry in european phase