WO2004008052A2 - System and method for cooling a thermal processing apparatus - Google Patents

System and method for cooling a thermal processing apparatus Download PDF

Info

Publication number
WO2004008052A2
WO2004008052A2 PCT/US2003/021645 US0321645W WO2004008052A2 WO 2004008052 A2 WO2004008052 A2 WO 2004008052A2 US 0321645 W US0321645 W US 0321645W WO 2004008052 A2 WO2004008052 A2 WO 2004008052A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas
plenum
port
vessel
cooling
Prior art date
Application number
PCT/US2003/021645
Other languages
French (fr)
Other versions
WO2004008052A3 (en
Inventor
Taiquing Qiu
Craig Collins
Original Assignee
Aviza Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology, Inc. filed Critical Aviza Technology, Inc.
Priority to AU2003256486A priority Critical patent/AU2003256486A1/en
Publication of WO2004008052A2 publication Critical patent/WO2004008052A2/en
Publication of WO2004008052A3 publication Critical patent/WO2004008052A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)

Abstract

A cooling system and method are provided for cooling an apparatus (100) having a vessel (102) containing a substrate (108) to be processed, and heating elements (10012) distributed coaxially around and spaced apart from the vessel to form a plenum (142) therebetween. In one embodiment, the system (140) has a first port (144) and with a blower (148) coupled thereto, the first port oriented to inject gas tangentially to an outer circumference of the plenum (142) to initiate a vortex flow therein. A second port (146) axially separated from the first (144) ejects gas from the plenum (142). Preferably, the system (140) is a closed-loop system including an heat exchanger (152) coupled to an inlet (154) of the blower (148) to supply cooled gas thereto and to the second port (146) to receive gas ejected therefrom. Optionally, the system (140) further includes flow switching valves (156, 158) between the heat exchanger (152) and the first and second ports (144, 146) to reverse direction of the vortex flow.

Description

SYSTEM AND METHOD FOR COOLING A THERMAL PROCESSING APPARATUS
CROSS REFERENCE TO RELATED APPLICATIONS
The present application claims the benefit of and priority from commonly assigned U.S. Provisional Patent Applications Serial Nos. 60/396,536, entitled
Thermal Processing System, and filed July 15, 2002, and 60/428,526, entitled
Thermal Processing System and Method for Using the Same, and filed November
22, 2002, both of which are incorporated herein by reference in their entirety.
TECHNICAL FIELD
The present invention relates generally to systems and methods for heat- treating objects, such as substrates. More specifically, the present invention relates to a system and method for cooling an apparatus used for heat treating, annealing, and depositing layers of material on or removing layers of material from a semiconductor wafer or substrate.
BACKGROUND
Thermal processing apparatuses are commonly used in a wide variety of industries including in the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers. Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer. These processes often require the wafer to be heated to a temperature as high as 350°C -1300°C before and during the process, and that one or more fluids, such as a process gas or reactant, be delivered to the wafer. Moreover, typically the wafer must be maintained at a uniform temperature throughout the process, despite variations in the temperature of the process gas or the rate at which it is introduced into the process vessel.
A cross-sectional schematic view of a thermal processing apparatus is shown in FIG. 1. Referring to FIG. 1, a conventional thermal processing apparatus 20 typically consists of a voluminous process chamber or vessel 22 positioned in or surrounded by a furnace 24. Wafers 26 to be thermally processed are held in a cassette or boat 27 and placed in the process vessel 22, which is then heated by the furnace 24 to a desired temperature at which the processing is performed. For many processes the sealed process vessel 22 seals to a base plate 28 and is evacuated through a valve 29 prior to processing. Once the process vessel 22 has reached the desired pressure and temperature reactive or process gases are introduced to process the wafer 26.
There are several design challenges to meeting the requirements of thermal processing apparatuses. One problem is that deposition and etching processes are often highly temperature dependent. For example, in chemical vapor deposition (CVD) and physical vapor deposition (PVD) processes, the rate of deposition of material is highly dependent upon the temperature of the wafer and the surrounding process vessel.
Another problem arises from the effect of thermal stress on residues formed on surfaces of the process vessel caused by fluctuations of the process vessel temperature during processing. These thermal fluctuations can occur, for example, when the furnace is de-energized or operated at reduced power during transport of the wafer in and out of the process vessel. Thermal fluctuations of the process vessel during processing can cause it to expand and contract such that material deposited thereon flakes off during processing and contaminate the wafer being processed. This particulate contamination can render the wafer unusable, or require costly reprocessing of the wafer.
Conventional temperature control systems include water-jacket re-circulating systems and forced-air cooling systems. Water-jacket systems re-circulate water through cooling channels that surround the process vessel. However, the cooling channels occupy space around the process vessel that is often needed for placement of other external components. In addition, because the cooling channels have to avoid the external components, localized hot spots often occur at locations bypassed by the cooling channels. Moreover, it is often difficult to obtain uniform heat transfer rates across the process vessel because of the difficulty of attaching cooling channels to complex shaped or complicated process vessel surfaces.
Forced air cooling systems are described in, for example, U.S. Pat. No. 5,160,545, which is incorporated herein by reference. Forced air cooling systems, typically use a fan to blow air across the process vessel surfaces and through a heat exchanger for cooling. However, portions of the process vessel surface that are shielded from the cooling air by components become hotter than other unshielded portions. Moreover, because the primary mode of heat transfer is conduction through contact with gas molecules, forced air systems require large fans to provide flow rates sufficient to control large temperature fluctuations, such as the temperature changes caused by turning on and off the furnace. Large fans can present difficulties in placement in or near a controlled environment, such as a clean room in which thermal processing apparatus is usually operated.
A forced-air system 30 for controlling the temperature of a process vessel 22 is shown in FIG. 1. In this system, heating elements 32 of the furnace 24 maintain the temperature stable during idle and run modes. Excess heat is dissipated by a fan or blower 34 that blows re-circulated air into an annular passageway or plenum 36 defined by an inner wall 38 of the furnace 24 and an outer surface 40 of the process vessel 22. Typically, in forced- air systems 30, as shown in FIG. 1, air or a cooling gas is injected near the lower end of the furnace 24 and flows up through plenum 36 over the surface 40 of the process vessel 22 and out to a heat exchanger 42 having cooling coils 44 through which a heat transfer fluid is passed. Although an improvement over water cooling, there are a number of shortcomings or problems associated with conventional forced air systems 30 including a cooling ability and response time that is limited by the volumetric flow capacity of the blower 34, and a temperature gradient across the wafers 26 being processed due to non-uniform cooling of the process vessel 22.
The first problem arises, because cold air is injected near the bottom of the process vessel 22 the wafers 26 disposed near a lower portion of a stack of wafers are cooled more quickly than those wafers located toward the top portion of the wafer stack. This results in non-uniform temperature distribution an non-uniform processing of the wafers 26. Moreover, because cold air is usually injected on one or a few sides of the process vessel 22, one side of the process vessel and the wafers 26 therein may be cooled more quickly than another resulting in a temperature gradient across the wafers caused by convective and conductive heat transfer of thermal radiant energy from the wafers to the process vessel. In addition, although not shown in FIG. 1, portions of the process vessel surface 40 are often shielded from the cooling air by components on the base plate or attached to or near the process vessel. These shielded portions of the process vessel 22 can become hotter than other unshielded portions, again resulting in a temperature gradient across the wafers 26. Such temperature gradients are particularly undesirable when processing wafers 26 having a crystalline structure since excessive radial thermal gradient can produce slip dislocations in the crystalline structure of in the wafers.
The second problem with conventional forced- air systems 30, arises from the fact that cold air travels linearly from the bottom 28 of the plenum 36 to the top resulting in an ineffective cooling capacity. In particular, pushing the cold air to the top of the plenum 36 typically requires a large blower 34. Moreover, because the primary mode of heat transfer is conduction through contact with gas molecules, a large blower 34 is also required to provide volume or flow rates sufficient to effectuate appropriate heat exchange and to control large fluctuations in heat load, such as those caused by turning on and off the heating elements 32. This requirement for a large or high capacity blowers 34 leads to more expensive manufacturing costs for conventional forced-air systems 30. Large blowers 34 can present difficulties in placement in or near a controlled environment, such as a clean room in which thermal processing apparatus is usually operated. That is, the mechanical vibrations induced by a large blower 34 can cause flaking of deposits formed on the process vessel 22 surfaces or movement of the enclosed wafer 26, both of which are undesirable.
Accordingly, there is a need for a cooling system and method for quickly and uniformly cooling a process vessel of a thermal processing apparatus. It is further desirable that the cooling system and method be able to quickly control large fluctuations in heat load. It is also desirable that the cooling system and method not require large fans or blowers located near the thermal processing apparatus that could induce the mechanical vibrations which can damage or interfere with processing of an enclosed wafer.
SUMMARY
The cooling system of the present application provides a solution to these and other problems, and offers other advantages over the prior art.
In particular, a cyclonic cooling system and method are provided for cooling a thermal processing apparatus used for heating work pieces, such as semiconductor substrates or wafers, for performing processes such as annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer.
In one aspect a cyclonic cooling system is provided for cooling a thermal processing apparatus used for processing a substrates held in a carrier at high or elevated temperatures. The apparatus includes a vessel for containing the substrate to be processed, and a heat source having a number of heating elements supply thermal radiation to heat the substrate. Typically, the vessel has a cylindrical portion and a wall made of a material that is thermally conductive or substantially transparent to thermal radiation, and the heating elements are distributed around and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source. The plenum has an annular portion and an outer circumference defined by an inner limit of the heat source. Generally, the cooling system has a first port and a blower with an outlet coupled to the first port to supply a gas thereto. Gas is ejected from the plenum through a second port axially separated from the first port. In the present cooling system, the first port is oriented to inject the gas into the plenum substantially tangential to the outer circumference thereof to initiate a vortex flow along the wall of the vessel.
In one embodiment, the cooling system is a closed-loop cooling system including an gas-to-fluid heat exchanger coupled to an inlet of the blower to supply cooled gas thereto, and coupled to the second port to receive gas ejected therefrom. The heat exchanger is also coupled to a source of cooling fluid, such as a facility chilled water supply. Optionally, the cooling system further includes flow switching valves coupled between the inlet and outlet of the heat exchanger and the first and second ports to reverse direction of the vortex flow of the gas. In one version of this embodiment, the cooling system further includes a controller to control operation of the flow switching valves to alternate the direction of the vortex flow of the gas at least once during a cooling operation.
In another embodiment, the cooling system the heat source includes an insulator having an inner wall with a cylindrical portion that is radially separated from the vessel by the plenum, and is coaxial with the heating elements, the vessel and the plenum. In one version of this embodiment, the cylindrical portion of the inner wall of the insulator includes baffles to direct the vortex flow of the gas. The heating elements can be recessed or embedded in the insulator adjacent to the inner wall thereof, or attached to the inner wall of the insulator. Optionally, the baffles also direct the vortex flow of the gas along the wall of the vessel to cool the vessel. In one version of this embodiment, at least some of the baffles also direct the vortex flow of the gas to cool the heating elements. In another version of this embodiment, the first port and baffles are oriented to cause the gas to rotate around the vessel at least once before being ejected from the plenum. In another version of the above embodiment, the first port includes a duct extending through the insulator, and the duct is tapered from a first cross-sectional area adjacent to an outer wall of the insulator to a second, smaller cross-sectional area adjacent to the inner wall of the insulator to increase velocity of the gas injected into the plenum.
A method is provided for cooling an apparatus for thermally processing a substrate. As noted above, the apparatus generally includes a vessel for containing the substrate to be processed, and a heat source with a heating elements to supply thermal radiation to heat the substrate. The vessel has a cylindrical wall. The heating elements are distributed around and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source. The plenum has an annular portion and having an outer circumference defined by an inner limit of the heat source. Generally, the method involves: (i) injecting a gas through a first port oriented substantially tangentially to the outer circumference of the plenum; and (ii) ejecting the gas from the plenum through a second port axially separated from the first port to eject the gas from the plenum, whereby a vortex flow of the gas is initiated along the wall of the vessel to cool the vessel and/or the heating elements. Optionally, the steps of injecting the gas through the first port and ejecting the gas from the plenum include the steps of injecting the gas through the first port and ejecting the gas from the plenum through the second port to cause the gas to rotate around the vessel at least once before being ejected from the plenum.
In one embodiment, the apparatus further includes a blower with an outlet coupled to the first port to supply gas thereto, and a gas-to-fluid heat exchanger coupled to an inlet of the blower to supply cooled gas thereto, and to the second port to receive gas ejected therefrom. In this embodiment, the step of injecting gas through the first port includes the step of operating the blower to supply gas to the first port, and the step of ejecting the gas from the plenum through the second port includes the step of receiving gas ejected therefrom in the heat exchanger. In another version of this embodiment, the apparatus further includes flow switching valves coupled between the heat exchanger and the first and second ports to reverse direction of the vortex flow of the gas, and the method includes the further step of operating the flow switching valves to alternate the direction of the vortex flow of the gas at least once during a cooling operation.
In another aspect, a cooling system is provided for cooling a heat source or furnace used in a thermal processing apparatus for processing a substrates held in a carrier at high or elevated temperatures. The apparatus includes a vessel for containing the substrate to be processed, and a heat source having a number of heating elements to heat the substrate. The vessel has a top wall and a side wall made of a material which is thermally conductive or substantially transparent to thermal radiation. The heating elements are distributed about and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source. The heat source further includes an insulator disposed about the heating elements and separated from the vessel by the plenum. The insulator has a side portion with an inner wall and a top block abutting the side portion. Generally, the cooling system includes an actuator adapted to move the top block a predetermined distance from the side portion of the insulator to define a gap therebetween, thereby enabling a fluid introduced into the plenum to flow through the plenum and out through the gap to cool the heat source. The actuator can include any suitable device capable of being operated to lift the top block, and can include mechanical devices such as pneumatic cylinders, hydraulic cylinders, solenoids, hoists or lead screws.
Optionally, the fluid is a cooling gas, and the apparatus further includes an injection port axially separated from the gap to introduce the cooling gas into the plenum. In one version of this embodiment, the apparatus further includes a blower coupled to the injection port to supply cooling gas thereto. In one embodiment, the cooling system is a closed-loop cooling system including a gas-to-fluid heat exchanger that is coupled to the plenum through the gap to receive heated cooling gas ejected therefrom, and coupled to the injection port through the blower to supply cooled cooling gas thereto. The heat exchanger being also coupled to a source of cooling fluid.
In another embodiment, the cooling system further includes a controller to control operation of the actuator to automatically move the top block to initiate flow through the plenum and out through the gap. Optionally, the controller and the actuator are adapted to move the top block to a first predetermined position to provide a first rate of cooling, and to a second predetermined position to provide a second rate of cooling.
BRIEF DESCRIPTION OF THE DRAWINGS
These and various other features and advantages of the present cooling system will be apparent upon reading of the following detailed description in conjunction with the accompanying drawings and the appended claims provided below, where: (FIG. 1 prior art) is a cross-sectional schematic view of a prior art thermal processing apparatus having a conventional forced-air cooling system;
FIG. 2 is a cross-sectional schematic view of a thermal processing apparatus having a cyclonic cooling system according to an embodiment of the present invention;
FIG. 3 is a perspective view of a portion of the thermal processing apparatus having a cyclonic cooling system according to an embodiment of the present invention;
FIG. 4 is a cross-sectional side view of an insulator of the thermal processing apparatus of FIG. 3 showing a vortex flow of gas injected by the cooling system according to an embodiment of the present invention;
FIG. 5 is a cross-sectional top view of a portion of an insulator of a thermal processing apparatus showing contour of the injection port and ejection port according to an embodiment of the present invention; FIG. 6 is a cross-sectional side view of a portion of the insulator of FIG. 5;
FIG. 7 is a cross-sectional side view of an insulator of a thermal processing apparatus showing vortex flow of gas injected by the cooling system according to another embodiment of the present invention;
FIG. 8 is flowchart showing an embodiment of a process for cooling an apparatus for thermally processing a substrate using a cyclonic cooling system according to an embodiment of the present invention whereby apparatus is quickly and uniformly cooled to a desired temperature;
FIG. 9 is a cross-sectional schematic view of a thermal processing apparatus having a cooling system according to an embodiment of the present invention; FIG. 10 is a cross-sectional schematic view of the thermal processing apparatus of FIG. 9 showing a top block in a raised position;
FIG. 11 is a cross-sectional schematic view of a thermal processing apparatus having a cooling system according to another embodiment of the present invention; and
FIG. 12 is flowchart showing an embodiment of a process for cooling an apparatus for thermally processing a substrate using an embodiment a cooling system having a movable top block according to the present invention.
DETAILED DESCRIPTION
A system and method is provided for cooling a thermal processing apparatus used for thermal processing work pieces, such as semiconductor substrates or wafers.
By thermal processing it is meant processes that require or result in the work piece or wafer being heated to a desired temperature before and during processing. For example, in manufacturing integrated circuits semiconductor wafers are heated as high as 1300°C. Thermal processing of semiconductor wafers can include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, such as chemical vapor deposition or CVD, physical vapor deposition (PVD) processes, and etching or removal of material from the wafers.
A thermal processing apparatus according to one embodiment will now be described with reference to FIG. 2. For purposes of clarity, many of the details of thermal processing apparatuses that are widely known and are not relevant to the present invention have been omitted. Thermal processing apparatuses are described in more detail in, for example, commonly assigned U.S. Patent number 6,005,225, which is incorporated herein by reference.
FIG. 2 is a cross-sectional view of a thermal processing apparatus for thermally processing a batch of semiconductor wafers, and having an embodiment of a cyclonic cooling system. As shown, the thermal processing apparatus 100, generally includes a process vessel 102 having a support 104 adapted for receiving a carrier or boat 106 with a batch of wafers 108 held therein, and heat source or furnace 110 having a number of heating elements 112 for raising a temperature of the wafers to the desired temperature for thermal processing. The thermal processing apparatus 100 further includes one or more optical or electrical temperature sensing elements, such as a resistance temperature device (RTD) or thermal couple (T/C), for monitoring the temperature within the process vessel 102 and/or controlling operation of the heating elements 112. In the configuration shown the temperature sensing elements are profile T/C 114A, 114B, that have multiple independent temperature sensing nodes or points (not shown) for detecting the temperature at multiple locations within the process vessel 102. The thermal processing apparatus 100 can also include one or more injectors 116 (only one of which is shown) for introducing a fluid, such as a gas or vapor, into the process vessel 102 for processing and/or cooling the wafers 108, and one or more vents or purge ports 118 (only one of which is shown) for introducing a gas to purge the process vessel and/or to cool the wafers. The thermal processing apparatus 100 may further include a vessel liner 120 inside the process vessel 102 to increase the concentration of processing gas or vapor near the wafers 108, and to reduce contamination of the wafers from flaking or peeling of deposits that can form on interior surfaces of the process vessel 102. Generally, the process vessel 102 is sealed by a seal, such as an o-ring 122, to a platform or base-plate 124 to completely enclose the wafers 108 during thermal processing. Openings for the injectors 116, T/Cs 114A, and purge ports 118 are sealed using seals such as o-rings, VCR®, or CF® fittings. Gases or vapor released or introduced during processing are evacuated through an exhaust port 126 or foreline formed in a wall of the process vessel 102 (not shown) or in a plenum of the baseplate 124, as shown in FIG. 2, or by any other suitable technique. The process vessel 102 can be maintained at atmospheric pressure during thermal processing or evacuated to a vacuum as low as 4 millitorr through a pumping system (not shown) including one or more roughing pumps, blowers, hi -vacuum pumps, and roughing, throttle and foreline valves.
The process vessel 102 and liner 120 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing. Optionally, the process vessel 102 is made from an opaque, translucent or transparent quartz glass having a sufficient thickness to withstand the mechanical stresses and that resists deposition of process byproducts, thereby reducing potential contamination of the processing environment. In one version of this embodiment, the process vessel 102 and liner 120 are made from a quartz that reduces or eliminates the conduction of heat away from the region or processing zone 128 in which the wafers 108 are processed to the seal 122. In another version of this embodiment, a portion of the process vessel 102 near the seal 122 comprises an opaque quartz to reduce the transfer of heat from the process vessel to the seal 122 and to increase thermal efficiency of the apparatus by reducing the transfer of heat away from the processing zone 128 through sidewalls of the process vessel.
The batch of wafers 108 is introduced into the process vessel 102 through a load lock or loadport (not shown) and an access or opening in the process vessel or base-plate 124 capable of forming a gas tight seal therewith. In the configuration shown in FIG. 2, the process vessel 102 is a vertical reactor and the access utilizes a movable pedestal 130 that is raised during processing to seal with a seal, such as an o-ring 132 on the base-plate 124, and lowered to enable an operator or an automated handling system, such as a boat handling unit (BHU) (not shown), to position the carrier or boat 106 on the support 104 affixed to the pedestal.
The thermal processing apparatus 100, further includes a cyclonic cooling system 140 adapted to inject a cooling fluid into a generally annular space or plenum 142 which is defined by and extends from the process vessel 102 the furnace 110. The annular portion of the plenum 142 has an outer circumference defined by an inner limit of the furnace 110 or heating elements 112. The cyclonic cooling system 140 is adapted to inject the cooling fluid substantially tangentially to the outer circumference of the plenum 142 to initiate a helical or vortex flow over the surface of the process vessel 102 to cool the vessel.
As shown, the cyclonic cooling system 140, generally includes a first opening or injection port 144 located at one end of the plenum 142 through which the cooling fluid is injected and a second opening or exhaust port 146 located at the other end of the plenum axially separated from the injection port through which the cooling fluid exits the plenum. Optionally, the cooling fluid is a cooling gas, such as air or nitrogen, and the cyclonic cooling system 140 further includes a pump, fan or blower 148 with an outlet 150 coupled to the injection port 144 to supply cooling gas thereto. In one version of this embodiment, the cooling system is a closed-loop cooling system including an gas-to-fluid heat exchanger 152 coupled to an inlet 154 of the blower 148 to supply cooled gas thereto and to exhaust port 146 to receive gas ejected therefrom. The heat exchanger 152 is also coupled via cooling fluid supply lines 155 to a source of cooling fluid, such as chilled water or equipment cooling water supplied from the building or facility in which the thermal processing apparatus 100 is located.
In the embodiment shown, the cyclonic cooling system 140 further includes a thermo-couple probe 143, a housing for power cables 145 and a temperature indicator 147. The cooling gas is injected through a first opening or injection port 144 located at one end of the plenum 142 and rotates at least once around the process vessel 102 before exiting through a second opening or exhaust port 146 located at the other end of the plenum axially separated from the injection port. Those of ordinary skill in the art will understand that the cooling gas can be made to rotate a number of times about the process vessel 102 depending on a variety of factors. These factors can include the flow velocity of the cooling fluid, e.g., air, the process vessel 102 size, the temperature, and orientation and configuration of the injection port 144 and exhaust port 146.
This cyclonic cooling mechanism increases cooling efficiency while reducing the necessary amount of cooling flow, that is the volume of and flow rate of cooling gas passed through the plenum 142 in a given time period, thereby minimizing the size, cost, noise, and vibration of the heat exchanger and blower system.
According to another embodiment, a pair of flow switching valves 156, 158, switches or alternates the flow direction, i.e., alternating the injection of cooling gas between top and bottom of the plenum 142. FIG. 3 is a perspective view of a portion of the thermal processing apparatus 100 having a cyclonic cooling system 140 as described above. Referring to FIG. 3, in a first flow path indicated by solid arrows 160, cooling gas is blown from the outlet 150 of the blower 148 (not shown in this figure) though a first flow switching valve 156, through an external trunk or duct 162 and into an injection port 144 located near a top of the furnace 110. After flowing over and cooling the process vessel 102 (not shown in this figure), the heated cooling gas is ejected or exhausted from an exhaust port 146 through another external trunk or duct 164, through a second flow switching valve 158 and back to the heat exchanger 152. In an alternative or second flow path indicated by dashed arrow 166, cooling gas is blown from the outlet 150 of the blower 148 (not shown in this figure) though the first flow switching valve 156, directly into a second injection port (not shown in this figure) located near the bottom of the furnace 110, without passing through the external duct 162. After flowing upward in a helical manner over the process vessel 102 (not shown in this figure), the heated cooling gas is ejected or exhausted from a second exhaust port 168 located near the top of the furnace 110, through the second flow switching valve 158 and back to the heat exchanger 152.
Note that other flow paths through the plenum 142 and other approaches of altering the direction of flow are possible without departing from the scope of the invention. For example, the direction of flow of the flow path indicated by arrows 160 can be reversed without repositioning of the flow switching valves 156, 158, by reversing direction of the blower 148. However, to minimize the exposure of the blower 148 and an associated motor (not shown) to elevated temperatures, thereby extending its' operating life, it is generally desirable that the blower be located on an outlet of the heat exchanger 152.
In yet another embodiment, the flow switching valves 156, 158, and blower 148 are under control of a temperature controller (not shown) that operates the valves and blower to alternate the direction of cooling gas flow a number of times during a cooling cycle, thereby achieving a more uniform cooling axially along the height of the process vessel 102, and therefore across the stack of wafers therein, than possible with conventional unidirectional cooling systems.
Optionally, the temperature controller is a dynamic feed forward temperature controller that uses a theoretical model to predict the thermal response of the system which is used as a feed forward loop into the regular control methodology. In one version of this embodiment, the temperature controller includes a learning sequence that uses historical processing data to improve dynamic response and to reduce sensitivity to the variations in thermal load, caused for example by variation in the number of wafers 108 being processed.
In still another embodiment, shown in FIG. 4, an interior surface 170 of the furnace chamber 110, which defines an outer circumference of the plenum 142, can include a number of air- foils or baffles 172 to aid in directing the helical flow of cooling gas through the plenum. FIG. 4 is a cross-sectional side view of the interior of the furnace 110 of the thermal processing apparatus 100 of FIG. 3 showing a vortex or helical flow of cooling gas injected by the cyclonic cooling system 140. The baffles 172 extend radially inward from the interior surface 170 of the furnace chamber 110. The baffles can have a radial length from a minimum of about 10 mm, to about 30 mm. The baffles 172 extend radially inward from the interior surface 170 to a point near but not abutting an exterior surface of the process vessel 102. This embodiment, has the advantage of isolating the process vessel 102 from vibrations induce by the blower during operation and facilitating the placement of the isolating the process vessel in the furnace 110 during assembly of the thermal processing apparatus 100 and/or. In one version of this embodiment, there is a clearance of at least about 8mm between the baffles 172 and the exterior surface of the process vessel 102. It will be appreciated that number and placement of these baffles 172 as well their orientation, particularly their angle with respect to the direction of flow, can significantly effect the number of complete revolutions cooling gas helically flow about the process vessel 102 will make before exiting the plenum 142.
Additional details of the injection ports 144 and exhaust ports 146 will now be described with reference to FIGs. 5 and 6. FIG. 5 is a cross-sectional top view of a portion of the furnace 110 showing contours of the injection port and exhaust port according to an embodiment of the present cooling system 140. FIG. 6 is a cross- sectional side view of the portion of the furnace 110 shown in FIG. 5.
Both the injection ports 144 and exhaust ports 146 are oriented tangential to the inner surface 170 of the furnace 102. In one embodiment, the injection port 144 comprises a shallow scoop shaped portion 174 having a radius that decreases for a point of entry into the plenum 142 to a point distal from the point of entry. The decreasing radius of the scooped shaped portion 174 gradual redirects the cooling gas from flow in a linear direction tangential to the plenum 142 to a laminar or substantially laminar helical flow within the plenum.
The exhaust port 146 can include a portion 176 having a substantially rectangular cross-section, thereby maximizing the cross-sectional area of the exhaust port for exhausting of cooling gas and reducing the complexity and expense of fabricating the exhaust port.. Alternatively, the exhaust port 146 can also include such a scooped shaped portion 174, not shown in this figure, thereby enabling the heated cooling gas to maintain a laminar flow while being ejected or exhausted from the plenum 142. This alternative embodiment has the further advantages of enabling the exhaust port 146 to serve as an injection port 144 when flow is reversed as described above, and of avoiding the generation of turbulence, which can occur at high flow rates.
According to another embodiment, both the injection ports 144 and exhaust ports 146 have tapered portions 178, 180, of smoothly changing cross-sectional area to alter the velocity or flow rate of cooling gas entering or leaving the plenum 142. As shown, the tapered portion 178 of the injection port 144 has a decreasing cross- sectional area from a point near an entry to the injection port to a point near the plenum to increase the velocity of cooling gas entering the plenum. It has been found that increasing the velocity of cooling gas entering the plenum 142 above a minimum amount is desirable to initiate and maintain a helical flow. That is if the cooling gas is introduced at too low of a flow rate the cooling gas tends to move or flow upward disrupting the helical flow. This in turn can lead to localized hot spots on the process vessel 102 or uneven cooling in areas where the cooling gas does not flow over the process vessel surface. Preferably, to achieve an appropriate momentum of helical rotation of the cooling gas, cooling gas is injected at a speed of at least about 5 meters per second (mps), and more preferably at speeds of gas of from about 5 mps to about 30 mps.
The tapered portion 180 of the exhaust port 146 decreases the velocity of the cooling gas entering the heat exchanger 152, thereby increasing the length of time the heated cooling gas is in the heat exchanger and increasing cooling efficiency of the heat exchanger. For example, the inside diameter of the tapered portion 180 can increase from about 2 inches at the inlet to about 3 inches at the outlet.
In an alternative embodiment shown in FIG. 7, the cyclonic cooling system 140 includes two injection ports 144A, 144B, axially located near a center of the furnace 110 and two exhaust ports 146A, !46B located at either end to produce two co-axial helical flow paths rotating in opposite directions. This embodiment has the advantage of increasing the cooling near a center of the process vessel 102, which is frequently nearest to the process zone 128, thereby maximizing cooling of the wafers 108. It will be appreciated that the cooling system 140 described above is particularly useful for cooling the thermal processing apparatus 100 and the wafers 108 therein to a pull temperature after processing of the wafers 108 in preparation for unloading of the wafers 108 and by the BHU.
Alternatively, the cooling system 140 can be operated for other purposes during processing of the wafers 108. For example, the cooling system 140 can be operated to provide reduced or varying degrees of cooling during processing, thereby affording a constant thermal load to the heating elements 112 and minimizing or eliminating localized hot spots or thermal transients.
An illustrative method or process for cooling the thermal processing apparatus 100 is described with reference to FIG. 8. FIG. 8 is a flowchart showing steps of a method for cooling the thermal processing apparatus 100 using the cyclonic cooling system 140 described above. In the method, cooling gas is injected through an injection port 144 oriented tangentially to the outer circumference of the plenum 142 to initiate a vortex or helical flow of cooling gas adjacent to the process vessel (Step 184). Cooling gas is then ejected from the plenum 142 through an exhaust port 146 axially separated from the injection port 144 (Step 186). Optionally, the cyclonic cooling system 140 further includes flow switching valves 156, 158, and the method involves the further step of operating the flow switching valves to alternate the direction of the vortex flow of the gas at least once during the cooling operation (Step 188). Optionally, the step of injecting the cooling gas, step 184, includes injecting the gas through the injection port 144 in such a manner as to cause the cooling gas to rotate in a helix around the process vessel 102 at least once before being exhausted from the plenum 142.
In accordance with another aspect, the thermal processing apparatus 100, further includes a chimney cooling system adapted to cool a heat source or furnace 110 and/or the process vessel 102. In the embodiment shown in FIG. 9, the heat source or furnace 110 further includes an insulator 202 disposed about the heating elements 112 and having a cylindrical side portion 204 with an inner wall 206 that is coaxial with a side wall 208 of the process vessel 102, and a top insulation block or top block 210 abutting the side portion. Generally, the chimney cooling system includes an actuator 212 adapted to move the top block 210 a predetermined distance from the side portion 204 of the insulator 202 to define a gap (not shown in this figure) therebetween, thereby enabling a fluid introduced into the plenum 142 to flow up through the plenum and out through the gap to cool the furnace 110 and/or the process vessel 102. As above, the cooling fluid is generally a cooling gas, such as air or nitrogen.
The chimney cooling system includes a heat exchanger to remove heat from the fluid or gas circulated through the plenum 142. For example, in the embodiment shown in FIG. 9, chimney cooling system includes an integral gas-to-fluid heat exchanger formed by a number of cooling coils 216, and a housing or enclosure 218 on which the actuator 212 is mounted. Optionally, the gas-to-fluid heat exchanger 214 can further include additional cooling coils 220 external to the housing 218 to cool the housing or to serve as a heat radiating portion of a closed loop gas-to-fluid heat exchanger for the cooling coils 216 within the housing. In one embodiment, shown in FIG. 10, the cooling coils 216 are adjacent to an outer surface 222 of the side portion 204 of the insulator 202, and extend above the side portion so that when the top block 210 is lifted to define the gap 224, heated fluid from the plenum 142 rises and flows out through the gap past the cooling coils 216 to cool the cooling fluid. The cooling gas from the plenum 142 is further cooled by the gas-to-fluid heat exchanger 214 in a second plenum 226 defined between the exterior of the insulator and the interior of the housing 218. The further cooling and condensing of the cooling gas in the second plenum 226 causes it to sink toward injection ports 228 near the bottom of the second plenum resulting in a natural circulation of cooling gas through the plenum 142 as shown by arrows 230. By natural circulation it is meant the initiation and/or sustaining of a flow of cooling gas through the plenum 142. If desired, the natural circulation of cooling gas through the plenum 142 can be supplemented by the use of a fan 229, blower, or other mechanical flow sustaining means.
The actuator 212 can include any suitable mechanical device capable of being remotely operated to lift the top block 210, and can include mechanical devices such as pneumatic cylinders, hydraulic cylinders, solenoids, hoists or lead screws. In the embodiment, shown in FIGs. 9-11 the actuator 212 includes a chain or cable hoist 232 driven by an electric motor 234. Referring to FIG. 10, the motor thereby varying the degree or rate of cooling provided to the furnace 110 and/or the process vessel 102.
In another embodiment shown in FIG. 11, the chimney cooling system is a closed-loop cooling system, the heat exchanger 214 is a separate compact heat exchanger 214 and the chimney cooling system further includes a blower 236 coupled to the injection port 228 to supply cooled gas thereto. Although this embodiment does not rely on natural circulation to initiate or sustain the flow of cooling gas through the plenum 142, it will be appreciated that the heating of the cooling gas as it rises up through the plenum does aid maintaining a sufficient and laminar flow of cooling gas through the plenum, thereby enabling use of a smaller blower than would otherwise be required.
In yet another embodiment, the chimney cooling system further includes a controller (not shown) for controlling operation of the actuator 212 to automatically move the top block 210 to initiate flow through the plenum 142 and out through the gap 224. Optionally, the controller and the actuator 212 are adapted to move the top block 210 to a first predetermined position to provide a first rate of cooling, and to a second predetermined position to provide a second rate of cooling. Depending upon the temperature from which the furnace 110 is to be cooled, the chimney cooling system can be operated to cool the furnace, and therefore the wafers 108 held therein, at one or more different rates during the cool down cycle. For example, the size of the 206 can be adjusted or throttled during the cool down cycle to provide cooling in the range of from about 10 degrees Celsius (C) per minute to about 100 degrees C per minute. Those of skill in the art will appreciate that these ranges are exemplary, and that other cooling rates (average or instantaneous) may be achieved depending on the particular application. An illustrative method or process for cooling the thermal processing apparatus 100 with a chimney cooling system will now be described with reference to FIG. 12. FIG. 12 is a flowchart showing an embodiment of a process for cooling an apparatus 100 for thermally processing a wafer 108 using an embodiment a chimney cooling system having a movable top block 210. In the method, the top block 210 is moved a predetermined distance from the side portion 204 of the insulator 202 to define a gap 224 therebetween (Step 240). A cooling fluid is injected into the plenum 142 through an injection port 228 axially separated from the gap 224 (Step 242), and ejected from the plenum 142 through the gap 224 so as to induce a flow through the plenum thereby cooling the process vessel 102 (Step 244). Generally, the cooling fluid is a cooling gas, and the step of introducing a fluid into the plenum, step 242, involves injecting cooling gas into the plenum 142.
In one embodiment, the step of introducing a fluid into the plenum, step 242, is accomplished by forcing the cooling gas into the plenum 142 using a blower 236 coupled to the injection port 228. In one version of this embodiment, the chimney cooling system is a closed-loop cooling system including a gas-to-fluid heat exchanger 214 coupled to the plenum 142 through the gap 224, and coupled to the injection port 228 through the blower 236, and the step of introducing a fluid into the plenum, step 242, includes the step of supplying cooled cooling gas to the blower from the gas-to-fluid heat exchanger, and the step of ejecting the fluid from the plenum 142 through the gap 224, step 244, includes the step of receiving in the gas-to-fluid heat exchanger 214 heated cooling gas ejected fluid from the plenum through the gap.
Optionally, the movement of the top block is precisely controlled by a controller, and the step of moving the top block, step 240, includes the step of moving the top block to a first predetermined position to provide a first rate of cooling, and the method includes the further step of moving the top block to a second predetermined position to provide a second rate of cooling (Step 246).
The foregoing description of specific embodiments and examples of the invention have been presented for the purpose of illustration and description, and although the invention has been illustrated by certain of the preceding examples, it is not to be construed as being limited thereby. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications, embodiments, and variations are possible in light of the above teaching. It is intended that the scope of the invention encompass the generic area as herein disclosed, and by the claims appended hereto and their equivalents.

Claims

What is claimed is:
1. An apparatus for thermally processing a substrate, comprising: a vessel for containing a processing zone, the vessel having a cylindrical outside wall portion; an enclosure having a cylindrical inside wall portion spaced apart from the cylindrical outside wall portion of the vessel to form a plenum therebetween; a heat source spaced away from the vessel for supplying thermal radiation into the processing zone through the vessel; and a gas injection port disposed in the cylindrical inside wall portion of the enclosure and oriented substantially tangentially to an outer circumference of the plenum.
2. An apparatus according to claim 1 wherein the heat source is in thermal continuity with the plenum.
3. An apparatus according to claim 1, wherein the cooling system further comprises a gas ejection port disposed in the cylindrical inside wall portion of the enclosure and axially separated from the gas injection port, oriented substantially tangentially to the outer circumference of the plenum, the gas injection port and gas ejection port adapted to initiate a vortex flow of gas in the plenum to cool the vessel.
4. An apparatus according to claim 3, wherein the cooling system further comprises: a blower with an outlet coupled to the gas injection port to supply gas
thereto; and a closed-loop cooling system including a gas-to-fluid heat exchanger coupled to an inlet of the blower to supply cooled gas thereto and to the gas ejection port to receive gas ejected therefrom, the heat exchanger being also coupled to a source of cooling fluid.
5. An apparatus according to claim 4, wherein the cooling system further includes flow switching valves coupled between the inlet and outlet of the heat exchanger and the gas injection port and the gas ejection port to reverse direction of the vortex flow of the gas.
6. An apparatus according to claim 5, wherein the cooling system further includes a controller to control operation of the flow switching valves to alternate the direction of the vortex flow of the gas at least once during a cooling operation.
7. An apparatus for thermally processing a substrate, the apparatus comprising: a vessel for containing the substrate to be processed, the vessel having a cylindrical portion; a heat source having a plurality of heating elements to supply thermal radiation to heat the substrate, the heating elements being distributed generally around and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source, the plenum having an annular portion and an outer circumference defined by an inner limit of the heat source; and a cooling system having at least a first port oriented substantially tangentially to the outer circumference of the plenum to inject a gas into the plenum to initiate a vortex flow to cool the vessel.
8. An apparatus according to claim 7, wherein the cooling system further comprises a second port axially separated from the first port to eject the gas from the plenum.
9. An apparatus according to claim 8, wherein the cooling system further comprises: a blower with an outlet coupled to the first port to supply the gas thereto; and a closed-loop cooling system including a gas-to-fluid heat exchanger coupled to an inlet of the blower to supply cooled gas thereto and to the second port to receive gas ejected therefrom, the heat exchanger being also coupled to a source of cooling fluid.
10. An apparatus according to claim 9, wherein the cooling system further includes flow switching valves coupled between the inlet and outlet of the heat exchanger and the first port and the second port to reverse direction of the vortex flow of the gas.
11. An apparatus according to claim 10, wherein the cooling system further includes a controller to control operation of the flow switching valves to alternate the direction of the vortex flow of the gas at least once during a cooling operation.
12. An apparatus according to claim 8, wherein: the heat source further comprises an insulator having an inner wall with a cylindrical portion around and spaced apart from the vessel, and radially separated from the vessel by the plenum; and wherein the cylindrical portion of the inner wall of the insulator comprises a plurality of baffles to direct the flow of the gas to aid in the initiation of vortex flow to cool the vessel.
13. An apparatus according to claim 12, wherein at least some of the plurality of baffles also direct the vortex flow of the gas to cool the heating elements.
14. An apparatus according to claim 12, wherein the heating elements are embedded in the insulator adjacent to the inner wall thereof, and wherein the outer circumference of the plenum is defined by the inner wall of the insulator.
15. An apparatus according to claim 12, wherein the heating elements project radially inward from the inner wall of the insulator, and wherein the outer circumference of the plenum is defined by an inner limit of the heating elements.
16. An apparatus according to claim 12, wherein first port and baffles are oriented to cause the gas to rotate around the vessel at least once before being ejected from the plenum.
17. An apparatus according to claim 12, wherein first port comprises a duct extending through the insulator, and wherein the duct is tapered from a first cross-sectional area adjacent to an outer wall of the insulator to a second, smaller cross-sectional area adjacent to the inner wall of the insulator, whereby velocity of the gas injected into the plenum is increased.
18. An apparatus according to claim 8, further comprising a third port through which the gas is injected into the plenum and a fourth port from which gas is ejected from the plenum, and wherein the first port and the third port are axially located near a center of the cylindrical portion of the vessel, and the second port and forth port are axially located near a opposite ends of the cylindrical portion of the vessel, and wherein the first port, the second port, the third port and the fourth port are oriented to cause the gas to rotate around the vessel in a first vortex flow from the first port to the second port, and in a second vortex flow from the third port to the fourth port.
19. A method for cooling an apparatus for thermally processing a semiconductor substrate within a processing zone enclosed by a vessel, the apparatus having a heat source disposed outside of the vessel for heating the processing zone through the vessel, the method comprising: introducing a cooling gas into an elongated generally cylindrical region disposed about an external cylindrical wall of the vessel; directing the cooling gas into a vortex flow through the elongated generally cylindrical region; and removing the cooling gas from the elongated generally cylindrical region at a terminus of the votex flow.
20. A method according to claim 19, wherein: the step of introducing a cooling gas into an elongated generally cylindrical region comprises the step of introducing a cooling gas supplied from a closed loop cooling system; and the step of removing the cooling gas from the elongated generally cylindrical region at a terminus of the vortex flow comprises the step of receiving in the closed loop cooling system cooling gas removed from the elongated generally cylindrical region.
21. A method according to claim 20, wherein the step of introducing a cooling gas into an elongated generally cylindrical region comprises the step of introducing the cooling gas through a first port, and the step of removing the cooling gas from the elongated generally cylindrical region comprises the step of removing the cooling gas through a second port, and further comprising the steps of: introducing the cooling gas into the elongated generally cylindrical region through the second port; and ejecting the gas from the plenum through the first port; whereby a second vortex flow of the gas is initiated along the wall of the vessel in a direction generally opposite the first vortex flow to cool the vessel.
22. A method for cooling an apparatus for thermally processing a substrate, the apparatus having a vessel for containing the substrate to be processed, the vessel having a cylindrical wall, and a heat source with a plurality of heating elements to supply thermal radiation to heat the substrate, the heating elements being distributed around and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source, the plenum having an annular portion, and having an outer circumference defined by an inner limit of the heat source, the method comprising steps of: injecting a gas into the plenum through a first port oriented substantially tangentially to the outer circumference of the plenum; and ejecting the gas from the plenum through a second port axially separated from the first port to eject the gas from the plenum, whereby a first vortex flow of the gas is initiated along the wall of the vessel to cool the vessel.
23. A method according to claim 22, wherein the step of injecting a gas into the plenum comprises the step of introducing the gas to the first port from a closed loop cooling system, and further comprising the step of receiving in the closed loop cooling system gas ejected from the second port.
24. A method according to claim 23, further comprising the steps of: injecting the gas into the plenum through the second port; and ejecting the gas from the plenum through the first port; whereby a second vortex flow of the gas is initiated along the wall of the vessel in a direction generally opposite the first vortex flow to cool the vessel.
25. A method according to claim 22, wherein the step of injecting the gas through the first port comprises the step of also directing the vortex flow of the gas to cool the heating elements.
26. A method according to claim 22, wherein the steps of injecting the gas through the first port and ejecting the gas from the plenum comprise the steps of injecting the gas through the first port and ejecting the gas from the plenum through the second port to cause the gas to rotate around the vessel at least once before being ejected from the plenum.
27. A method according to claim 22, wherein first port comprises a duct tapered from a first cross-sectional area to a second, smaller cross-sectional area adjacent to tangentially to the outer circumference of the plenum, and wherein the step of injecting the gas through the first port comprises the step of increasing velocity of the gas as it is injected into the plenum.
28. A substrate processed in an apparatus cooled according to the method of claim 22.
29. An apparatus for thermally processing a substrate, the apparatus comprising: a vessel for containing the substrate to be processed, the vessel having a top wall and a side wall; a heat source including: a plurality of heating elements to supply thermal radiation to heat the substrate, the heating elements being distributed around and spaced apart from the vessel to form a plenum which extends from the vessel to an outer circumference defined by an inner limit of the plurality of heating elements; and an insulator disposed around the heating elements, the insulator separated from the vessel by the plenum and having a side portion with an inner wall and a top block abutting the side portion; and an actuator adapted to move the top block a predetermined distance from the side portion of the insulator to define a gap therebetween to enable a fluid introduced into the plenum to flow through the plenum and out through the gap to cool the apparatus.
30. An apparatus according to claim 29, wherein the fluid comprises a cooling gas, and wherein the apparatus further comprises an injection port axially separated from the gap to introduce the cooling gas into the plenum.
31. An apparatus according to claim 30, further comprising a cooling system including a blower coupled to the injection port to supply cooling gas thereto.
32. An apparatus according to claim 31, wherein the cooling system comprises a closed-loop cooling system including a gas-to-fluid heat exchanger coupled to the plenum through the gap to receive heated cooling gas ejected therefrom, and coupled to the injection port through the blower to supply cooled cooling gas thereto, the heat exchanger being also coupled to a source of cooling fluid.
33. An apparatus according to claim 31, wherein the cooling system further includes a controller to control operation of the actuator to move the top block to initiate flow through the plenum and out through the gap.
34. An apparatus according to claim 33, wherein the controller and the actuator are adapted to move the top block to a first predetermined position to provide a first rate of cooling, and to a second predetermined position to provide a second rate of cooling.
35. An apparatus according to claim 29, wherein the heating elements are embedded in the insulator adjacent to the inner wall thereof.
36. A method for cooling an apparatus for thermally processing a substrate, the apparatus having a vessel for containing the substrate to be processed, the vessel having a top wall and a side wall, and a heat source with a plurality of heating elements to heat the substrate, the heating elements being distributed around and spaced apart from the vessel to form a plenum which extends from the vessel to an outer circumference defined by an inner limit of the plurality of heating elements, the heat source further including an insulator disposed around the heating elements, the insulator separated from the vessel by the plenum and having a side portion with an inner wall coaxial with the side wall of the vessel and a top block abutting the side portion, the method comprising steps of: moving the top block a predetermined distance from the side portion of the insulator to define a gap therebetween; introducing a fluid into the plenum; and ejecting the fluid from the plenum through the gap to induce a flow through the plenum to cool the apparatus.
37. A method according to claim 36, wherein the fluid comprises a cooling gas, and wherein the step of introducing a fluid into the plenum comprises the step of introducing the cooling gas into the plenum through an injection port axially separated from the gap.
38. A method according to claim 34, wherein the step of introducing a fluid into the plenum comprises the step of introducing the cooling gas into the plenum through a blower coupled to the injection port.
39. A method according to claim 38, wherein the apparatus further comprises a closed-loop cooling system including a gas-to-fluid heat exchanger coupled to the plenum through the gap, and coupled to the injection port through the blower, and wherein the step of introducing a fluid into the plenum comprises the step of supplying cooled cooling gas to the blower from the gas-to-fluid heat exchanger, and the step of ejecting the fluid from the plenum through the gap comprises the step of receiving in the gas-to-fluid heat exchanger heated cooling gas ejected fluid from the plenum through the gap.
40. A method according to claim 36, wherein the step of moving the top block comprises the step of moving the top block to a first predetermined position to provide a first rate of cooling, and wherein the method comprises the further step of moving the top block to a second predetermined position to provide a second rate of cooling.
41. A substrate processed in an apparatus cooled according to the method of claim 36.
PCT/US2003/021645 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus WO2004008052A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003256486A AU2003256486A1 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (2)

Publication Number Publication Date
WO2004008052A2 true WO2004008052A2 (en) 2004-01-22
WO2004008052A3 WO2004008052A3 (en) 2004-05-13

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Family Applications Before (2)

Application Number Title Priority Date Filing Date
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber

Family Applications After (6)

Application Number Title Priority Date Filing Date
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533378A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249029A1 (en)
TW (9) TW200416774A (en)
WO (9) WO2004007105A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
DE102007058053A1 (en) * 2007-11-30 2009-06-04 Von Ardenne Anlagentechnik Gmbh Diffusion furnace with reaction chamber for treating substrates, comprises first unit for generating a gas flow, and second- and funnel-shaped third unit for influencing the flow, where first and second units are arranged in the chamber
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
WO2022229643A1 (en) * 2021-04-29 2022-11-03 Edwards Limited Semiconductor processing system
TWI824899B (en) * 2021-12-23 2023-12-01 南韓商Hpsp有限公司 High pressure heat treatment apparatus

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014151475A1 (en) * 2013-03-15 2014-09-25 Watkins Bobby G Ii Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en) * 2014-01-27 2016-12-01 Applied Materials, Inc High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017130268A1 (en) * 2016-01-25 2017-08-03 三菱電機株式会社 Control apparatus
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018150537A1 (en) * 2017-02-17 2018-08-23 株式会社Kokusai Electric Substrate treatment device, method for manufacturing semiconductor device, and program
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) * 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019099125A1 (en) * 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
EP0306967B1 (en) * 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
JP2897963B2 (en) * 1992-05-15 1999-05-31 信越石英株式会社 Vertical heat treatment equipment and heat insulator
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
WO1997003225A1 (en) * 1995-07-10 1997-01-30 Cvc Products, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
EP1121245B1 (en) * 1998-06-18 2008-12-24 Kline & Walker L.L.C. Automated devices to control equipment and machines with remote control and accountability worldwide
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
WO2007053016A2 (en) * 2005-11-07 2007-05-10 Holdingmij. Wilro B.V. Surface and method for the manufacture of photovolataic cells using a diffusion process
WO2007053016A3 (en) * 2005-11-07 2007-10-25 Holdingmij Wilro B V Surface and method for the manufacture of photovolataic cells using a diffusion process
DE102007058053A1 (en) * 2007-11-30 2009-06-04 Von Ardenne Anlagentechnik Gmbh Diffusion furnace with reaction chamber for treating substrates, comprises first unit for generating a gas flow, and second- and funnel-shaped third unit for influencing the flow, where first and second units are arranged in the chamber
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US8459387B2 (en) 2009-01-21 2013-06-11 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
WO2022229643A1 (en) * 2021-04-29 2022-11-03 Edwards Limited Semiconductor processing system
TWI824899B (en) * 2021-12-23 2023-12-01 南韓商Hpsp有限公司 High pressure heat treatment apparatus

Also Published As

Publication number Publication date
WO2004007800A9 (en) 2005-01-13
AU2003259104A8 (en) 2004-02-02
WO2004007318A2 (en) 2004-01-22
AU2003253874A1 (en) 2004-02-02
TW200411960A (en) 2004-07-01
AU2003256487A1 (en) 2004-02-02
EP1522090A4 (en) 2006-04-05
WO2004008491A2 (en) 2004-01-22
AU2003259104A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
TW200416774A (en) 2004-09-01
AU2003249028A1 (en) 2004-02-02
TW200416773A (en) 2004-09-01
WO2004008494A2 (en) 2004-01-22
TW200409176A (en) 2004-06-01
WO2004008493A3 (en) 2004-05-27
AU2003249030A8 (en) 2004-02-02
WO2004007105A1 (en) 2004-01-22
AU2003253907A1 (en) 2004-02-02
WO2004007318A3 (en) 2004-08-05
WO2004008008A2 (en) 2004-01-22
AU2003253907A8 (en) 2004-02-02
AU2003249029A1 (en) 2004-02-02
WO2004008052A3 (en) 2004-05-13
TW200411717A (en) 2004-07-01
TW200406818A (en) 2004-05-01
AU2003249029A8 (en) 2004-02-02
JP2005533232A (en) 2005-11-04
WO2004008493A9 (en) 2004-07-22
TW200419890A (en) 2004-10-01
AU2003253874A8 (en) 2004-02-02
TW200416775A (en) 2004-09-01
WO2004008008A3 (en) 2004-12-16
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
WO2004008491A3 (en) 2004-06-03
EP1540258A1 (en) 2005-06-15
CN1643322A (en) 2005-07-20
AU2003249030A1 (en) 2004-02-02
WO2004008493A2 (en) 2004-01-22
AU2003253873A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
TW200405401A (en) 2004-04-01
JP2005533378A (en) 2005-11-04
WO2004008054A9 (en) 2005-01-13
AU2003256486A8 (en) 2004-02-02
WO2004008054A1 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
WO2004008052A2 (en) System and method for cooling a thermal processing apparatus
JP4174837B2 (en) Vertical heat treatment furnace
US6352593B1 (en) Mini-batch process chamber
US7812285B2 (en) Apparatus and method for heating substrate and coating and developing system
US6492621B2 (en) Hot wall rapid thermal processor
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US20100154711A1 (en) Substrate processing apparatus
KR101135742B1 (en) Processing apparatus, processing method and storage medium
JPH06302523A (en) Vertical thermal treatment equipment
JP2007515054A (en) Heat treatment system with cross-flow injection system including a rotatable injector
WO2003073487A1 (en) Heat treatment system
US20090184109A1 (en) Processing apparatus and process method
KR102272314B1 (en) Light pipe window structure for thermal chamber applications and processes
JP3910151B2 (en) Heat treatment method and heat treatment apparatus
US5239614A (en) Substrate heating method utilizing heating element control to achieve horizontal temperature gradient
JP2008103707A (en) Substrate processor and method for manufacturing semiconductor device
US5626680A (en) Thermal processing apparatus and process
KR20110112074A (en) Apparatus and method for treating substates
KR102424677B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and computer program
JP2012069831A (en) Substrate processing device and method for manufacturing semiconductor device
US6538237B1 (en) Apparatus for holding a quartz furnace
US11688621B2 (en) Batch processing oven and operating methods
JP3177722B2 (en) Temperature control equipment for high-speed heat treatment furnace
JP2005183823A (en) Substrate processing equipment

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP