WO2003029515A3 - Formation of composite tungsten films - Google Patents

Formation of composite tungsten films Download PDF

Info

Publication number
WO2003029515A3
WO2003029515A3 PCT/US2002/022585 US0222585W WO03029515A3 WO 2003029515 A3 WO2003029515 A3 WO 2003029515A3 US 0222585 W US0222585 W US 0222585W WO 03029515 A3 WO03029515 A3 WO 03029515A3
Authority
WO
WIPO (PCT)
Prior art keywords
formation
composite tungsten
tungsten films
tungsten
films
Prior art date
Application number
PCT/US2002/022585
Other languages
French (fr)
Other versions
WO2003029515A2 (en
Inventor
Ken K Lai
Jeong Soo Byun
Frederick C Wu
Ramanujapuram A Srinivas
Avgerinos Gelatos
Mei Chang
Moris Kori
Ashok K Sinha
Hua Chung
Hongbin Fang
Alfred W Mak
Michael X Yang
Ming Xi
Original Assignee
Applied Materials Inc
Ken K Lai
Jeong Soo Byun
Frederick C Wu
Ramanujapuram A Srinivas
Avgerinos Gelatos
Mei Chang
Moris Kori
Ashok K Sinha
Hua Chung
Hongbin Fang
Alfred W Mak
Michael X Yang
Ming Xi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Ken K Lai, Jeong Soo Byun, Frederick C Wu, Ramanujapuram A Srinivas, Avgerinos Gelatos, Mei Chang, Moris Kori, Ashok K Sinha, Hua Chung, Hongbin Fang, Alfred W Mak, Michael X Yang, Ming Xi filed Critical Applied Materials Inc
Priority to JP2003532723A priority Critical patent/JP2005518088A/en
Priority to US10/299,212 priority patent/US6939804B2/en
Publication of WO2003029515A2 publication Critical patent/WO2003029515A2/en
Publication of WO2003029515A3 publication Critical patent/WO2003029515A3/en
Priority to US11/206,491 priority patent/US7384867B2/en
Priority to US12/128,499 priority patent/US7605083B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

Methods for the deposition of tungsten films are provided. The methods include depositing a nucleation layer by alternatively adsorbing a tungsten precursor and a reducing gas on a substrate, and depositing a bulk layer of tungsten over the nucleation layer.
PCT/US2002/022585 2001-07-16 2002-07-16 Formation of composite tungsten films WO2003029515A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2003532723A JP2005518088A (en) 2001-07-16 2002-07-16 Formation of tungsten composite film
US10/299,212 US6939804B2 (en) 2001-07-16 2002-11-18 Formation of composite tungsten films
US11/206,491 US7384867B2 (en) 2001-07-16 2005-08-18 Formation of composite tungsten films
US12/128,499 US7605083B2 (en) 2001-07-16 2008-05-28 Formation of composite tungsten films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30602701P 2001-07-16 2001-07-16
US60/306,027 2001-07-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/299,212 Continuation US6939804B2 (en) 2001-07-16 2002-11-18 Formation of composite tungsten films

Publications (2)

Publication Number Publication Date
WO2003029515A2 WO2003029515A2 (en) 2003-04-10
WO2003029515A3 true WO2003029515A3 (en) 2004-02-12

Family

ID=23183422

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/022585 WO2003029515A2 (en) 2001-07-16 2002-07-16 Formation of composite tungsten films

Country Status (4)

Country Link
US (3) US6939804B2 (en)
JP (1) JP2005518088A (en)
TW (1) TW581822B (en)
WO (1) WO2003029515A2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
US9583385B2 (en) 2001-05-22 2017-02-28 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7056278B2 (en) * 2001-06-01 2006-06-06 Adamed Sp. Z.O.O. Method of treating overactive bladder in women
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
JP2005518088A (en) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
GB0127145D0 (en) * 2001-11-10 2002-01-02 Smithkline Beecham Compounds
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
JP4601975B2 (en) * 2004-03-01 2010-12-22 東京エレクトロン株式会社 Deposition method
US7138337B2 (en) * 2004-11-30 2006-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming tungsten contacts by chemical vapor deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235485B2 (en) * 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
TWI395335B (en) * 2006-06-30 2013-05-01 Applied Materials Inc Nanocrystal formation
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US7863176B2 (en) * 2008-05-13 2011-01-04 Micron Technology, Inc. Low-resistance interconnects and methods of making same
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101263856B1 (en) * 2008-12-31 2013-05-13 어플라이드 머티어리얼스, 인코포레이티드 Method of depositing tungsten film with reduced resistivity and improved surface morphology
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8579789B1 (en) 2009-09-23 2013-11-12 Leviticus Cardio Ltd. Endovascular ventricular assist device, using the mathematical objective and principle of superposition
JP5729911B2 (en) * 2010-03-11 2015-06-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Tungsten film manufacturing method and tungsten film deposition apparatus
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US9343224B2 (en) 2011-08-19 2016-05-17 Leviticus Cardio Ltd. Coplanar energy transfer
US9793579B2 (en) 2013-11-08 2017-10-17 Leviticus Cardio Ltd. Batteries for use in implantable medical devices
US10543303B2 (en) 2013-11-08 2020-01-28 Leviticus Cardio Ltd. Batteries for use in implantable medical devices
US9642958B2 (en) 2011-08-19 2017-05-09 Leviticus Cardio Ltd. Coplanar wireless energy transfer
US8979728B2 (en) 2011-08-22 2015-03-17 Leviticus Cardio Ltd. Safe energy transfer
JP5959991B2 (en) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 Method for forming tungsten film
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8845510B2 (en) 2012-12-11 2014-09-30 Leviticus Cardio Ltd. Flexible galvanic primary and non galvanic secondary coils for wireless coplanar energy transfer (CET)
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
JP6788545B2 (en) 2017-04-26 2020-11-25 東京エレクトロン株式会社 How to form a tungsten film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR20200032756A (en) 2017-08-14 2020-03-26 램 리써치 코포레이션 Metal filling process for 3D vertical NAND wordlines
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020028587A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
KR20210158419A (en) * 2019-05-22 2021-12-30 램 리써치 코포레이션 Nucleation-Free Tungsten Deposition
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (en) 2020-07-03 2023-03-03 应用材料公司 Method for refurbishing aircraft components
US20220270979A1 (en) * 2021-02-24 2022-08-25 Applied Materials, Inc. Formation of metal vias on metal lines
US11721566B2 (en) * 2021-07-13 2023-08-08 Applied Materials, Inc. Sensor assembly and methods of vapor monitoring in process chambers
US11939668B2 (en) 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
WO2001029891A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
EP1167567A1 (en) * 2000-06-28 2002-01-02 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer

Family Cites Families (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US645119A (en) * 1899-12-08 1900-03-13 Edward G Morrison Tipping-handle and cover holder for kettles.
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
FI117944B (en) 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
US5227335A (en) 1986-11-10 1993-07-13 At&T Bell Laboratories Tungsten metallization
JP2555045B2 (en) 1987-01-19 1996-11-20 株式会社日立製作所 Thin film forming method and apparatus
JPH0666287B2 (en) 1988-07-25 1994-08-24 富士通株式会社 Method for manufacturing semiconductor device
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP3415207B2 (en) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 Metal thin film formation method by chemical vapor deposition
JP2684960B2 (en) * 1993-07-01 1997-12-03 日本電気株式会社 Method for manufacturing semiconductor device
JPH0794727A (en) * 1993-09-21 1995-04-07 Toshiba Corp Method for manufacturing semiconductor device
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US5804488A (en) * 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10306377A (en) 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP4097747B2 (en) * 1997-08-07 2008-06-11 株式会社アルバック Barrier film formation method
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11195621A (en) 1997-11-05 1999-07-21 Tokyo Electron Ltd Barrier metal, its formation, gate electrode, and its formation
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11307480A (en) * 1998-04-10 1999-11-05 Applied Materials Inc Method for reducing stress of blanket tungsten film by chemical vapor deposition
KR100319888B1 (en) * 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100278657B1 (en) * 1998-06-24 2001-02-01 윤종용 Metal line structure for semiconductor device & manufacturing method thereof
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) * 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (en) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
KR100332313B1 (en) * 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (en) 2000-08-09 2006-10-02 삼성전자주식회사 Method of manufacturing semiconductor device including metal contact and capacitor
US6903005B1 (en) * 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) * 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (en) 2001-02-08 2003-09-29 삼성전자주식회사 Semiconductor device having multi-interconnection structure and manufacturing method thereof
KR100395766B1 (en) 2001-02-12 2003-08-25 삼성전자주식회사 Ferroelectric memory device and method of forming the same
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (en) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) * 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP2005518088A (en) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
JP4938962B2 (en) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. Metal nitride deposition by ALD using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (en) 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR100476926B1 (en) * 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (en) * 2002-08-30 2008-11-26 本田技研工業株式会社 Hydraulic shock absorber mounting structure
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
WO2001029891A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
EP1167567A1 (en) * 2000-06-28 2002-01-02 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
YANG M ET AL: "ATOMIC LAYER DEPOSITION OF TUNGSTEN FILM FROM WF6/B2H6: NUCLEATION LAYER FOR ADVANCED SEMICONDUCTOR DEVICES", ADVANCED METALLIZATION CONFERENCE. PROCEEDINGS OF THE CONFERENCE, XX, XX, 8 October 2001 (2001-10-08), pages 655 - 660, XP008014661 *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583385B2 (en) 2001-05-22 2017-02-28 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9236297B2 (en) 2009-04-16 2016-01-12 Novellus Systems, Inc. Low tempature tungsten film deposition for small critical dimension contacts and interconnects
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten

Also Published As

Publication number Publication date
US20050287807A1 (en) 2005-12-29
US7384867B2 (en) 2008-06-10
US6939804B2 (en) 2005-09-06
US7605083B2 (en) 2009-10-20
US20040014315A1 (en) 2004-01-22
JP2005518088A (en) 2005-06-16
TW581822B (en) 2004-04-01
WO2003029515A2 (en) 2003-04-10
US20080227291A1 (en) 2008-09-18

Similar Documents

Publication Publication Date Title
WO2003029515A3 (en) Formation of composite tungsten films
WO2004064147A3 (en) Integration of ald/cvd barriers with porous low k materials
AU2003224977A1 (en) System for depositing a film onto a substrate using a low vapor pressure gas precursor
WO2003031679A3 (en) Method for depositing metal layers employing sequential deposition techniques
WO2005081933A3 (en) Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
AU2003267107A1 (en) Precursor material delivery system for atomic layer deposition
AU2001293834A1 (en) Method and device for depositing especially, organic layers by organic vapor phase deposition
WO2002083408A1 (en) Laminated body
WO2006078779A3 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
WO2004097897A3 (en) Methods for depositing polycrystalline films with engineered grain structures
WO2004007792A3 (en) Method of film deposition using activated precursor gases
WO2005028701A3 (en) Methods and apparatus for controlling formation of deposits in a deposition system and deposition systems and methods including the same
AU2003302222A1 (en) Porous material formation by chemical vapor deposition onto colloidal crystal templates
WO2003076678A3 (en) Ald method and apparatus
AU2003273873A1 (en) Protective layer, method and arrangement for the production of protective layers
WO2002079537A3 (en) W-cvd with fluorine-free tungsten nucleation
AU2003300263A1 (en) A method for depositing a metal layer on a semiconductor interconnect structure
AU2001279746A1 (en) Device and method for the deposition of, in particular, crystalline layers on, in particular, crystalline substrates
AU2002366856A1 (en) Method for depositing iii-v semiconductor layers on a non-iii-v substrate
EP1464724A3 (en) Organometallic compounds suitable for use in vapor deposition processes
AU2002358678A1 (en) Method for depositing iii-v semiconductor layers on a non iii-v substrate
WO2006014591A3 (en) Permeation barriers for flexible electronics
EP1139418A3 (en) Reduced fluorine contamination for tungsten cvd
WO2005038865A3 (en) Amorphous carbon layer to improve photoresist adhesion
HK1060158A1 (en) A method of depositing a thin film on a substrate,a substrate and a diamond film produced by the me thod

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 10299212

Country of ref document: US

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG US UZ VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG US

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2003532723

Country of ref document: JP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase