WO2002013227A1 - Appareil d'analyse a faisceau plan - Google Patents

Appareil d'analyse a faisceau plan Download PDF

Info

Publication number
WO2002013227A1
WO2002013227A1 PCT/JP2001/005494 JP0105494W WO0213227A1 WO 2002013227 A1 WO2002013227 A1 WO 2002013227A1 JP 0105494 W JP0105494 W JP 0105494W WO 0213227 A1 WO0213227 A1 WO 0213227A1
Authority
WO
WIPO (PCT)
Prior art keywords
electron beam
inspection
sheet beam
inspection apparatus
wafer
Prior art date
Application number
PCT/JP2001/005494
Other languages
English (en)
French (fr)
Inventor
Mamoru Nakasuji
Nobuharu Noji
Tohru Satake
Toshifumi Kimba
Hirosi Sobukawa
Tsutomu Karimata
Shin Oowada
Shoji Yoshikawa
Mutsumi Saito
Original Assignee
Ebara Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corporation filed Critical Ebara Corporation
Priority to EP01945626A priority Critical patent/EP1304717A4/en
Priority to JP2002518494A priority patent/JP3993094B2/ja
Priority to KR1020027015278A priority patent/KR100873447B1/ko
Publication of WO2002013227A1 publication Critical patent/WO2002013227A1/ja

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/29Reflection microscopes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/073Electron guns using field emission, photo emission, or secondary emission electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • H01J37/185Means for transferring objects between different enclosures of different pressure or atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20228Mechanical X-Y scanning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/204Means for introducing and/or outputting objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2803Scanning microscopes characterised by the imaging method
    • H01J2237/2806Secondary charged particle
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • H01J2237/2816Length
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Definitions

  • the present invention relates to a charged particle beam suitable for a sheet beam inspection apparatus for inspecting a wafer after each step in a semiconductor process, and more specifically, a sheet beam inspection using a charged particle beam such as an electron beam.
  • the present invention relates to an apparatus, a semiconductor device manufacturing method using the inspection apparatus, and an exposure method.
  • a scanning (SEM) inspection device using an electron beam irradiates the sample in a line by scanning by narrowing down the electron beam. This electron beam diameter corresponds to the resolution.
  • the observation area is irradiated with the electron beam in a plane by moving the stage in a direction perpendicular to the scanning direction of the electron beam.
  • the scanning width of the electron beam is generally several hundreds.
  • a secondary electron beam generated from the sample by the irradiation of the finely focused electron beam (referred to as a primary power line) is detected by a detector, for example, a scintillator and a photomultiplier (photomultiplier tube). Alternatively, detection is performed in combination with a semiconductor type detector (PIN diode type).
  • a detector for example, a scintillator and a photomultiplier (photomultiplier tube).
  • detection is performed in combination with a semiconductor type detector (PIN diode type).
  • the coordinates of the irradiation position and the amount of the secondary electron beam (signal intensity) are combined to form an image, which is stored in a storage device or output to a CRT (Braun tube).
  • the above is the principle of SEM (scanning electron microscope), which detects defects in the semiconductor (usually Si) wafer in the process from the images obtained by this method.
  • the inspection speed corresponding to the throughput is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector.
  • the beam diameter is 0.1 / im (may be considered the same as the resolution), the current value is 100 nA, and the response speed of the detector is 100 MHz, which is the current maximum value. In this case, the inspection speed is 20 cm It is said that about 8 hours per wafer of diameter.
  • the above inspection speed is considered to be almost the limit, and a new system is required to further increase the speed, that is, to increase the throughput. Disclosure of the invention
  • the present invention relates to an electron beam apparatus suitable for a sheet beam type inspection apparatus, and a semiconductor device manufacturing method and an exposure method using the apparatus.
  • the first embodiment of the present invention provides a projection type electron beam apparatus. Therefore, the first embodiment is
  • Beam generating means for irradiating an electron beam having a specific width
  • a primary electron optical system that causes the charged particle beam to reach the surface of the substrate to be inspected, and a secondary electron optical system that supplements the secondary electron beam generated from the substrate and guides the image to the image processing system,
  • a substrate transport mechanism for loading and unloading the substrate into and out of the inspection chamber
  • An image processing analyzer for detecting a defect of the substrate An image processing analyzer for detecting a defect of the substrate
  • a control system for displaying or storing a defect position of the substrate
  • a board inspection apparatus a board inspection method, and a device manufacturing method using such a board inspection apparatus
  • the second embodiment of the present invention provides an electron beam apparatus suitable for an inspection apparatus that inspects the inspection object by irradiating the inspection object with an electron beam, and a device manufacturing method using the electron beam apparatus.
  • An electron optical system that irradiates the inspection object with a primary electron beam from an electron beam source, and projects an image of a secondary electron beam emitted by the irradiation of the primary electron beam; and a secondary image projected by the electron optical system.
  • An electron optical device having a detector for detecting an electron beam image, A stage device that holds the inspection target and relatively moves with respect to the electron optical system;
  • a mini-environment device for flowing a clean gas to the inspection target to prevent dust from adhering to the inspection target;
  • stage device accommodates the stage device and can be controlled to a vacuum atmosphere.
  • At least two loading chambers disposed between the mini-environment device and the working chamber, each being independently controllable to a vacuum atmosphere;
  • a transfer unit capable of transferring the inspection target between the mini-environment device and one of the loading chambers, and a transfer unit capable of transferring the inspection target between the one loading chamber and the stage device And a loader having another transport unit.
  • An inspection device configured to support the working chamber and the loading chamber via a vibration isolator
  • An electron optical system that irradiates the inspection object with a primary electron beam from an electron beam source, accelerates a secondary electron beam emitted by the irradiation of the primary electron beam with a deceleration electric field type objective lens, and projects an image thereof;
  • An electro-optical device having electrodes for controlling the electric field strength;
  • a stage device that holds the inspection target and relatively moves with respect to the electron optical system
  • a loader for irradiating the inspection target arranged in the working chamber with an electron beam to reduce the unevenness in charging of the inspection target, or to intentionally charge the inspection target and a potential to the inspection target.
  • An electric potential applying mechanism for applying, an inspection device, wherein the working chamber is configured to be supported by a supporting device supported via a vibration isolator,
  • the loader is configured to independently control the atmosphere independently of a first loading chamber and a second loading chamber, and to load the inspection object in a first loading chamber and outside the first loading chamber.
  • a second transfer unit provided in the second loading chamber for transferring the inspection object between the inside of the first loading chamber and the stage device.
  • the electron beam apparatus further comprising a partitioned mini-environment space for flowing a clean gas to the inspection object conveyed by the loader to prevent dust from adhering;
  • the chamber and the working chamber may be supported by a support device via a vibration isolator.
  • an alignment control device for observing a surface of the inspection target and controlling alignment for positioning the inspection target with respect to the electron optical system;
  • a laser interferometer for detecting the coordinates of the inspection object, and the alignment control device may determine the coordinates of the inspection object using a pattern existing on the inspection object.
  • the alignment of the inspection object is performed. May include a coarse alignment performed in the mini-environment space and an XY alignment and a rotation alignment performed on the stage device.
  • a third embodiment of the present invention provides: An electron beam apparatus for imaging an electron beam emitted from a plurality of electron beam sources on a surface of a sample via an electron optical system,
  • a partition plate for separating the electron beam source and the electron optical system wherein the partition plate has a hole with a large aspect ratio for passing the electron beam.
  • Two or more holes may be provided for each of the electron beam sources.
  • Each of the holes is formed at a position off the optical axis of the electron beam source.
  • the partition plate is formed of a material having high rigidity, and the electron beam source and the electron optical system are attached to the partition plate.
  • the third embodiment of the present invention also provides a device manufacturing method for evaluating a wafer being processed by using the above-mentioned electron beam apparatus.
  • An electron beam is made incident on the sample by an electrostatic optical system including an electrostatic lens, a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data, and the sample is formed based on the data.
  • an electrostatic optical system including an electrostatic lens
  • a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data
  • the sample is formed based on the data.
  • An electrode in the electrostatic optical system is coated with a metal having a work function of 5 eV or more.
  • the electrode or a part of the electrode is coated with a metal having a work function of 5 eV or more, a secondary electron beam is rarely emitted from the electrode, and discharge occurs between the electrodes. This makes it difficult to cause dielectric breakdown between the electrodes.
  • the metal coating the electrodes in the electrostatic optical system is preferably platinum or an alloy containing platinum as a main material.
  • platinum work function: 5.3 [eV]
  • an alloy mainly composed of platinum the amount of secondary electron beam emitted from the electrode is reduced. Discharge between the electrodes is less likely to occur, and dielectric breakdown between the electrodes is less likely to occur. Also, the sample is half Even if it is a conductor wafer, even if platinum coated on the electrode adheres to the pattern of the semiconductor wafer, the transistor will not be deteriorated. It is suitable for semiconductor wafer inspection.
  • An electron beam is made incident on the sample by an electrostatic optical system including an electrostatic lens, a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data, and the sample is formed based on the data.
  • an electrostatic optical system including an electrostatic lens
  • a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data
  • the sample is formed based on the data.
  • the electrostatic lens includes at least two electrodes having a potential difference and an insulating material between the at least two electrodes and supporting the at least two electrodes, and at least one electrode of the at least two electrodes is A first electrode surface having a shortest inter-electrode distance between at least two electrodes; a second electrode surface having a longer inter-electrode distance than the first electrode surface; the first electrode surface and the first electrode surface; A step in the direction between the at least two electrodes between the first electrode and the second electrode surface,
  • the insulating material supports the second electrode surface and the electrode surface of the other electrode substantially vertically between the at least two electrodes, and a minimum creepage distance between the at least two electrodes of the insulating material is An evaluation device, wherein the length is substantially the same as the inter-electrode distance in the electrode portion,
  • the electrodes are supported by the insulating material having a long creepage distance, discharge between the electrodes and, therefore, dielectric breakdown between the electrodes can be suppressed. Furthermore, by providing at least one electrode with a step between the first electrode surface, the second electrode surface, and these electrode surfaces, the surface of the insulating material can be processed into a crease shape or the like. And the processing cost is lower.
  • the shortest creepage distance between the electrodes of the insulating material is substantially the same as the distance between the electrodes at the supported electrode portion, the surface of the insulating material between the electrodes has almost no unevenness, and the insulating material has The amount of gas released from the gas does not increase. Therefore, the degree of vacuum in the beam path of the apparatus is not reduced.
  • the metal coating the electrodes in the electrostatic optical system is platinum or platinum as a main material. It is preferable to use an alloy. By coating the electrode or a part of the electrode with platinum or an alloy containing platinum as a main material, discharge between the electrodes and, therefore, dielectric breakdown between the electrodes is reduced. Further, even if the sample is a semiconductor wafer, even if platinum coated on the electrode adheres to the surface of the semiconductor wafer, the device performance is not deteriorated, so that it is suitable for semiconductor wafer inspection. .
  • a device manufacturing method using the above-described evaluation apparatus comprising: evaluating a pattern of a semiconductor wafer which is the sample using the evaluation apparatus during device manufacturing;
  • the evaluation is performed without dielectric breakdown of the electrodes in the electrostatic optical system. be able to.
  • the primary electron beam is applied to the sample by the primary optical system, and the secondary electron beam emitted from the sample is separated from the primary optical system by the EXB separator and guided to the secondary optical system.
  • An electron beam apparatus wherein the amount of deflection of a secondary electron beam by the magnetic field of the EXB separator is twice the amount of deflection by an electric field, and the direction of deflection by the magnetic field and the direction of deflection by the electric field are reversed.
  • This electron beam device irradiates a sample with a primary electron beam through a primary optical system, separates the secondary electron beam emitted from the sample from the primary optical system by an EXB separator, and guides it to the secondary optical system.
  • EXB separator Characterized in that the amount of deflection of the secondary electron beam due to the magnetic field of the EXB separator is twice the amount of deflection by the electric field and the direction of deflection is reversed.
  • the primary optical system irradiates the sample with a primary electron beam, and the secondary electrons emitted from the sample
  • This electron beam device irradiates a sample with a primary electron beam through a primary optical system, and separates the secondary electron beam emitted from the sample from the primary optical system by an EXB separator and guides it to the secondary optical system.
  • the device is characterized in that the amount of deflection of the primary electron beam by the magnetic field of the EXB separator is twice as large as the amount of deflection by the electric field and the direction of deflection is reversed.
  • the primary optical system forms a primary electron beam composed of a plurality of beams to irradiate the sample surface, and irradiates the primary electron beam composed of the plurality of beams with a plurality of secondary electron beams emitted from the sample. It is preferable to detect with a secondary electron beam detector.
  • the above electron beam device can be used for any of a defect inspection device, a line width measurement device, an alignment accuracy measurement device, and a high time resolution potential contrast measurement device. Further, the fifth embodiment of the present invention also provides a device manufacturing method characterized by inspecting a semiconductor device being processed using the above-mentioned electron beam apparatus.
  • first data representing a rising edge of a secondary electron beam signal waveform when a pattern edge parallel to the first direction is scanned in the second direction;
  • Measuring means for measuring a pattern parallel to the second direction;
  • a second pattern representing a rising edge of a secondary electron beam signal waveform when the edge is scanned in the first direction;
  • an electron beam apparatus comprising:
  • a means for correcting astigmatism after exciting the objective lens with a voltage equal to the algebraic average by the exciting means while the pattern is charged, and thereafter evaluating the pattern preferable.
  • An electron beam apparatus that evaluates a pattern by converging an electron beam with an electron optical system including an objective lens and scanning the pattern with the electron beam. And a second electrode to which a voltage far from ground is applied,
  • the focal length of the objective lens can be changed
  • the excitation means is configured to significantly change the focal length of the objective lens.
  • the sixth embodiment of the present invention also provides a device manufacturing method characterized by evaluating a semiconductor wafer during a process using the above-mentioned electron beam apparatus.
  • the seventh embodiment of the present invention is characterized by evaluating a semiconductor wafer during a process using the above-mentioned electron beam apparatus.
  • An electron beam apparatus that performs at least one of processing, manufacturing, observation, and inspection of the substance by irradiating the substance with an electron beam
  • An electron beam device comprising: a vibration damping circuit, which is electrically connected and attenuates electric energy output from the piezoelectric element;
  • the above-mentioned mechanical structure is a part or a whole that generates a vibration that causes a problem in an electron beam application device, and is an arbitrary mechanical structure that positions an electron beam.
  • optical components of an optical system for imaging an electron beam onto a substance a lens barrel containing such an optical system, a support table on which the substance is placed, or irradiation of the substance with an electron beam
  • optical components of an optical system for imaging a generated secondary electron beam on a detector a lens barrel containing such an optical system, a lens barrel containing a detector, and the like.
  • the vibration damping circuit includes at least an element having an inductance or inductive means as an equivalent circuit of the element, and the inductive means is connected to a piezoelectric element having a capacitance to form a resonance circuit. I do.
  • the inductance of the inductive means with respect to the capacitance of the piezoelectric element is determined so that the resonance frequency of the resonance circuit substantially matches the resonance frequency of the mechanical structure.
  • a resistance element is provided in the vibration damping circuit.
  • the capacitive impedance of the piezoelectric element and the impedance of the inductive means are canceled out, and the impedance of the resonance circuit is practically only the resistance element. Therefore, at the time of resonance, almost all electric energy output from the piezoelectric element is consumed as heat energy by the resistance element.
  • a seventh embodiment of the present invention is directed to a semiconductor device using the above-described electron beam apparatus.
  • the present invention also provides a semiconductor manufacturing method including a step of executing at least one of processing and manufacturing of a semiconductor device, and observation and inspection of a semiconductor device being processed or a finished product.
  • the electrostatic chuck that electrostatically holds the wafer by suction is such that the voltage applied to the wafer increases or decreases with time from 0 volt to a predetermined voltage.
  • An electrostatic chuck is formed by laminating a substrate, an electrode plate, and an insulating layer, and a voltage interlocking with the voltage applied to the wafer is applied to the electrode plate of the electrostatic chuck to generate a suction force between the wafer and the chuck.
  • This electrode plate is divided into a central portion of the electrode plate, a first electrode composed of a part of the peripheral portion, and a second electrode composed of the remaining peripheral portion of the electrode plate. First, a voltage is applied to the first electrode, then the wafer is set to ground or low potential, and then a voltage is applied to the second electrode.
  • the electrostatic chuck in a combination of a wafer and an electrostatic chuck for electrostatically holding the wafer, includes a substrate, an electrode plate, and an insulating layer.
  • a voltage is applied to the wafer through a predetermined resistor and a contact, and the contact has a needle shape in which the tip contacts the back surface of the wafer or a knife edge shape in which the cutting edge contacts the side surface of the wafer.
  • the eighth embodiment of the present invention also provides a device manufacturing method for holding a wafer by suction using an electrostatic check or a combination.
  • the XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum seal mechanism using differential exhaust,
  • a partition for reducing conductance is provided between a position on the sample surface where the electron beam is irradiated and a static pressure bearing support of the XY stage;
  • An electron beam device wherein a pressure difference is generated between the electron beam irradiation area and the static pressure bearing support.
  • a non-contact support mechanism using a static pressure bearing is applied to the support mechanism of the XY stage on which the sample is placed, and the high-pressure gas used for the static pressure bearing is also provided in the vacuum chamber.
  • the stage device By providing a vacuum seal mechanism by operating exhaust around the hydrostatic bearing to prevent the stage device from performing, the stage device can exhibit high-precision positioning performance in vacuum, and furthermore, conductance between the stage and the electron beam irradiation position
  • the gas adsorbed on the surface of the slide section is released every time the slide section of the stage moves from the high-pressure gas section to the vacuum environment. Since the gas does not easily reach, the pressure at the electron beam irradiation position does not easily rise. That is, by adopting the above configuration, the degree of vacuum at the electron beam irradiation position on the sample surface can be stabilized, and the stage can be driven with high precision. Processing with lines can be performed with high accuracy.
  • the partition may incorporate a differential pumping structure.
  • a partition is provided between the static pressure bearing support and the electron beam irradiation area, and a vacuum exhaust path is arranged inside the partition to provide a differential exhaust function. Almost no gas can pass through the partition and pass to the electron beam irradiation area side. Thereby, the degree of vacuum at the electron beam irradiation position can be further stabilized.
  • the partition may have a cold trap function. In this case, in general, 1 0- 7 P a pressure above region, the major components of the gas that will be released from the residual gas and the surface of the material in a vacuum is water molecules. Therefore, it is easy to stably maintain a high degree of vacuum if water molecules can be efficiently discharged.
  • this cold trap is not only effective for water molecules, but also effective for removing organic gas molecules such as oils, which are factors that hinder clean vacuum.
  • the partition is located in two places near the electron beam irradiation position and near the hydrostatic bearing. May be provided.
  • partitions for reducing the conductance are formed at two locations near the electron beam irradiating position and near the static pressure bearing. It is divided into three intermediate rooms via a small conductance.
  • the vacuum evacuation system is configured so that the pressure in each chamber is, in order from the lowest, the electron beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber. By doing so, even if the pressure rise due to the released gas occurs in the hydrostatic bearing chamber, the pressure fluctuation rate can be suppressed to a low level because the pressure is originally set to be high.
  • the pressure fluctuations in the intermediate chamber are further suppressed by the partition, and the pressure fluctuations in the electron beam irradiation chamber are further reduced by the further partition, and the pressure fluctuations are reduced to a level that does not cause any problem. It is possible to do it.
  • the gas supplied to the static pressure bearing of the XY stage is preferably dry nitrogen or a high-purity inert gas.
  • the gas molecules contained in the high-pressure gas are adsorbed on the slide portion of the stage exposed to the high-pressure gas atmosphere in the static pressure bearing portion, and the slide portion is exposed to the vacuum environment. Then, the adsorbed gas molecules desorb from the surface and become a released gas, deteriorating the degree of vacuum. Therefore, in order to suppress the deterioration of the degree of vacuum, it is necessary to reduce the amount of adsorbed gas molecules and quickly exhaust the adsorbed gas molecules.
  • the high-pressure gas supplied to the hydrostatic bearing is converted to dry nitrogen from which water has been sufficiently removed or a high-purity inert gas (for example, high-purity nitrogen gas), which is a gas component that is easily adsorbed on the surface and hardly desorbed. It is effective to remove (organic matter, moisture, etc.) from the high pressure gas.
  • a high-purity inert gas for example, high-purity nitrogen gas
  • Inert gases such as nitrogen have a significantly lower adsorption rate on the surface than moisture and organic substances, and have a much higher desorption rate from the surface.
  • the high-pressure gas even if a high-purity inert gas from which water and organic components are removed as much as possible is used as the high-pressure gas, even if the slide section moves from the static pressure bearing section to the vacuum environment, the amount of released gas is small and the amount of released gas is small. Of the vacuum degree can be reduced because of the rapid decay. This Therefore, the pressure rise when the stage moves can be kept low.
  • it is also effective to apply a surface treatment to the components of the stage, in particular, to the surface of the component that goes back and forth between a high-pressure gas atmosphere and a vacuum environment, so that the adsorption energy to gas molecules is reduced.
  • the base material is metal, TiC (titanium carbide), TiN (titanium nitride), nickel plating, passivation treatment, electrolytic polishing, composite electrolytic polishing, If the base material is a SiC ceramic, a dense SiC layer may be coated by CVD. For this reason, it is possible to further suppress the pressure rise when the stage moves.
  • a ninth embodiment of the present invention provides a wafer defect inspection device for inspecting a defect on the surface of a semiconductor wafer using the above-mentioned electron beam device.
  • the ninth embodiment of the present invention provides an exposure apparatus for drawing a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the above-mentioned electron beam apparatus.
  • the stage positioning performance is high and the degree of vacuum in the electron beam irradiation area is stable, so that an exposure apparatus with high exposure accuracy and no risk of contaminating the sample is provided. .
  • the ninth embodiment of the present invention also provides a semiconductor manufacturing method for manufacturing a semiconductor using the above-mentioned electron beam device.
  • a semiconductor can be manufactured using a device that has high precision stage positioning performance and a stable degree of vacuum in the electron beam irradiation area, so that a fine semiconductor circuit can be formed.
  • the XY stage In a device for irradiating a sample mounted on an XY stage with an electron beam, the XY stage is housed in a housing and supported by a static pressure bearing in a non-contact manner with a housing.
  • the housing housing the stage is evacuated
  • the electron beam device is characterized in that a differential pumping mechanism for evacuating a region of the sample surface to be irradiated with the electron beam is provided around a portion of the electron beam device that irradiates the electron beam onto the sample surface.
  • the high-pressure gas for the static pressure bearing that has leaked into the vacuum chamber is first exhausted by the evacuation pipe connected to the vacuum chamber.
  • a differential evacuation mechanism that evacuates the area irradiated with the electron beam around the part that irradiates the electron beam
  • the pressure in the electron beam irradiation area is significantly reduced from the pressure in the vacuum chamber, and It is possible to stably attain the degree of vacuum at which the processing of the sample by the wire can be performed without any problem.
  • a stage with a structure similar to that of a static pressure bearing type stage generally used in the atmosphere a stage supporting a static pressure bearing without a differential exhaust mechanism
  • the gas supplied to the static pressure bearing of the XY stage is preferably dry nitrogen or high-purity inert gas. It is preferable that the dry nitrogen or the high-purity inert gas is pressurized after being exhausted from the housing accommodating the stage, and then supplied to the static pressure bearing again. As a result, the residual gas component in the vacuum housing becomes a high-purity inert gas, so that there is no possibility of contaminating the sample surface or the surface of the vacuum chamber formed by the housing with moisture, oil, or the like. Above, even if inert gas molecules are adsorbed on the sample surface, they are quickly separated from the sample surface if exposed to the differential pumping mechanism or the high vacuum part of the electron beam irradiation area. The effect on the degree of vacuum can be minimized, and the processing of the sample by the electron beam can be stabilized.
  • a tenth embodiment of the present invention provides a wafer defect inspection device for inspecting a defect on a surface of a semiconductor wafer using the above-mentioned electron beam device. This makes it possible to inexpensively provide an inspection apparatus in which the positioning performance of the stage is high in accuracy and the degree of vacuum in the electron beam irradiation area is stable.
  • a tenth embodiment of the present invention provides a semiconductor wafer using the above-described electron beam apparatus.
  • an exposure apparatus for drawing a circuit pattern of a semiconductor device on a surface or a reticle is provided. This makes it possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high and the degree of vacuum in the electron beam irradiation area is stable.
  • a tenth embodiment of the present invention provides a semiconductor manufacturing method for manufacturing a semiconductor using the above-described electron beam device.
  • a semiconductor can be manufactured using a device that has high precision stage positioning performance and a stable degree of vacuum in the electron beam irradiation area, so that a fine semiconductor circuit can be formed.
  • An apparatus comprising: a retarding voltage application device for applying a retarding voltage to the sample; and a function of applying an optimal retarding voltage to the sample depending on the sample.
  • An electron beam apparatus characterized by comprising at least one axisymmetric lens formed by processing an integral insulator and applying a metal coating on the surface to form an axisymmetric lens;
  • An electron beam characterized by comprising:
  • the eleventh embodiment of the present invention An optical system for irradiating the sample with an electron beam; and a charge-up inspection function, wherein the charge-up inspection function detects a secondary electron beam generated by irradiating the sample with a primary electron beam and forms an image. Then, the pattern distortion or the pattern blur of a specific portion of the sample is evaluated, and as a result, when the pattern distortion or the pattern blur is large, the charge up is evaluated to be large.
  • Electron beam equipment
  • the charge-up investigation function is capable of applying a variable reading voltage to the sample, and in the state where at least two retarding voltages are applied, near the boundary where the pattern density of the sample greatly changes.
  • An apparatus may be provided which performs the above image formation and displays the image so that an operator can evaluate pattern distortion or pattern blur.
  • the eleventh embodiment of the present invention provides a device manufacturing method characterized by detecting a defect of a wafer during a process using the above-mentioned electron beam apparatus.
  • the first and second embodiments of the present invention are as follows.
  • a defect inspection apparatus for inspecting a defect of a specimen, comprising: an image acquisition means for acquiring images of a plurality of inspection areas displaced from each other while partially overlapping on the specimen; and storage means for storing a reference image.
  • a defect judging means for judging a defect of the sample by comparing the images of the plurality of inspection regions acquired by the image acquiring means with the reference image stored in the storage means. Inspection equipment,
  • the specimen to be inspected can be selected from any specimen capable of detecting a defect.
  • the present invention can provide an excellent effect when the specimen is a semiconductor wafer.
  • the image acquiring unit acquires images of a plurality of inspection regions displaced from each other while partially overlapping on the sample, and the defect determining unit teeth, It operates to judge the defect of the sample by comparing the image of the inspection area with the reference image stored in advance.
  • a plurality of surface images of the inspection area at different positions can be acquired, and therefore, the inspection image having a small displacement from the reference image can be selectively obtained in a subsequent process. It is possible to suppress a decrease in the accuracy of defect detection due to displacement.
  • the comparing means performs, for example, a so-called matching operation between the acquired images of the plurality of inspection areas and the reference image, and at least one image of the plurality of inspection areas substantially differs from the reference image. If no sample is found, the sample operates to determine that there is no defect. Conversely, when there is a substantial difference between the images of all the inspection areas and the reference image, the defect is detected with high accuracy by determining that the sample has a defect.
  • electron irradiating means for irradiating each of a plurality of inspection areas with a primary electron beam and emitting a secondary electron beam from the sample is further provided. By detecting the secondary electron beam emitted from the inspection region, the images of the inspection regions can be sequentially acquired.
  • the electron irradiation means includes a particle beam source for emitting primary electrons, and a deflecting means for deflecting the primary electrons, and deflects the primary electron beam emitted from the particle beam source by the deflecting means. It is preferable to sequentially irradiate the primary electron beam to a plurality of inspection areas. In this case, since the position of the input image can be easily changed by the deflection means, a plurality of images to be inspected having different positions can be acquired at high speed.
  • the 12th embodiment of the present invention also provides a semiconductor device manufacturing method including a step of inspecting a wafer for a defect during processing or a finished product using the defect inspection apparatus of each of the above-described embodiments.
  • FIG. 1 is a view schematically showing a configuration of an inspection apparatus which is a first embodiment of the charged particle beam apparatus according to the present invention. ⁇
  • FIG. 2A is a plan view of the electron deflection system
  • FIG. 2B is a cross-sectional view thereof.
  • FIG. 3 is a flowchart showing one embodiment of the semiconductor device manufacturing method according to the present invention.
  • FIG. 4A is a flowchart showing a lithography process which is the core of the wafer processing process shown in FIG. 3, and FIG. 4B is a flow chart showing a wafer inspection process in the wafer processing process shown in FIG. It is.
  • FIG. 5 is an elevational view showing main components of an inspection apparatus which is a second embodiment of the charged particle beam apparatus according to the present invention, and is a view taken along line AA in FIG. is there.
  • FIG. 6 (a) is a plan view of the main components of the inspection apparatus shown in FIG. 5, and is a view taken along line BB of FIG. 5, and
  • FIG. 6 (b) is a view of FIG.
  • FIG. 7 is a diagram showing a modification of the configuration shown in FIG.
  • FIG. 7 is a cross-sectional view showing the mini-environment device of FIG. 5, as viewed along line C-C.
  • FIG. 8 is a view showing the loader housing of FIG. 5, and is a view taken along line DD of FIG. 6A.
  • Figure 9 is an enlarged view of the wafer rack, [A] is a side view, and [B] is
  • FIG. 4 is a cross-sectional view taken along line E_E of [A].
  • FIG. 10 are views showing a modification of the method of supporting the main housing.
  • FIG. 11 is a schematic diagram showing a schematic configuration of an electron optical device in the inspection device of FIG.
  • FIG. 12 is a diagram showing a potential application mechanism.
  • FIGS. 13A and 13B are views for explaining the electron beam calibration mechanism, in which [A] is a side view and [B] is a plan view.
  • FIG. 14 is a schematic explanatory view of a wafer alignment control device.
  • FIG. 15 schematically shows the configuration of the third embodiment of the charged particle beam apparatus according to the present invention. It is sectional drawing shown in FIG.
  • FIG. 16 is a configuration diagram schematically showing an evaluation device as a fourth embodiment of the charged particle beam device according to the present invention.
  • Figure 17 is a table showing the breakdown probability for each metal.
  • FIG. 18 is a perspective view and a sectional view of an electrode.
  • FIG. 19 is a partial cross-sectional view of the electrode shown in FIG.
  • FIG. 20 is a top view and a cross-sectional view of the electrode shown in FIG.
  • FIG. 21 is an enlarged sectional view of a main part of the electrode shown in FIG.
  • FIG. 22 is a diagram schematically showing a fifth embodiment of the charged particle beam device according to the present invention.
  • FIG. 23 is a diagram showing the configuration of the electron beam apparatus shown in FIG. 22 in detail.
  • FIG. 24 is a view schematically showing a charged particle beam apparatus according to a sixth embodiment of the present invention.
  • FIG. 25 is a graph showing the relationship between the negative voltage applied to the objective lens and the rise width of the electric signal, and (b) is a diagram for explaining the rise width of the electric signal. is there.
  • FIG. 26 is a configuration diagram of an electron beam inspection apparatus that is a seventh embodiment of the charged particle beam apparatus according to the present invention.
  • FIG. 27 is a diagram schematically showing a block of a mechanical structure of the electron beam inspection apparatus shown in FIG. 26, wherein (a) shows the relationship between the electron beam inspection apparatus and coordinate axes, and (b) shows a lens barrel. (C) shows a diagram of the case in which the actuyue is installed so as to cancel this natural vibration.
  • FIG. 28 is a schematic diagram showing a configuration of an actuator used in the electron beam inspection apparatus shown in FIG. 26, a vibration damping circuit, and an equivalent circuit of the formed series resonance circuit.
  • FIG. 29 is a graph showing the transfer function of the lens barrel of the electron beam inspection apparatus shown in FIG.
  • Fig. 30 shows the transfer function of the lens barrel and the series in the electron beam inspection system shown in Fig. 26. 5 is a graph showing an electrical frequency characteristic and a total transfer function of the oscillation circuit.
  • FIG. 31 is a view for explaining the wafer inspection method according to the present invention, wherein (a) shows pattern defect detection, (b) shows line width measurement, and (c) shows potential contrast measurement.
  • FIG. 32 is a schematic plan view of the electrostatic chuck in the eighth embodiment of the electron beam apparatus according to the present invention, and is a plan view of the electrode after removing the wafer.
  • FIG. 33 is a schematic vertical cross-sectional view along the line MM of FIG. 32, and is a cross-sectional view showing a state where a wafer is mounted and no voltage is applied.
  • FIG. 34 are time charts of the voltage applied to the electrode and the wafer.
  • FIG. 35 is a block diagram showing a configuration example of an electron beam device using the electrostatic chuck shown in FIG.
  • FIG. 36 is a view showing a vacuum chamber and an XY stage of a conventional electron beam apparatus, where [A] is a front view and [B] is a side view.
  • FIG. 37 is a diagram illustrating the differential pumping mechanism of FIG.
  • FIG. 38 is a diagram showing a vacuum chamber and an XY stage in a ninth embodiment of the charged particle beam device according to the present invention, wherein [A] is a front view and [B] is a side view.
  • FIG. 39 is a diagram showing a vacuum chamber and an XY stage in a first modification of the ninth embodiment of the present invention.
  • FIG. 40 is a diagram showing a vacuum chamber and an XY stage in a second modification of the ninth embodiment of the present invention.
  • FIG. 41 is a diagram showing a vacuum chamber and an XY stage in a third modification example of the ninth embodiment of the present invention.
  • FIG. 42 is a diagram showing a vacuum chamber and an XY stage in a fourth modification of the ninth embodiment of the present invention.
  • FIG. 43 is a schematic diagram showing an example of an optical system and a detection system provided in the lens barrel shown in FIGS.
  • FIG. 44 is a diagram showing a vacuum chamber and an XY stage in the tenth embodiment of the charged particle beam apparatus according to the present invention.
  • FIG. 45 is a diagram showing an example of a differential pumping mechanism provided in the device shown in FIG.
  • FIG. 46 is a diagram showing a gas circulation piping system in the apparatus shown in FIG.
  • FIG. 47 is a schematic diagram of an optical system in the first embodiment of the charged particle beam device according to the present invention.
  • FIG. 48 is a view for explaining an arrangement state of the lens barrel of the electron beam apparatus shown in FIG. Figure 49 is a diagram explaining the charge-up evaluation place and the evaluation method.
  • FIG. 50 is a schematic configuration diagram of a defect inspection apparatus which is a 12th embodiment of the charged particle beam apparatus according to the present invention.
  • FIG. 51 is a diagram showing an example of a plurality of images to be inspected and a reference image acquired by the defect inspection apparatus of FIG.
  • FIG. 52 is a flow chart showing the flow of the main routine of the wafer inspection in the defect inspection apparatus of FIG.
  • Fig. 53 shows the multiple inspection image data acquisition steps in Fig. 52 (step 19).
  • FIG. 54 is a flowchart showing the detailed flow of the subroutine of the comparison step (step 1908) in FIG.
  • FIG. 55 is a diagram showing a specific configuration example of a detector of the defect inspection device of FIG. 50.
  • FIG. 56 is a diagram conceptually showing a plurality of inspected regions which are partially overlapped on the surface of the semiconductor wafer and are displaced from each other.
  • the first embodiment of the charged particle beam apparatus relates to a projection system using an electron beam. First, a projection system will be described.
  • the observation area of the sample is illuminated with a primary electron beam at once, that is, a fixed area is irradiated without scanning, and the secondary electron beam from the illuminated area is irradiated by a lens system.
  • a primary electron beam at once, that is, a fixed area is irradiated without scanning
  • the secondary electron beam from the illuminated area is irradiated by a lens system.
  • This image is converted into an electric signal by a two-dimensional CCD (solid-state imaging device) or TDI-CCD (line image sensor) and output on a CRT or stored in a storage device. From this image information, defects on the sample wafer (semiconductor (S i) wafer in the process) are detected.
  • the moving direction of the stage is either the short axis direction or the long axis direction, and the movement is a step-and-repeat method.
  • the stage is moved continuously in the integration direction. Since images can be acquired continuously with TDI_CCD, use TDI_CCD for continuous defect inspection.
  • the resolution is determined by the magnification and accuracy of the imaging optical system (secondary optical system). For example, a resolution of 0.05 tm has been obtained. In this case, the resolution is 0.1 l ⁇ m, and the electron beam irradiation condition is 200 ⁇ mX 5
  • the inspection time is about one hour per 20 cm wafer, which is eight times faster than the SEM method.
  • the specification of TD I ⁇ 1 CCD used here is 248 pixels (pixels) X 512 steps, and the line rate is 3.3 seconds (line frequency 300 kHz) .
  • the irradiation area in this example is TD
  • the detection device has a primary column 2001, a secondary column 2002, and a chamber 203.
  • An electron gun 2004 is provided inside the primary column 2001, and a primary optical system 200 is provided on the optical axis of an electron beam (primary electron beam) emitted from the electron gun 204. 0 5 is placed.
  • a stage 2000 is set inside the chamber 200 3, and a sample 2000 is placed on the stage 206.
  • a force sword lens 208 an aperture aperture (NA, Numerica 1 Aerture) is placed on the optical axis of the secondary electron beam generated from the sample 2007.
  • NA Numerica 1 Aerture
  • the aperture aperture 2009 is equivalent to an aperture stop, and is a thin plate made of metal (Mo or the like) having a circular hole.
  • the aperture has a focus position of the primary electron beam and a focal point of the cathode lens 208. It is arranged so that it may become a position. Therefore, the cathode lens 208 and the aperture aperture 209 constitute a telecentric electron optical system.
  • the output of the detector 205 is input to the control unit 206, and the output of the control unit 206 is input to the CPU 201.
  • the control signal of the CPU 210 is input to the primary column control unit 20 18, the secondary column control unit 20 19, and the stage drive mechanism 202.
  • the primary column control unit 210 controls the lens voltage of the primary optical system 205, and the secondary column control unit 210 controls the power source lens 2008 and the second lens 201.
  • the lens voltage control of the first to fourth lenses 2014 and the electromagnetic field applied to the Wien filter 2010 are performed.
  • the stage drive mechanism 202 transmits the position information of the stage to the CPU 201.
  • the primary column 200, the secondary column 2002, and the chamber 203 are connected to a vacuum exhaust system (not shown), and are exhausted by a vacuum pump of a vacuum exhaust system. The state is maintained.
  • the primary electron beam emitted from the electron gun 20004 enters the Wien filter 2010 while undergoing a lens action by the primary optical system 20005.
  • L a B 6 capable of extracting a large current with a rectangular cathode.
  • the primary optical system 20005 uses a quadrupole or octupole electrostatic (or electromagnetic) lens that is asymmetric about the axis of rotation. This is similar to the so-called cylindrical lenses Focusing and divergence can be caused in each of the X and Y axes. By arranging this lens in two or three stages and optimizing each lens condition, the electron beam irradiation area on the sample surface can be arbitrarily rectangular or elliptical without losing the irradiation electron beam. Can be shaped. Specifically, when an electrostatic lens is used, four cylindrical rods are used, and the opposing electrodes (a and b, c and d) are set to the same potential, and mutually opposite voltage characteristics are given. It should be noted that the quadrupole lens may not be a cylindrical lens, but may be a lens obtained by dividing a circular plate generally used in an electrostatic deflector into four parts. In this case, the size of the lens can be reduced.
  • the trajectory of the primary electron beam that has passed through the primary optical system 2000 is bent by the deflection action of the Wien filter 210.
  • the win fill 210 can generate a magnetic field and an electric field so as to be orthogonal to each other, as described later.
  • E the electric field
  • B the magnetic field
  • V the velocity of the electron
  • the lens voltage of the primary optical system 205 is set in advance so that the primary electron beam forms an image at the opening of the aperture aperture 209.
  • the aperture aperture 209 prevents unnecessary electron beams scattered in the apparatus from reaching the sample surface, and acts to prevent charge-up and contamination of the sample 207.
  • the open lower aperture 209 and the cathode lens 208 constitute a telecentric electron optical system, the primary electron beam transmitted through the cathodic lens 208 becomes a parallel beam, and the sample 2 Irradiate 0.07 uniformly and uniformly. That is, Koehler illumination with an optical microscope is realized.
  • the force sword lens 208 is composed of three electrodes. one The bottom electrode forms a positive electric field between the sample and the potential on the side of the sample side, attracts electrons (especially secondary electrons with low directivity), and is designed to efficiently guide it into the lens. ing.
  • the lens action is performed by applying a voltage to the first and second electrodes of the force sword lens 208 and setting the third electrode to zero potential.
  • the aperture aperture 209 is located at the focal position of the cathode lens 209, that is, at the back focus position from the sample 207. Therefore, the luminous flux of the electron beam emitted from outside the center of the field of view (off-axis) also becomes a parallel beam, and passes through the center position of the aperture aperture 2009 without any shaking.
  • the aperture aperture 209 plays a role of suppressing the lens aberration of the second lens 201 to the fourth lens 210 with respect to the secondary electron beam.
  • the secondary electron beam that has passed through the aperture aperture 209 travels straight without being subjected to the deflecting action of the Wien filter 209.
  • By changing the electromagnetic field applied to the Wien filter 210 only electrons with a specific energy (for example, secondary electrons, reflected electrons or backscattered electrons) are detected from the secondary electron beam. Can be led to.
  • the secondary electron beam is imaged only by the cathode lens 208, the lens action becomes stronger and aberrations are likely to occur. Therefore, one image formation is performed together with the second lens 201.
  • the secondary electron beam obtains an intermediate image on the field aperture 210 by the force sword lens 208 and the second lens 201.
  • the magnification required for the secondary optical system is often insufficient, so a third lens 201 and a fourth lens 214 were added as lenses for enlarging the intermediate image.
  • the secondary electron beam is enlarged and imaged by the third lens 201 and the fourth lens 214, and here, the image is formed three times in total.
  • the third lens 201 and the fourth lens 214 may be combined to form an image once (two times in total).
  • the second lens 201, the third lens 201, and the fourth lens 214 are all rotationally symmetric lenses called Unipotential lenses or Einzel lenses.
  • Each lens consists of three electrodes, usually two outer electrodes The potential is set to zero, and the lens is controlled by the voltage applied to the center electrode.
  • a field aperture 201 is arranged at an intermediate imaging point. The field aperture 201 limits the field of view to the necessary range, similar to the field stop of an optical microscope. However, in the case of an electron beam, an extra beam It shuts off along with 0 14 to prevent charge-up contamination of detector 205.
  • the magnification is set by changing the lens condition (focal length) of the third lens 201 and the fourth lens 214.
  • the secondary electron beam is enlarged and projected by the secondary optical system, and forms an image on the detection surface of the detector 205.
  • the detector 205 consists of a microchannel plate (MCP) that amplifies electrons, a fluorescent plate that converts electrons into light, a lens and other optical elements that relay the vacuum system to the outside and transmit optical images. And an image sensor (such as a CCD).
  • MCP microchannel plate
  • an image sensor such as a CCD
  • the secondary electron beam forms an image on the MCP detection surface, is amplified, is converted into an optical signal by a fluorescent plate, and is photoelectrically converted by an image sensor to an electric signal.
  • the control unit 210 reads the image signal of the sample from the detector 205 and transmits it to the CPU 201.
  • the CPU 207 performs a pattern defect inspection on the image signal by template matching or the like.
  • the stage 206 can be moved in the X and Y directions by a stage drive mechanism 202.
  • the CPU 201 reads the position of the stage 206, outputs a drive control signal to the stage drive mechanism 202, drives the stage 206, and sequentially detects and inspects an image. .
  • the aperture aperture 209 and the force sword lens 209 constitute a telecentric electron optical system
  • the primary electron beam is uniformly applied to the sample. Can be irradiated. That is, color illumination can be easily realized.
  • all the principal rays from the sample 2007 enter the cathode lens 208 perpendicularly (parallel to the optical axis of the lens), and the aperture aperture 200 Since the light passes through the sample, the ambient light is not blurred, and the image brightness around the sample does not decrease.
  • the imaging position differs due to the variation in the energy of electrons.
  • magnification is changed after passing through the aperture aperture 209, even if the set magnification of the lens conditions of the third lens 201 and the fourth lens 214 is changed, A uniform image is obtained over the entire field of view.
  • the Wien-Fill filter is used to bend the trajectory of the primary electron beam and advance the secondary electron beam straight.
  • the present invention is not limited to this, and a Vienna Phillips beam that advances the primary electron beam straight and bends the trajectory of the secondary electron beam may be used.
  • a rectangular beam is formed from a rectangular cathode and a quadrupole lens.
  • the present invention is not limited to this.
  • a rectangular beam or an elliptical beam may be generated from a circular beam, or a circular beam may be slit. May be taken out to remove the rectangular peas.
  • a plurality of beams may be scanned so that the entire area is uniformly irradiated with the electron beam. Scanning at this time may be performed by arbitrarily arranging a plurality of beams in a predetermined area (however, so that the irradiation amount becomes uniform).
  • a thermionic beam source can be used as the electron beam source.
  • Materials of the electron-emitting portion (E emitter) is L a B 6. However, due to its high melting point (low vapor pressure at high temperatures) As long as the material has a low work function, other materials can be used. Preference is given to those with a conical tip or a truncated cone with the tip of the cone cut off. The diameter of the tip of the truncated cone is about 100 m.
  • a field emission type electron beam source or a thermal field emission type can be used. However, as in this embodiment, a relatively wide area (for example, 100 ⁇ 25 to 400 ⁇ ) is used.
  • the thermal electron source using L a B 6 is most suitable.
  • the SEM method generally uses a thermal field electron beam source.
  • a thermionic electron beam source emits electrons by heating an electron-emitting material.
  • a thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material, and further emits electrons. This means that the electron emission is stabilized by heating the emission part.
  • the functions of the main components of the projection method are as follows.
  • the primary electron optical system the part that forms an electron beam emitted from an electron gun into a beam and irradiates the wafer surface with a rectangular or circular (elliptical) electron beam is called the “primary electron optical system”.
  • the primary electron optical system By controlling the lens conditions of the primary electron optical system, the size and current density of the electron beam can be controlled.
  • the primary electron beam is perpendicularly incident on the wafer by the Wien filter located at the primary Z secondary electron optical system connection.
  • a predetermined area can be irradiated with a uniform surface beam.
  • a two-dimensional secondary electron image obtained by processing the secondary electron beam generated from the wafer by irradiation of the primary electron beam is converted into an electrostatic image corresponding to the objective lens.
  • This image projection optical system that forms an image at the position of the field stop by the lenses (CL, TL) and enlarges and projects by the subsequent lens (PL) is called "secondary electron optical system".
  • a negative bias voltage deceleration electric field voltage
  • the deceleration electric field has a deceleration effect on the irradiation beam, reduces damage to the wafer (sample), and accelerates the secondary electron beam generated from the sample surface due to the potential difference between the CL and the wafer, resulting in chromatic aberration.
  • Has the effect of reducing The electrons converged by the CL are imaged on the FA by the TL, and the image is enlarged and projected by the PL to form an image on the secondary electron beam detector (MCP).
  • MCP secondary electron beam detector
  • an NA is arranged between CL and TL, and by optimizing this, an optical system capable of reducing off-axis aberrations is configured.
  • an electrostatic octupole (STIG) is arranged and corrected. It is preferable that the misalignment is corrected by a deflector (OP) arranged between the lenses. This makes it possible to achieve a mapping optical system with uniform resolution within the field of view.
  • Vienna Filler 210 is a unit of an electromagnetic prism optical system that arranges electrodes and magnetic poles in an orthogonal direction and generates an electric field and a magnetic field in an orthogonal relationship.
  • an electromagnetic field When an electromagnetic field is selectively applied, an electron beam entering the site from one direction is deflected, and an electron beam entering from the opposite direction travels straight.
  • This is because it is possible to create a condition (the Wien condition) in which the force of the electrons received from the electric field and the force received from the magnetic field cancel each other, whereby the primary electron beam is deflected and irradiated vertically on the wafer, The secondary electron beam goes straight to the detector.
  • the field generated by the electron beam deflecting unit has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the optical axis of the mapping projection optical unit, that is, an XB structure.
  • the electric field is generated by the electrodes 230a and 230b having concave curved surfaces.
  • the electric fields generated by the electrodes 230a and 230b are controlled by the control units 2031a and 2031b, respectively.
  • a magnetic field is generated by arranging the electromagnetic coils 23032a and 23032b so as to be orthogonal to the electric field generating electrodes 203030a and 203030b.
  • a magnetic path is formed by providing a pole piece having a parallel plate shape. Electrodes for generating an electric field 203 0 a and 203 0 0 b may be symmetrical with respect to point 2034, but may be concentric.
  • FIG. 2B is a vertical cross-sectional view taken along a plane perpendicular to the electrodes 230a and 2300b through the point 2034 in FIG. 2A.
  • the irradiated electron beams 20035a and 20035b are composed of an electric field generated by the electrodes 2030a and 2030b, and a magnetic field generated by the electromagnetic coils 2031a and 20031b. After being deflected by the field, it is incident vertically on the sample surface.
  • the incident position and angle of the window fill 210 10 of the irradiated electron beams 20 35 a and 20 35 b are uniquely determined when the electron energy is determined.
  • the secondary electron beam travels straight through the Vienna Filler 210 and enters the mapping projection optical unit.
  • V is the electron velocity (mZs)
  • B is the magnetic field (T)
  • e is the charge (C)
  • E is the electric field (VZm).
  • the image of the secondary electron beam from the wafer, which is imaged by the secondary optics, is first amplified by a microchannel plate (MCP), and then converted into a light image on a phosphor screen.
  • MCP microchannel plate
  • the MCP is a bundle of millions of very thin conductive glass capillaries with a diameter of 6 to 25 m and a length of 0.24 to 1.0 ⁇ , and is shaped into a thin plate. By doing so, each one of the cavities works as an independent secondary electron amplifier, To form a secondary electron amplifier.
  • the image converted to light by this detector is projected one-to-one onto a TDI-CCD by a F ⁇ P system placed in the atmosphere through a vacuum transmission window. '
  • the inspection apparatus according to the first embodiment can increase the throughput of the inspection apparatus using an electron beam.
  • FIG. 3 shows an example of a method for manufacturing a semiconductor device using the first embodiment of the present invention, and includes the following main steps.
  • Wafer manufacturing process for manufacturing wafers or wafer preparation process for preparing wafers
  • Chip assembly process to cut out chips formed on a wafer one by one and make them operable
  • the wafer processing step (3) has a decisive effect on the performance of semiconductor devices.
  • the designed circuit patterns are sequentially stacked on a wafer, and a number of chips that operate as a memory or MPU are formed.
  • This wafer processing step includes the following steps.
  • a thin film forming process for forming a dielectric thin film to be an insulating layer, a wiring portion, or a metal thin film to form an electrode portion using CVD, sputtering, etc.
  • the wafer processing process is repeated for the required number of layers to manufacture semiconductor devices that operate as designed.
  • FIG. 4 is a flowchart showing a lithography step (C) which is the core of the wafer processing step of FIG.
  • This lithography step includes the following steps.
  • the defect inspection apparatus of the present invention is used for the inspection step (G), even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed, thereby improving product yield and improving defects Product shipment can be prevented. This will be described with reference to FIG.
  • defect inspection equipment using electron beams is expensive and throughput is lower than other process equipment, so these defect inspection equipment are currently considered to be the most necessary inspections It is used after various processes (eg, etching, deposition or CMP (chemical mechanical polishing) planarization). Therefore, the wafer to be inspected is positioned on an ultra-precision XY stage through an atmospheric transfer system and a vacuum transfer system, and then fixed by an electrostatic chuck mechanism, etc., and thereafter shown in Fig. 4 (b). Defect inspection and the like are performed according to the procedure.
  • the position of each die and the height of each position are detected and stored by an optical microscope as necessary.
  • the optical microscope is also used to obtain optical microscope images of places where defects are desired to be observed and to compare them with electron beam images.
  • input the recipe information according to the type of wafer (after the process, whether the size of the wafer is 20 cm or 30 cm, etc.) into the equipment, and then specify the inspection location,
  • defect inspection is usually performed in real time while acquiring images.
  • a high-speed information processing system equipped with an algorithm performs inspections by comparing cells, comparing die, etc., and outputs the results to a CRT or the like and stores them in memory as necessary.
  • Defects include particle defects, shape defects (pattern defects), and electrical defects (such as disconnection and poor conduction of wiring or vias). These defects can be distinguished, the size of the defects, and killer defects (chip use). Classification of critical defects that would be impossible) can be automatically performed in real time.
  • the detection of an electrical defect is achieved by detecting a potential contrast abnormality.
  • a place with poor conduction is normally charged positively by electron beam irradiation (about 500 eV), and the contrast is lowered, so that it can be distinguished from a normal place.
  • the electron beam irradiating means in this case is a low-potential (energy) electron beam generating means (thermo-electron generation, UVZ Photoelectron). This low potential (energy) electron beam is generated and irradiated before the inspection target area is irradiated with the electron beam for inspection.
  • defects can also be detected due to the difference in contrast caused by applying a positive or negative potential to a sample such as a wafer with respect to the reference potential (because the flowability differs depending on the forward or reverse direction of the element). It is possible to detect.
  • a defect inspection device can also be used for a line width measurement device and alignment accuracy measurement.
  • a voltage of a portion which is originally electrically insulated is different from a voltage when the portion is in an energized state.
  • the charged particle beam device according to the present invention irradiates a charged particle beam to acquire data having a voltage difference. Analyze, It detects that the power is on.
  • Embodiment relating to inspection device (second embodiment)
  • the second embodiment of the present invention relates to an electron beam apparatus suitable for inspecting a defect or the like of a pattern formed on a surface of an inspection object using an electron beam, for example, a defect of a wafer in a semiconductor manufacturing process.
  • an electron beam is illuminated on the object to be inspected, a secondary electron beam that changes according to the surface properties of the object is captured, image data is formed, and the object is inspected based on the image data.
  • the present invention relates to an electron beam apparatus suitable for an inspection apparatus for inspecting a pattern or the like formed on a surface of the device at a high throughput, and a device manufacturing method for manufacturing a device with a high yield using such an electron beam apparatus.
  • a device using a scanning electron beam microscope already on the market is known.
  • This device scans a narrowly focused electron beam with a raster width that is extremely small, and detects a secondary electron beam emitted from the inspection object with the secondary electron beam detector during scanning, and an SEM image.
  • the SEM image is extracted from the same location on different dies and defects are extracted.
  • the beam size is small, the pixel size is naturally small, and the raster width is small, so that a lot of time is required for defect inspection.
  • the beam current was increased to increase the throughput, the wafer with an insulator on the surface was charged, and a good SEM image could not be obtained.
  • An electron optical device that irradiates an electron beam for inspection, and an inspection device that considers the relationship between the inspection target and the other subsystems that supply the inspection target to the irradiation position of the electron optical device in a clean state and perform alignment Little has been said about the overall structure until now.
  • the diameter of wafers to be inspected has been increasing, and there has been a demand for subsystems to be able to handle them.
  • the second embodiment of the present invention has been proposed in view of the above problems, and uses an electron optical system using an electron beam, and configures the electron optical system and the device.
  • An electron beam device that can solve the problem of electrification, which was a problem with SEM, and accurately inspect the inspection target.
  • the semiconductor inspection apparatus 1 defines a cassette holder 10 for holding a cassette containing a plurality of wafers, a mini-environment apparatus 20, and a working chamber.
  • 5 and 6 (a) of FIG. 5 and FIG. 6 are provided with an orifice 60 to be loaded on a stage device 50 disposed in the vacuum housing and an electro-optical device 70 attached to a vacuum housing. They are arranged in a positional relationship as shown.
  • the semiconductor inspection apparatus 1 further includes a precharge unit 81 disposed in a vacuum main housing 30, a potential applying mechanism 83 for applying a potential to the wafer (shown in FIG. 12), and an electronic device.
  • a line calibration mechanism 85 (shown in FIG. 13) is provided, and an optical microscope 871 constituting an alignment control device 87 for positioning the wafer on the stage device.
  • the cassette holder 10 is a cassette c (for example, SMIF, manufactured by Assist Inc.) in which a plurality of (for example, 25) wafers are stored in a state of being arranged in parallel in the vertical direction. A plurality of (two in this embodiment) closed cassettes such as FOUPs are held.
  • the cassette holder has a structure suitable for transporting the cassette by means of a lopot or the like and automatically loading the cassette into the cassette holder 10, and an appropriate cassette for manually loading the cassette holder.
  • the cassette holder 10 can be arbitrarily selected and installed. (In this embodiment, the cassette holder 10 has a format in which the cassette c is automatically loaded. And a lifting mechanism 12 that moves the lifting tail 11 up and down.
  • the cassette c can be automatically set on the lifting table in the state shown by the chain line in Fig. 6 (a). After setting, it is automatically rotated to the state shown by the solid line in (a) of Fig. 6 and is directed to the rotation axis of the first transport unit in the mini-environment device. 1 The cassette is lowered to the state shown by the dashed line in Fig. 5.
  • the cassette holder used for automatic loading or the cassette holder used for manual loading has a known structure as appropriate. A detailed description of its structure and function is omitted because it can be used.
  • FIG. 6 (b) shows a modification of the mechanism for automatically loading a cassette.
  • the wafer transfer box 28 is provided with a rectangular cylindrical box body 501 and an opening 29 on the side surface of the box body 501, and is connected to an automatic door opening / closing device and can be opened and closed by a machine.
  • C Holds the loading / unloading door (502), the lid (503) located on the opposite side of the opening (29) and covers the opening (29) for attaching and detaching filters and fan motors, It is composed of a groove-type pocket (not shown) for carrying out the operation, an 11 1-? Fill filter 505, a chemical fill filter 506, and a fan motor 507.
  • the wafer W is put in and taken out of the first transfer unit 612 of the mouth pot type of the loader 60.
  • the substrate or wafer contained in the cassette c is a wafer to be inspected. After or during the process. Specifically, a substrate that has been subjected to a film forming process, CMP, ion implantation, or the like, a wafer having a wiring pattern formed on its surface, or a wafer having no wiring pattern formed thereon is housed in a cassette. . Since a large number of wafers accommodated in the cassette c are arranged side by side in parallel at a distance in the vertical direction, the first transfer unit is used to hold the wafer at an arbitrary position in a first transfer unit described later. The arm of the unit can be moved up and down.
  • the mini-environment device 20 includes a housing 22 that defines a mini-environment space 21 to be controlled in atmosphere, and a mini-environment space 21.
  • Gas circulation device 23 for circulating gas such as clean air to control atmosphere, and discharge device 24 for collecting and discharging a part of the air supplied into the mini environment space 21
  • a briar liner 25 arranged in the mini-environment space 21 to roughly position a substrate, ie, a wafer, to be inspected.
  • the housing 22 has a top wall 22 1, a bottom wall 22 2, and a peripheral wall 22 3 surrounding four circumferences, and has a structure that blocks the mini-environment space 21 from the outside.
  • the gas circulation device 23 is mounted on the top wall 22 1 in the mini-environment space 21 as shown in FIG.
  • the air supply unit 231 which purifies the clean air through one or more gas outlets (not shown) and flows in a laminar flow directly downward through one or more gas outlets (not shown), and a mini environment space.
  • a collection duct 23, which is arranged on the bottom wall 222 and collects air flowing down toward the bottom, a collection duct 23, and a gas supply unit 231, And a conduit for returning the recovered air to the gas supply unit.
  • the gas supply unit 231 is designed to take in about 20% of the supplied air from outside the housing 22 and clean it.
  • the ratio can be arbitrarily selected.
  • Gas supply unit Knit 231 is equipped with a HEPA or ULPA filter of known construction to create clean air.
  • the laminar downward flow of the clean air, i.e., the downflow is mainly supplied so as to flow through a transfer surface provided by a first transfer unit, which will be described later, disposed in the mini-environment space 21. Dust that may be generated by the wafer is prevented from adhering to the wafer. Therefore, the downflow nozzle does not necessarily need to be located near the top wall as shown in the figure, but may be located above the transport surface of the transport unit. Also, there is no need to flow over the entire mini-environment space.
  • cleanliness can be ensured by using ionic wind as clean air.
  • a sensor for observing cleanliness can be provided in the mini-environment space, and the device can be shut down when cleanliness deteriorates.
  • a doorway 25 is formed in a portion of the peripheral wall 22 of the housing 22 adjacent to the cassette holder 10.
  • a shutter having a known structure may be provided near the entrance / exit 225 to close the entrance / exit 225 from the mini-environment device side.
  • the downflow of the laminar flow created near the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec.
  • the gas supply unit may be provided outside the mini-environment space instead of inside.
  • the discharge device 24 includes a suction duct 241, which is disposed below the transfer unit at a position below the wafer transfer surface of the transfer unit, and a blower 1, which is disposed outside the housing 22. And a conduit connecting the suction duct and the blower.
  • the exhaust device 24 sucks gas containing dust that may flow around the transport unit and may be generated by the transport unit by the suction duct 241, and the conduits 24, 24 Discharge to the outside of the housing 22 through the blower 4 and the blower 24. In this case, it may be discharged into an exhaust pipe (not shown) drawn near the housing 22.
  • the aligner 25 arranged in the mini-environment space 21 has an orientation flat formed on the wafer (a flat flat formed on the outer periphery of the circular wafer). Or an orifice), or one or more V-shaped notches or notches formed on the outer edge of the wafer by optical or mechanical detection.
  • the position in the rotation direction around the axis 0-0 of the wafer is preliminarily positioned with an accuracy of about 1 degree.
  • the pre-liner constitutes a part of a mechanism for determining the coordinates of the inspection object of the invention described in the claims, and is in charge of coarse positioning of the inspection object. Since the briar liner itself may have a known structure, a description of its structure and operation will be omitted.
  • a collection duct for a discharging device may be provided below the briar liner to discharge air containing dust discharged from the briar liner to the outside.
  • the main housing 30 that defines the working champer 31 includes a housing body 32, and the housing body 32 is disposed on the base frame 36. It is supported by a housing support device 33 mounted on a vibration isolator or vibration isolator 37.
  • the housing support device 3 3 includes a frame structure 3 3 1 that is formed in a rectangular shape.
  • the housing body 3 2 is disposed and fixed on the frame structure 3 3 1, and the bottom wall 3 2 1 placed on the frame structure, the top wall 3 2 2, the bottom wall 3 2 1 and the top wall
  • a peripheral wall 3 23 which is connected to 3 22 and surrounds four circumferences is provided to isolate the working chamber 31 from the outside.
  • the bottom wall 3 21 is made of a relatively thick steel plate so as not to generate a distortion due to a load imposed by a device such as a stage device placed thereon. It may be.
  • the housing body and the housing support device 33 are assembled in a rigid structure, and a vibration isolator for preventing vibration from the floor on which the base frame 36 is installed from being transmitted to the rigid structure. It stops at 3 7.
  • An entrance / exit 325 for taking in / out a wafer is formed on a peripheral wall of the peripheral wall 32 of the housing body 32 adjacent to a loader housing to be described later.
  • the vibration isolator may be an active type having an air spring, a magnetic bearing, or the like, It may be a passive type having: Since each of them may have a known structure, the description of the structure and function of itself is omitted.
  • the working chamber 31 is maintained in a vacuum atmosphere by a vacuum device (not shown) having a known structure.
  • a control device 2 for controlling the operation of the entire device is disposed below the base frame 36, and the control device 2 mainly includes a main controller, a control controller, and a stage controller.
  • the main controller is equipped with a man-machine interface through which the operator's operations are performed (various instructions / commands, input of recipes, etc., instructions to start inspection, switching between automatic and manual inspection modes) Input of all necessary commands in the manual inspection mode, etc.).
  • the main controller also communicates with the host computer at the factory, controls the evacuation system, transports samples such as wafers, controls positioning, transmits commands to other control controllers and stage controllers, and receives information.
  • stage vibration correction function to correct the image deterioration by feeding back the stage fluctuation signal to the electron optical system, Z direction of the sample observation position (axial direction of the secondary optical system) It has an automatic focus correction function that detects the displacement of the lens and feeds it back to the electron optical system to automatically correct the focus.
  • the transmission and reception of the feedback signal and the like to the electron optical system and the transmission and reception of the signal from the stage are performed via the control controller and the stage controller, respectively.
  • the controller is mainly responsible for the control of the electron optical system (such as the control of a high-precision power supply for the electron gun, lens, aligner, Wienfill, etc.). Specifically, a constant electron current is always applied to the irradiation area even when the magnification changes, and the voltage applied to each lens system aligner is automatically set for each magnification. Control (interlocking control) such as automatic voltage setting to each lens system liner corresponding to each operation mode.
  • the stage controller mainly controls the movement of the stage, and enables precise im-order movement in the X and Y directions (error of about ⁇ 0.5). Also, the stage controller controls the rotation direction of the stage. Control) within about 0.3 seconds.
  • the loader housing 40 includes a housing body 43 that defines a first opening chamber 41 and a second loading chamber 42. ing.
  • the housing body 4 3 partitions the bottom wall 4 3 1, the top wall 4 3 2, the surrounding wall 4 3 3 surrounding the four circumferences, the first loading chamber 4 1 and the second opening chamber 4 2. It has a partition wall 4 3 4 so that the one-sided one chamber can be isolated from the outside.
  • the partition wall 4 34 has an opening, ie, an entrance 4 35, through which wafers are exchanged between both sides of the chamber.
  • entrances 436 and 437 are formed in a portion adjacent to the mini-environment device and the main housing of the peripheral wall 4 33.
  • the housing body 43 of the loader housing 40 is a housing support device 3 3 is mounted on and supported by the frame structure 3 3 1. Therefore, floor vibration is not transmitted to the loader housing 40.
  • the entrance 4 3 6. of the loader housing 40 and the entrance 2 2 6 of the mini-environment device 2 2 are aligned, where the mini-environment space 21 and the first loading chamber 4 1 are located.
  • a shirt evening device 27 is provided to selectively block communication with the shirt.
  • the shirting device 27 cooperates with the sealing material 27 1 and the sealing material 27 1, which are tightly in contact with and fixed to the side walls 4 3 3 around the entrances 2 2 6 and 4 3 6. It has a door 272 that blocks the flow of air through the doorway, and a drive device 273 that moves the door.
  • the entrance 437 of the loader housing 40 and the entrance 3235 of the housing body 32 are aligned, and the communication between the second loading chamber 42 and the working chamber 31 is selectively provided there.
  • the shut-off device 45 includes a sealing material 451, which is in close contact with the side walls 433 and 323 around the entrances 437 and 325 and is fixed thereto, and a seal neo 45. It has a door 45, 2 that cooperates with 1 to block the flow of air through the doorway, and a driving device 453 that moves the door. Further, the opening formed in the partition wall 4 3 4 is provided with a shut-off device 46 which is closed by a door 4 61 to selectively prevent the communication between the first and second loading chambers from being sealed. Have been. These shirting devices 27, 45 and 46 are adapted to be able to hermetically seal each chamber when closed.
  • a wafer rack 47 for supporting a plurality of (two in this embodiment) wafers vertically in a horizontal state is disposed in the first loading champer 41.
  • the wafer rack 47 has columns 4 72 fixed upright at four corners of a rectangular substrate 4 71, and each column 4 72 is provided with a column.
  • Two-stage support portions 473 and 474 are formed, and the peripheral edge of the wafer W is placed and held on the support portions. Then, the tips of the arms of the first and second transport units, which will be described later, approach the wafer from between adjacent columns, and the arm grips the wafer.
  • Mouth one loading chamber 4 1 and 4 2 well-known structures evacuation system comprising a vacuum pump (not shown) high vacuum (not shown) (1 0 one 5 ⁇ 1 0- 6 P a as vacuum) Atmosphere can be controlled.
  • the first loading chamber 41 is kept in a low vacuum atmosphere as a low vacuum chamber
  • the second loading chamber 42 is kept in a high vacuum atmosphere as a high vacuum chamber, thereby effectively preventing wafer contamination.
  • the throughput of defect inspection can be improved together with the principle of a multi-beam electron beam apparatus described later, and the storage state can be maintained in a high vacuum state.
  • the degree of vacuum around the electron beam source required to be maintained can be as high as possible.
  • the evacuation system is composed of a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, and the like, and evacuates the electron optical system, the detector, the sample chamber, and the load lock chamber according to a predetermined sequence.
  • the vacuum valves are controlled to achieve the required degree of vacuum in each part. For this purpose, the degree of vacuum is monitored at all times, and in the event of an abnormality, the vacuum is secured by performing emergency control of the isolation valve and the like using the lock function.
  • a vacuum pump a turbo molecular pump is used for main exhaust, and a roots type dry pump is used for roughing.
  • Pressure inspection site is 1 0- 3 ⁇ 1 0- 5 P a , preferably 1 0 4 - Part 1 decade: a 1 0 6 P a practical.
  • the first and second loading chambers 41 and 42 are connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (for example, dry pure nitrogen).
  • an inert gas for example, dry pure nitrogen.
  • the atmospheric pressure state in each loading chamber is achieved by an inert gas vent (injecting an inert gas to prevent oxygen gas other than the inert gas from adhering to the surface). Since the apparatus itself for performing such inert gas venting may have a known structure, a detailed description thereof will be omitted.
  • L a B 6 lanthanum hexaboride
  • the stage device 50 is composed of a fixed table 51 arranged on the bottom wall 3 21 of the main housing 30 and a Y table which moves in the Y direction (perpendicular to the paper in FIG. 5) on the fixed table. 5 and 2, an X table 53 that moves in the X direction on the Y table (in the horizontal direction in Fig. 3), a rotating table 54 that can rotate on the X table, and a rotating table 54
  • the holder 55 is provided. Its holder 5 5 The wafer is releasably held on the wafer mounting surface 5 51 of the above.
  • the holder may have a known structure capable of releasably holding the wafer mechanically or by an electrostatic chuck method.
  • the stage device 50 operates a plurality of tables as described above using a servomotor, an encoder, and various sensors (not shown), and thereby the wafer held by the holder on the mounting surface 551 is moved.
  • Highly accurate positioning in the X, Y, and Z directions (vertical direction in Fig. 5) with respect to the electron beam emitted from the electron optical device, and in the direction around the vertical axis (0 direction) on the wafer support surface. You can do it.
  • the positioning in the Z direction may be made, for example, such that the position of the mounting surface on the holder can be finely adjusted in the Z direction.
  • the reference position of the mounting surface is detected by a position measuring device (laser interferometer using the principle of an interferometer) using a fine diameter laser, and the position is controlled by a feedback circuit (not shown).
  • a position measuring device laser interferometer using the principle of an interferometer
  • the position is controlled by a feedback circuit (not shown).
  • the position of the notch or orientation flat of the wafer is measured to detect the plane position and the rotation position of the wafer with respect to the electron beam, and the rotary table is rotated and controlled by a stepping motor capable of controlling a small angle.
  • the servo motors 521, 531 and the encoders 52, 52, 32 for the stage device are arranged outside the main housing 30.
  • the stage device 50 may have a known structure used in, for example, a stepper, and a detailed description of its structure and operation will be omitted. Also, since the laser interference distance measuring device may have a known structure, detailed description of its structure and operation will be omitted.
  • the wafer chuck mechanism provided in this holder is adapted to apply a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and to apply the voltage to three points on the outer periphery of the wafer (preferably in the circumferential direction). (Separated by a gap) to position.
  • the wafer chuck mechanism has two fixed positioning pins and one pressing crank pin.
  • the clamp pin is Dynamic release can be realized, and it constitutes a conduction part for voltage application.
  • the table that moves in the left and right direction is the X table and the table that moves in the vertical direction is the Y table in FIG. 6 (a).
  • the table to be moved may be the Y table, and the table moving up and down may be the X table.
  • the loader 60 is disposed in a first transport unit 61 of a lopot type disposed in a housing 22 of the mini-environment device 20 and in a second mouthing chamber 42. And a second transport unit 63 of a ropot type.
  • the first transport unit 61 has a multi-articulated arm 612 that is rotatable about an axis —0! With respect to the drive unit 611. Although any structure can be used as the multi-joint arm, this embodiment has three portions that are rotatably attached to each other.
  • One part of the arm 612 of the first transport unit 61, that is, the first part closest to the drive unit 611, is a drive mechanism having a known structure provided in the drive unit 611 (shown in FIG.
  • the arm 6 1 2 is rotatable around the axis — by the shaft 6 13, and is relatively expandable and contractible in the radial direction with respect to the axis — as a whole by relative rotation between the parts.
  • a gripping device 6 16 for gripping a wafer such as a mechanical chuck or an electrostatic chuck having a known structure.
  • the driving unit 611 is vertically movable by a lifting mechanism 615 having a known structure.
  • the arm extends in one direction M1 or M2 of one of the two cassettes c held by the cassette holder, and the arm 612 extends into the cassette c.
  • the accommodated wafer is placed on one arm or taken out by a chuck (not shown) attached to the tip of the arm.
  • the arm contracts (the state shown in FIG. 6A), and the arm rotates to a position where it can extend in the direction M3 of the briar liner 25 and stops at that position.
  • the arm extends again, and the wafer held by the arm is placed on the pre-liner 25.
  • Step M 4 After receiving the wafer from the lower liner in the opposite manner, the arm rotates further and stops at a position (direction M 4) where it can extend toward the second loading chamber 41, and the second loading champer 4 1 Deliver the wafer to the wafer receiver 47 inside.
  • the second transfer unit 63 has basically the same structure as the first transfer unit, and is different only in that the wafer is transferred between the wafer rack 47 and the mounting surface of the stage device. Detailed description is omitted.
  • the first and second transfer units 61 and 63 transfer the cassettes held in the cassette holder onto the stage device 50 disposed in the working chamber 31 and vice versa.
  • the transfer of the wafer is performed until the transfer of the wafer is almost horizontal, and the arm of the transfer unit moves up and down simply by taking the wafer out of the cassette, inserting it into the cassette, and placing the wafer on the wafer rack. It is only possible to take out the wafer therefrom, and place the wafer on the stage device and take it out therefrom. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm can be smoothly moved.
  • the cassette holder 10 should have a structure suitable for manually setting the cassette, and a structure suitable for automatically setting the cassette. Is done.
  • the elevating table 11 is lowered by the elevating mechanism 12 and the cassette c is moved to the entrance 2 25. Aligned.
  • the cover provided on the cassette (Not shown) is opened, and a cylindrical cover is arranged between the cassette c and the entrance and exit of the mini-environment 225 to block the inside of the cassette and the mini-environment space from the outside. Since these structures are publicly known, detailed description of the structure and operation is omitted. If a shut-off device for opening / closing the entrance / exit 22 5 is provided on the mini-environment device 20 side, the shutter device operates to open the entrance / exit 25.
  • the arm 612 of the first transport unit 61 stops in a state facing either the direction M1 or M2 (in this description, the direction of M1), and the entrance 225 opens.
  • the arm extends to receive one of the wafers housed in the cassette at the tip.
  • the vertical position adjustment between the arm and the wafer to be taken out of the cassette is performed by the vertical movement of the drive unit 611 of the first transfer unit 61 and the arm 6122.
  • the cassette holder may be moved up and down, or both.
  • the arm 612 When the arm 612 completes receiving the wafer, the arm shrinks, operates the shirting device and closes the doorway (if there is a shirting device), and then the arm 612 moves around the axis O i—O, To be able to extend in the direction M3. Then, the arm is extended and the wafer placed on the tip or gripped by the chuck is placed on the pre-aligner 25, and its briar liner is used to rotate the wafer in the direction of rotation (the direction around the central axis perpendicular to the wafer plane). ) Is positioned within the specified range.
  • the transfer unit 61 receives the wafer from the pre-aligner 25 at the tip of the arm, and then contracts the arm, so that the arm can be extended in the direction M4. Then, the door 27 of the shut-down device 27 moves to open the entrances 22 and 43, and the arm 61 extends so that the wafer can be moved to the upper stage of the wafer rack 47 in the first loading chamber 41. On the side or lower side. Before the shutter device 27 is opened and the wafer is transferred to the wafer rack 47 as described above, the opening 4 35 formed in the partition wall 4 3 4 is closed by the door 4 6 1 of the shutter device 4 6. It is closed in an airtight state.
  • the mini-environment port Clean air flows in a laminar flow from the gas supply unit 231, which is provided above the housing of the device (as a down flow), to prevent dust from adhering to the upper surface of the wafer during transfer.
  • Part of the air around the transfer unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24. And is discharged out of the housing. The remaining air is collected through a collection duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.
  • the shutter device 27 When a wafer is placed on the wafer rack 47 in the first loading chamber 41 of the loader housing 40 by the first transfer unit 61, the shutter device 27 is closed and the inside of the loading chamber 41 is closed. Seal. Then, after the first loading chamber 41 is filled with an inert gas and air is expelled, the inert gas is also discharged, and the inside of the loading chamber 41 is evacuated.
  • the vacuum atmosphere of the first loading champer may be a low vacuum.
  • the shut-off device 4 6 When a certain degree of vacuum in the opening champer 4 1 is obtained, the shut-off device 4 6 operates to open the entrance 4 3 4 sealed with the door 4 6 1, and the second transfer unit 6 3
  • the arm 6332 is extended to receive one wafer from the wafer receiver 47 by the gripping device at the tip (placed on the tip or gripped by the chuck attached to the tip). When the wafer has been received, the arm contracts and the shirting device 46 operates again to close the doorway 4 3 5 with the door 4 6 1.
  • the arm 632 Before the shirting device 46 is opened, the arm 632 is brought into a posture in which the arm 632 can be moved in the direction N1 of the wafer rack 47 in advance. Also, as described above, before the shirt evening device 46 opens, the doors 437, 325 are closed with the door 452 of the shutter device 45, and the inside of the second mouthing chamber 42 is closed. The communication with the working chamber 31 is blocked in an airtight state, and the inside of the second loading chamber 42 is evacuated.
  • the shutter device 46 closes the entrance 435, the inside of the second loading chamber is evacuated again, and the vacuum is evacuated to a higher degree of vacuum than in the first loading chamber.
  • the arm of the second transport unit 61 is It is rotated to a position where it can extend in the direction of the stage device 50 in the bus 31.
  • the Y table 52 corresponds to the center line X G — X of the X table 53. Is moved upward in FIG. 6 (a) until the position substantially coincides with the X-axis X, —X, passing through the rotation axis 0 2 — 0 2 of the second transport unit 63. 53 moves to a position approaching the leftmost position in FIG. 6 (a), and stands by in this state.
  • the door 45 of the shutter device 45 moved to open the entrances 437, 325, and the arm extended to hold the wafer.
  • the tip of the arm approaches the stage device in the working chamber 31.
  • the wafer is mounted on the mounting surface 55 1 of the stage device 50.
  • the arm contracts, and the shirting device 45 closes the entrances 437 and 3225.
  • the operation up to the transfer of the wafer in the cassette c to the stage device has been described.How to return the processed wafer placed on the stage device from the stage device to the cassette c as described above. Perform the reverse operation and return.
  • the cassette is set in the first transfer unit while the wafer is transferred between the wafer rack and the stage device in the second transfer unit. The wafer can be transferred between the rack and the wafer rack, and the inspection process can be performed efficiently.
  • the unprocessed wafers B are placed in the stage device 50. Move to start processing. During this process, the processed wafer A is moved from the stage device 50 to the wafer rack 47 by the arm, and the unprocessed wafer C is also extracted from the wafer rack 47 by the arm and positioned by the pre-aligner. The wafer is moved to the wafer rack 47 of the loading chamber 41. By doing so, in the wafer rack 47, the processed wafer A can be replaced with the unprocessed wafer C while the wafer B is being processed.
  • FIG. 10 shows the main housing support A variant of the method is indicated by.
  • the housing support device 33a is composed of a thick, rectangular steel plate 33a, and the housing body 32a is mounted on the steel plate. I have. Therefore, the bottom wall 32 21 a of the housing main body 32 a has a thinner structure than the bottom wall of the embodiment.
  • the housing body 32b and the loader housing 40b are suspended and supported by the frame structure 3336b of the housing support device 33b. It has become.
  • the lower ends of the plurality of vertical frames 3 3 7 b fixed to the frame structure 3 3 6 b are fixed to the four corners of the bottom wall 3 2 1 b of the housing body 3.2 b, and the peripheral wall and the top wall are fixed by the bottom wall. It has come to support.
  • the vibration isolator 37b is arranged between the frame structure 33b and the base frame 36b.
  • the loader housing 40 is also suspended by a suspension member 49b fixed to the frame structure 336.
  • the main body and the various devices provided therein can be lowered in the center of gravity because they are suspended and supported.
  • vibration from the floor is not transmitted to the main housing and the opening.
  • only the main housing outside of the main housing is supported from below by the housing support device, and the loader housing can be placed on the floor in the same way as the adjacent mini-environment device.
  • only the housing body of the main housing is supported by the frame structure in a suspended manner, and the loader housing can be arranged on the floor in the same manner as the adjacent mini-environment device.
  • the electron optical device 70 includes a lens barrel 71 fixed to a housing main body 32, in which a primary electron optical system (hereinafter simply referred to as a primary optical system) as schematically shown in FIG. System) 72 and an electron optical system including a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 74 and a detection system 76 are provided.
  • a primary electron optical system hereinafter simply referred to as a primary optical system
  • a secondary optical system an electron optical system including a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 74 and a detection system 76 are provided.
  • the primary optical system 72 is an optical system that irradiates the surface of the wafer W to be inspected with an electron beam, and includes an electron beam source 721, which emits an electron beam, and primary electrons emitted from the electron beam source 721, It has a lens system 722 consisting of an electrostatic lens that focuses lines, a Wien filter (ie EXB separator or EXB filter) 723, and an objective lens system 724, which are As shown in FIG. 1, the electron beam sources 7 21 are arranged in order with the electron beam sources 7 2 1 at the top.
  • the lens constituting the objective lens system 724 of this embodiment is a deceleration electric field type objective lens.
  • An electrode 725 is arranged between the objective lens system 724 and the wafer W to be inspected.
  • the electrode 725 has an axially symmetric shape with respect to the irradiation optical axis of the primary electron beam, and is controlled in voltage by a power supply 726.
  • the secondary optical system 74 includes a lens system 741, which is an electrostatic lens that passes the secondary electron beam separated from the primary optical system by the win filter 723.
  • This lens system 741 functions as a magnifying lens that magnifies the secondary electron beam image.
  • the detection system 76 includes a detector 761 and an image processing unit 763 arranged on the image plane of the lens system 41.
  • the primary electron beam emitted from the electron beam source 7221 is focused by the lens system 7222.
  • the converged primary electron beam enters the EXB-type deflector 723 and is deflected so that it irradiates the surface of the wafer W vertically, and is imaged on the surface of the wafer W by the objective lens system 724. Is done.
  • the secondary electron beam emitted from the wafer by the irradiation of the primary electron beam is accelerated by the objective lens system 724, is incident on the EXB deflector 723, and travels straight through the deflector to form the secondary optical system. Is guided to the detector 761 by the lens system 741. Then, the signal is detected by the detector 761, and the detection signal is sent to the image processing unit 763. It is.
  • the electric field on the electron beam irradiation surface of the wafer is 0 to 10 0.1 V Zmm ( This indicates that the wafer W side is at a high potential).
  • the defect inspection of the wafer W was performed without any discharge occurring between the objective lens system 724 and the wafer W, but the detection efficiency of the secondary electron beam was slightly reduced. Therefore, a series of operations of irradiating an electron beam and detecting a secondary electron beam are performed, for example, four times, and the obtained detection results for the four times are subjected to processing such as cumulative addition and averaging to achieve a predetermined detection sensitivity. Obtained.
  • the voltage applied to the electrode 7 25 is set to +350 V when there is no via b in the wafer, no discharge occurs between the objective lens system 72 4 and the wafer, and the defect of the wafer W does not occur.
  • the inspection was successful.
  • the secondary electron beam is focused by the voltage applied to the electrode 725 and further focused by the objective lens 724, the detection efficiency of the secondary electron beam in the detector 762 is improved. Therefore, the processing speed as a wafer defect device was also increased, and the inspection could be performed with high throughput.
  • the precharge unit 81 is disposed adjacent to the lens barrel 71 of the electron optical device 70 in the working chamber 31.
  • This inspection apparatus is an apparatus of a type in which a device pattern or the like formed on the wafer surface is inspected by scanning and irradiating an electron beam on a substrate to be inspected, that is, a wafer. Information on the next electron beam etc. is used as information on the wafer surface, but the wafer surface may be charged (charged up) depending on conditions such as the wafer material and the energy of the irradiated electron beam. In addition, there may be places on the wafer surface that are strongly charged or weakly charged. If the charge amount on the wafer surface is uneven, the secondary electron beam information will also be uneven, and accurate information cannot be obtained.
  • a precharge unit 81 having an electron beam irradiation unit 811 is provided. Before irradiating a predetermined part of the wafer to be inspected with the inspection electron beam, Irradiation from the electron beam irradiating unit 8 1 1 of the YAJUNIT eliminates uneven charging.
  • the charge-up on the wafer surface forms in advance an image of the wafer surface that is symmetrical to be detected, detects the image by evaluating the image, and operates the precharge unit 81 based on the detection.
  • the primary electron beam may be irradiated by blurring.
  • the potential applying mechanism 83 places the wafer based on the fact that the secondary electron beam information (secondary electron beam generation rate) emitted from the wafer depends on the potential of the wafer.
  • the generation of secondary electron beams is controlled by applying a potential of several volts to the stage base.
  • This potential application mechanism also serves the purpose of decelerating the energy originally possessed by the irradiated electron beam and providing the wafer with the irradiated electron beam energy of about 100 to 500 eV.
  • the potential application mechanism 83 includes a voltage application device 831, electrically connected to the mounting surface 541, of the stage device 50, and a charge-up investigation and voltage determination system (hereinafter referred to as Survey and decision system).
  • the investigation and decision system 832 consists of a monitor 833 electrically connected to the image forming section 763 of the detection system 76 of the electro-optical device 70, and an operator 833 connected to the monitor 833. 3 and a CPU 835 connected to an operator 834.
  • the CPU 835 supplies a signal to the voltage application device 831.
  • the potential applying mechanism is designed to search for a potential at which the wafer to be inspected is unlikely to be charged and apply the potential.
  • the electron beam calibration mechanism 85 is provided with a plurality of Faraday force sensors for beam current measurement, which are installed at a plurality of positions on the side of the wafer mounting surface 541 on the rotary table. 8 51 and 8 52 are provided.
  • One Faraday cup 851 is for a thin beam (about ⁇ 2 m) and one for a Faraday cup 852 thick beam (about ⁇ 30 ⁇ ).
  • the Faraday optics 8 5 1 for thin beams the beam profile was measured by stepping the rotary table.
  • the Faraday cup 852 for a thick beam measures the total beam current.
  • the Faraday lips 8 5 1 and 8 52 have a top surface placed on the mounting surface 5 4 1.
  • the alignment control device 87 is a device that positions the wafer W with respect to the electro-optical device 70 using the stage device 50, and roughly aligns the wafer by wide-field observation using the optical microscope 871 ( Measurement is performed at a lower magnification than with the electron optical system), and high-magnification adjustment, focus adjustment, inspection area setting, pattern alignment, and the like using the electron optical system of the electronic optical device 70 are performed. Inspection of a wafer at a low magnification using an optical system in this way is necessary in order to automatically inspect the pattern of a wafer by observing the pattern in a narrow field of view using an electron beam. This is because it is necessary to easily detect the alignment mark with an electron beam when performing the alignment.
  • the optical microscope 871 is provided in the housing 71 (or may be movably provided in the housing), and a light source (not shown) for operating the optical microscope is also provided in the housing.
  • the electron optical system for high-magnification observation shares the electron optical system (the primary optical system 72 and the secondary optical system 74) of the electron optical device 70.
  • a schematic diagram of the configuration is shown in FIG. In order to observe the observation point on the wafer at a low magnification, the observation point on the wafer is moved into the field of view of the optical microscope by moving the X stage 53 of the stage device 50 in the X direction.
  • the wafer is visually recognized in a wide field with the optical microscope 871, and the position to be observed on the wafer is displayed on the monitor 873 via the CCD872, and the observation position is roughly determined.
  • the magnification of the optical microscope may be changed from a low magnification to a high magnification.
  • the stage device 50 is moved by a distance corresponding to the distance ⁇ between the optical axis of the electron optical device 70 and the optical axis of the optical microscope 871, and the observation point on the wafer predetermined by the optical microscope is moved. Move to the viewing position of the electron optical device.
  • the distance between the axis 0 3 — 0 3 of the electro-optical device and the optical axis ⁇ 4 ⁇ 4 of the optical microscope 8 7 1 (this implementation In the embodiment, it is assumed that they are displaced only in the direction along the X-axis, but they may be displaced in the Y-axis direction and the Y-axis direction.) Since ⁇ X is known in advance, its value ⁇ X If it is moved only, the observed point can be moved to the visual recognition position. After the movement of the observation point to the viewing position of the electron optical device is completed, the observation point is imaged at a high magnification by the electron optical system and the image is stored, or the image is stored via the CCD 716. 6 Display on 5.
  • the position shift in the rotation direction of the wafer with respect to the rotation center of the rotary table 54 of the stage device 50 that is, Detects a shift ⁇ ⁇ in the rotation direction of the wafer with respect to the optical axis 0 3 - ⁇ 3 of the electron optical system, and detects a positional shift in the X-axis and ⁇ -axis directions of a predetermined pattern with respect to the electron optical device. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the inspection mark provided on the wafer separately or the data on the shape of the pattern of the wafer, and the wafer is aligned.
  • the inspection apparatus described with reference to FIGS. 5 to 14 may be used in the (G) wafer inspection process in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b). Even semiconductor devices with fine patterns can be inspected with good throughput, so 100% inspection is possible, improving product yield and preventing defective products from being shipped. Can be.
  • the description of (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
  • This electron beam apparatus is suitable for evaluating and inspecting samples such as wafers and masks having patterns with a minimum line width of 0.1 micron or less with high throughput and high reliability. Can be used.
  • Electron beam devices using multiple beams are already known. For example, one or more electron beams converged to a predetermined diameter are emitted from an electron beam source to form a beam on the surface of a sample to be inspected. The surface of the specimen is scanned with an electron beam by moving the specimen, and the secondary electron beam and reflected electron beam emitted from the specimen are detected by a plurality of detection elements.
  • An electron beam apparatus is known in which the outputs of the detection elements are processed simultaneously or in parallel to reduce the evaluation time of a fine pattern.
  • the sample to be inspected is irradiated with multiple primary electron beams.
  • a fine pattern evaluation device which detects the secondary electron beam or the reflected electron beam for each primary electron beam and adjusts the electrode voltage or the excitation current for each primary electron beam.
  • the required degree of vacuum differs between the electron beam source and the lens * deflection system.
  • the vicinity of the cathode of the electron beam source is
  • lens deflection system Even when using an electrostatic lens Ya electrostatic deflector, 1 0 one 6 It can operate satisfactorily if a vacuum degree of about torr is achieved. Therefore, there is a problem that a predetermined degree of vacuum must be maintained for each component of the electron beam apparatus.
  • the electron beam apparatus shown in Fig. 15 has been proposed to solve the above problem, and maintains a high degree of vacuum in the electron beam source even when the degree of vacuum in the lens / deflection system is low. However, it has the feature of preventing damage to the power source of the electron beam source and being resistant to vibration.
  • the electron beam device has a multi-beam multi-column structure, and the electron beam source section is formed by a thick partition plate S having both ends fixed to a lens barrel (not shown).
  • X and electron optics Y are separated.
  • the electron beam source section X includes a plurality of electron beam source chambers 901, each having a cylindrical shape and interconnected by a bellows 902.
  • a thermal field emission type electron beam source 905 having a TFE force sword 903 and a shot key shield 904 is provided.
  • Each electron source 905 is powered by a high voltage cable 906 and emits an electron beam from a TFE cathode 903. Note that TFE is an abbreviation for thermal field emission.
  • each electron beam source room 901 is fixed to the partition plate S by screws 907. For this reason, it is necessary that the partition S has a predetermined thickness so as to have sufficient rigidity. If the rigidity of the partition S is not sufficient, the partition S is reinforced between the adjacent electron beam source chambers 91. It is advisable to arrange ribs. In addition, each electron beam source room 901 is connected to an ion pump (not shown) for exhaust.
  • TFE of each electron source 905 TFE force sword 3 Tungsten ⁇ 301> or ⁇ 100> Partition so that the electron beam emitted from the plane with the azimuth can all pass through partition S.
  • a predetermined number for example, four holes 908 are formed on a circumference centered on the optical axis of the electron beam source 905 of each electron beam source chamber 901.
  • Each of these holes 908 has a large aspect ratio (ratio of the hole diameter to the hole length) so as not to deteriorate the degree of vacuum in the electron beam source chamber. The larger the diameter, the larger the diameter.
  • the holes 908 may be formed diagonally away from the optical axis as going down.
  • the aspect ratio is preferably 10 or more.
  • the electron optical system Y is used to shape each electron beam so as to irradiate a sample W such as a wafer with a plurality of electron beams emitted from a plurality of electron beam source chambers 91. It has a lens / deflection system 910 installed corresponding to the source room 9101. Each lens and deflection system 910 is screwed to the partition plate S so as to surround, for example, four holes 908 through which the electron beam from the corresponding electron beam source 905 passes. Equipped with a fixed elongated pipe 909, the required lens and deflector are arranged inside each pipe 909, and the electron beam passed through, for example, four holes 908 in the partition S And make it vertically incident on the sample w. Thus, a multi-column electron optical system Y is configured.
  • the individual lenses and the deflection system 910 are provided in a pipe 909 with a condenser-lens 912, a multi-aperture plate 913, a reduction lens 911, a deflector 915 and an objective.
  • the lenses 9 16 are provided in order.
  • the condenser lens 912 converges the electron beam passing through each of the holes 908 formed in the partition plate S.
  • the multi-aperture plate 913 has the same number of small holes as the holes 908 in the part of the partition plate S surrounded by the pipe 909 so as to pass the electron beam converged by the condenser lens 912. ing.
  • the reduction lens 914 reduces the beam size and interval of the electron beam that has passed through the multi-aperture plate 913 and passes the electron beam through the deflector 915.
  • the deflector 915 changes the traveling direction of the electron beam so that the electron beam reduced by the reduction lens 914 scans over the sample.
  • the objective lens 916 focuses the electron beam passing through the deflector 915 on the sample W.
  • Each pipe 909 is provided with an exhaust hole 917, which allows the inside of a lens barrel (not shown) to house the partition plate S, the electron beam source X, and the electron optical system Y.
  • the inside of each pipe 909 is also kept at a vacuum by a pump for keeping the vacuum.
  • the condenser lens 912, the multi-aperture plate 913, the reduction lens 914, the deflector 915, and the objective lens 916 are connected via lead wires exemplified by dotted lines in FIG. The required voltage is applied. If necessary, condenser lens 9 12, multi-aperture plate 9 13, reduction lens 9 14, deflector 9 15 and objective lens 9 16 are piped through insulation spacer 9 18 It is attached to the inner wall of 909.
  • the electron beam device shown in Fig. 15 is an evaluation device for defect inspection, line width measurement, alignment accuracy measurement, potential contrast measurement, defect review, or strobe SEM. It can be used in the inspection process (G) described with reference to (a) and (b) of FIG. 3 and FIG. In this regard, the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
  • Embodiment relating to electrode structure (fourth embodiment)
  • a fourth embodiment of the present invention relates to an electron beam apparatus having an electrode structure for preventing dielectric breakdown in an electron optical system using an electrostatic lens that irradiates a sample with an electron beam, and device manufacturing using the apparatus. About the method.
  • an electron beam is emitted by an electron beam source, and the emitted electron beam is accelerated or converged by an electrostatic optical system such as an electrostatic lens. It is incident on a certain sample.
  • an electrostatic optical system such as an electrostatic lens.
  • a signal corresponding to the detected secondary electron beam is generated by detecting a secondary electron beam emitted from the sample due to the incidence of the electron beam, and this signal forms, for example, sample data. I do. This data is used to inspect the surface condition of the sample.
  • Electron-optical systems using an electrostatic lens such as an electrostatic lens used in such an electron beam device include electrodes that generate an electric field for accelerating or converging the electron beam in multiple stages in the optical axis direction of the electron beam. It is arranged in. A predetermined voltage is applied to each of these electrodes, and an electric field generated by the potential difference between the electrodes accelerates the electron beam or converges the electron beam to a predetermined point on the optical axis.
  • a part of an electron beam emitted from an electron beam source may collide with an electrode irrespective of an electric field in an electron optical system using an electrostatic lens.
  • a secondary electron beam is emitted from the electrode itself.
  • the amount of secondary electron beam emitted from this electrode varies depending on the material of the electrode or the material coating the electrode.
  • the secondary electron beam is accelerated by the electric field of the electrode, ionizing the residual gas in the device, and the ions collide with the electrode.
  • a secondary electron beam is emitted from the electrode. Therefore, when a large amount of secondary electron beam is emitted, discharge is likely to occur between the electrodes, and the probability of causing dielectric breakdown between the electrodes increases.
  • the electrode is coated with aluminum
  • the probability of dielectric breakdown between the electrodes was slightly higher in the case of aluminum.
  • Aluminum has a work function of 4.2 [eV]
  • gold has a work function of 4.9 [eV].
  • the work function is the minimum energy required to extract one electron beam from a metal into a vacuum (unit: eV).
  • the electron beam apparatus is not suitable for inspection of a semiconductor wafer.
  • an electrostatic lens having a short focal length can be obtained by reducing the distance between the electrodes. If the focal length is short, the aberration coefficient of the electrostatic lens will be small and the aberration will be low, so the electrostatic lens will have high resolution, and the resolution of the evaluation device will improve.
  • the electrostatic lens by increasing the potential difference between the electrodes of the electrostatic lens, an electrostatic lens with a short focal length can be obtained. Therefore, as in the case where the distance between the electrodes is shortened, the electrostatic lens has a low aberration and a high resolution, and the resolution of the electron beam device is improved. Therefore, if the distance between the electrodes is reduced to increase the potential difference between the electrodes, the electrostatic lens can be synergistically provided with low aberration and high resolution.
  • the distance between the electrodes is reduced and the potential difference between the electrodes is increased, a discharge is likely to occur between the electrodes, and there is a problem that the probability of causing dielectric breakdown between the electrodes increases.
  • the insulation between the electrodes has been maintained by inserting an insulating material between the electrodes and supporting the electrodes with the insulating material.
  • the insulation performance on the surface of the insulating material was enhanced by increasing the minimum creepage distance (insulating surface length) of the insulating material between the electrodes.
  • the shortest creepage distance between the electrodes has been increased by forming the surface of the insulating material in the form of a fold in the direction between the electrodes.
  • the fourth embodiment of the present invention has been proposed to solve such a problem.
  • the configuration and operation of the projection type evaluation device, and a device manufacturing method using the device will be described.
  • the electron beam irradiating the sample has a predetermined radiating surface, and the secondary electron beam emitted from the sample by the irradiation of the electron beam also has a predetermined value.
  • the electron beam source 100 1 emits a two-dimensional area, for example, an electron beam having a rectangular radiation surface, and is deflected in a predetermined direction by an electrostatic lens system 100 2.
  • the deflected electron beam is incident on the EXB-type deflector 1003 from obliquely above, and the electric field and the magnetic field of the EXB-type deflector 1003 are orthogonal to each other. (Solid line in Figure 16).
  • the electron beam deflected by the EXB type deflector 1003 toward the semiconductor wafer 106 is decelerated by the electric field generated by the voltage applied to the electrodes in the electrostatic objective system 1005. Then, an image is formed on the semiconductor wafer 106 by the electrostatic objective lens system 1005.
  • the secondary electron beam generated by irradiating the semiconductor wafer 108 with the electron beam is accelerated in the direction of the detector 1008 by the electric field of the electrostatic objective lens system 1005. (The dotted line in FIG. 16) is incident on the EXB deflector 1003.
  • the EXB-type deflector 1003 directs the accelerated secondary electron beam in the direction of the electrostatic intermediate lens system 107, and then the secondary electron beam is generated by the electrostatic intermediate lens system 107.
  • the secondary electron beam is detected by making it incident on the detector 108.
  • the secondary electron beam detected by the detector 1008 is converted into data and transmitted to the display device 109, and the image of the secondary electron beam is displayed on the display device 109. Pattern of wafer 106 To inspect.
  • the electrostatic lens system 1002 the electrostatic object lens system 1005, the electrostatic intermediate lens system 1007, and the EXB type deflector 1000 in the projection type evaluation apparatus 100000.
  • the surface of the electrode 1004 is also coated with platinum.
  • the work function of each metal is 4.2 [eV] for aluminum, 49 [eV] for gold, and 5.3 [eV] for platinum.
  • the work function of a metal is the minimum energy required to extract one electron beam from a metal into a vacuum (unit: eV). In other words, the larger the work function value, the more difficult it is to extract an electron beam.
  • the metal with a large work function value (the metal with a large work function value) If the electrode (including the main alloy) is coated on the electrode, the number of secondary electron beams emitted from the electrode is reduced, and the probability of electrode breakdown occurring is also reduced. Therefore, if the metal has a large work function, a certain good No. Specifically, if the work function of the metal coated on the electrode is 5 eV, the probability of electrode breakdown occurring can be kept low.
  • the sample to be inspected is the semiconductor wafer 106 and the metal coated on the electrodes is gold
  • the electron beam collides with the gold.
  • gold sometimes adhered to the pattern of the semiconductor wafer 106. Therefore, in this embodiment, when the metal coated on the electrode is platinum, platinum does not adhere on the pattern of the semiconductor wafer 106, and even if platinum does adhere, It does not degrade device performance. Further, the probability of occurrence of dielectric breakdown of the electrode can be reduced, which is more preferable.
  • the electrode 100 is an electrode of the electrostatic lens included in the electrostatic lens system 1002, the electrostatic objective lens system 1005, and the electrostatic intermediate lens system 1007. is there.
  • the electrode 10010 has a disk-like shape having a through hole through which an electron beam or a secondary electron beam can pass at a substantially central portion.
  • a predetermined voltage is applied to the electrode 11010 by a power supply device (not shown).
  • FIG. 19 is a partial cross-sectional view of the surface of the electrode 110.
  • the surface of the electrode 104 of the EXB type deflector 1003 may have the same configuration as the surface of the electrode 110.
  • the material of the electrode 110 is composed of silicon copper (silicon bronze) 100a, and the titanium is formed on the required size and shape. Is coated to a thickness of 50 nm, and platinum is further coated on titanium 1010 b to a thickness of 200 nm. Is performed to form electrodes 110.
  • the electrodes 102 and 102 in FIG. 20 are, for example, the electrodes included in the electrostatic objective lens system 1005, and the electrodes are coated with platinum as described above. Have been. Further, a predetermined voltage is applied to the electrodes 102 and 102 by a power supply device (not shown). In this embodiment, a high voltage, for example, a voltage of 15 kV is applied to the electrode 102 on the semiconductor wafer 106 side, and a voltage of 5 kV is applied to the electrode 102. ing.
  • the passing hole for passing electron beam and secondary electron beam is located at the center of the electrodes.
  • the inside of the passing hole is the electrodes.
  • An electric field is formed by the 22 potential difference. Due to this electric field, the electron beam is decelerated and converged, and is irradiated on the semiconductor wafer 106.
  • the electrostatic objective lens system 1005 can be an electrostatic objective lens having a short focal length. Therefore, the electrostatic objective lens system 105 has low aberration and high resolution.
  • An insulating spacer 102 is inserted between the electrodes 102 and 102, and the insulating spacer 102 is connected to the electrodes 102 and 102. 2 is supported almost vertically.
  • the shortest creepage distance between the electrodes of the insulating spacer 106 is substantially the same as the distance between the electrodes in the supported electrode portion. In other words, the surface of the insulating spacer 102 between the electrodes is not folds or the like in the direction between the electrodes, but is substantially straight.
  • the electrode 102 has a first electrode surface 1022 that is the shortest distance between the electrodes, and a second electrode surface 1 that has a longer electrode distance than the first electrode surface 1022b. 0 2 2 c and a step 1 0 2 2 d (FIG. 21) in the direction between these two electrodes between the first electrode surface 1 0 2 2 b and the second electrode surface 1 0 2 2 c. Have.
  • the insulating spacer 102 supports the electrode 102 on the second electrode surface 102c.
  • the electrostatic objective lens system 105 can be used as an electrostatic objective lens having a short focal length, and can have a high resolution with low aberration. Since the insulation performance between the electrodes does not decrease, insulation breakdown between the electrodes can be prevented.
  • the processing cost is lower than the processing of the insulating spacer 102.
  • the surface of the insulating spacer 106 in the direction between the electrodes has almost no irregularities, and the amount of gas released from the insulating spacer 106 does not increase.
  • the electrode 102 is provided with a step 102 d, but the electrode 102 is also processed so that a step is provided in the electrode 102 direction. Alternatively, instead of the electrode 102, processing may be performed so that a step is provided in the electrode 102 only in the electrode 102. Also, in the electrostatic objective lens system 105, the electrode into which the insulating spacer 106 was inserted has been described. However, when there is an electrode having a large potential difference in another electrostatic lens system, By applying the present invention to an electrostatic lens system, insulation rupture between electrodes can be prevented.
  • the fourth embodiment described with reference to FIGS. 16 to 21 corresponds to the inspection step (G) in the device manufacturing method already described with reference to FIGS. 3 and 4 (a) and (b).
  • the description of (a) and (b) in FIGS. 3 and 4 will be referred to, and the description here will be omitted.
  • the defect inspection, the width measurement, the alignment accuracy measurement, the joint measurement, the high time resolution, the potential contrast measurement, and the like of the pattern having the minimum line width of 0.2 ⁇ m or less are performed.
  • the present invention relates to an electron beam apparatus that can be performed with high throughput and high reliability, and a device manufacturing method using the apparatus. 2. Description of the Related Art An electron beam apparatus is known which uses a Wien filter to separate a primary electron beam from a secondary electron beam emitted from a sample irradiated with the primary electron beam.
  • a primary electron beam is emitted from the electron beam source at a predetermined angle to the optical axis perpendicular to the sample, and the traveling direction of the primary electron beam is deflected by the Wien filter along the optical axis.
  • a primary electron beam is vertically incident on the sample, and the secondary electron beam emitted from the sample is separated from the primary electron beam by the Wienfill, travels along the optical axis, and is incident on the detector.
  • an electron beam device is known in which a primary electron beam is perpendicularly incident on a sample, and the secondary electron beam emitted from the sample is separated from the primary electron beam by an EXB separator and input to a detector. Have been.
  • the fifth embodiment of the present invention has been proposed to solve such a problem of the conventional electron beam apparatus.
  • a fifth embodiment of the present invention has been described.
  • an electron beam device that greatly reduces the effect of chromatic aberration of the secondary electron beam or that projects and projects the image of the secondary electron beam, a means for significantly reducing the chromatic aberration due to the energy width of the secondary electron beam is provided. provide.
  • the fifth embodiment of the present invention is suitable for a defect inspection device or the like using an electron beam device with reduced chromatic aberration. Furthermore, such a defect inspection apparatus or the like can be used in a device manufacturing method to perform inspection of the process during the process.
  • the primary system including the electron beam source is detected with respect to the optical axis X perpendicular to the sample.
  • a secondary system including a dispenser is arranged at a predetermined angle on the side opposite to each other.
  • the primary electron beam 1102 emitted from the electron beam source 1101 is shaped into a rectangle by an aperture (not shown), and reduced by the lenses 1103 and 1104. The light enters the Wien filter 110 5.
  • the Wien filter 1105 includes an electrode 1106 that creates an electric field for electrostatic deflection and a magnet 1107 that creates a magnetic field for electromagnetic deflection. Deflects the primary electron beam 1102 incident on it to the left by the electrostatic deflecting effect of the electrode 1106, that is, by an angle ⁇ so as to approach the optical axis X.
  • the sample is deflected to the left by two angles by the electromagnetic deflection effect of the sample, and is deflected to the left by an angle of 3 in total, and travels along the optical axis X perpendicular to the sample 110.
  • the primary electron beam 1102 enters the sample 1108 through the lens systems 1109 and 1110, and irradiates the sample 1108.
  • the angle ⁇ is, for example, 10 degrees.
  • the secondary electron beam 1 1 1 1 1 1 emitted from the sample 1 1 108 by irradiation with the primary electron beam 1 1 1 2 is enlarged by the lens system 1 1 1 0 9 and 1 1 1 0, and then the Wien filter 1 1 0 5 and is deflected to the right from the optical axis X by ⁇ degrees, that is, away from the optical axis X by the action of the electrode 1106 and the magnet 1107.
  • the secondary electron beam 1 1 1 1 1 separated from the primary electron beam by the Wien filter 1 1 0 5 is expanded by the secondary optical system including the lens system 1 1 1 2 and 1 1 1 3 and the detector 1 1 1 Image on 4.
  • the output of the detector 111 is appropriately processed by the image processing device 111 and stored in the image memory 111.
  • the chromatic aberration caused by the Wien filter 111 becomes more problematic in the secondary optical system that processes the secondary electron beam 111. Therefore, in order to eliminate the influence of the chromatic aberration caused by the Wien filter 1105 on the secondary electron beam 111, the electrostatic deflection effect and the electromagnetic deflection effect of the Wien filter 110105 reduce the secondary electron beam. To deflect in the opposite directions, and to have a predetermined relationship between the angle at which the electrostatic deflecting action deflects the secondary electron beam and the angle at which the electromagnetic deflecting action deflects the secondary electron beam. .
  • the sample The emitted secondary electron beam 1 1 1 1 traveling along the optical axis X is deflected by a predetermined angle with respect to the optical axis X in a direction opposite to the primary electron beam 1 1 102, and at that time Chromatic aberration due to the energy width of the secondary electron beam 111 can be made negligible.
  • the electrode 1 1 0 6 of the Wien filter 1 1 0 5 causes the secondary electron beam 1 1 1 1 1 1 1 1 1
  • the magnet 1107 deflects to the right, ie, away from the optical axis X, by an angle 2 ⁇ .
  • the beam energy of the secondary electron beam incident on the Wien filter 1 105 is V o
  • the secondary electron beam having energy only smaller than V 0 has an angle of ⁇ ,.
  • the term relating to the energy width of the secondary electron beam is eliminated by the cancellation of the electrostatic deflection action and the electromagnetic deflection action of the Wien filter 1 1 05, and the secondary electron beam 1 1 1 1 Since it is only deflected to the right with respect to the optical axis X by 1 0 5, that is, away from the optical axis X by the angle ⁇ , The chromatic aberration caused by the filter 1105 can be neglected.
  • FIG. 23 is a diagram showing the configuration of the fifth exemplary embodiment of the present invention in detail.
  • c primary electron beam source 1 1 0 1 the primary electron beam electron beam 1 1 0 2 released from being converged to a blanking aperture plate 1 1 2 1 opening by Conde Nsarenzu 1 1 2 0
  • the electron beam 1102 passes through the aperture plate 1 122 having a large number of apertures before proceeding to the aperture plate 111, thereby forming a multi-beam having a desired number of narrow beams.
  • the multi-beam primary electron beam 1 1 0 2 is reduced to a beam of a predetermined size by the reduction lenses 1 1 2 3 and 1 1 24 and a reduced image 1 1 2 2
  • the Wien filter 1 1 0 5 is entered.
  • the angle between the primary electron beam 1102 and the optical axis X is a.
  • the primary electron beam 1 102 is bent by the angle ⁇ by the Wien filter 1 105 and travels along the optical axis X perpendicular to the sample 110, and furthermore, the objective lens 112 and the symmetric electrode 1 126 Irradiate the sample 110 after being reduced in size.
  • the sample 1108 was sampled by the primary electron beam 1102 emitted from the Vienna concertc Orchestra 1101 in a direction perpendicular to the direction of the arrangement of the apertures in the aperture plate 112 (Fig. Scan electrodes, scanning electrodes 1 1 2 7 and 1 1 2 8 are arranged along the optical path of the primary electron beam 1 1 2 To deviate the traveling direction from the normal traveling direction and travel along the optical path 1129, blanking deflectors 1130 and 1131 are provided.
  • the sample 111 emits a secondary electron beam 111 from each location irradiated by each of a plurality of narrow beams constituting the primary electron beam 111.
  • the multi-beam secondary electron beam 111 thus emitted is separated from the primary electron beam by the Wien filter 111 and expanded by the imaging electron optics 113, 132 and 113.
  • the light passes through an opening plate 113 having an opening corresponding to the opening of the opening plate 112 and enters the multi-detector 113.
  • a diagram in which the aperture plates 1 1 2 2 and 1 1 34 are rotated 90 ° around the optical axis is displayed.
  • the chromatic aberration caused by the Wien filter 1105 becomes a problem for the primary electron beam and the secondary electron beam.
  • the effect can be reduced by increasing the interval between the beams constituting the beam.
  • the electrostatic and electromagnetic deflection effects of the Wien filter 1105 are shown in Fig. 23.
  • the primary electron beam is deflected in directions opposite to each other, and a predetermined relationship is established between the angle at which the electrostatic deflection action deflects the primary electron beam and the angle at which the electromagnetic deflection action deflects the primary electron beam.
  • the primary electron beam 1102 emitted from the electron beam source 1101 and traveling obliquely to the optical axis X moves to the left with respect to the optical axis X, that is, approaches the optical axis X.
  • the influence of the energy width of the primary electron beam 1102 can be neglected.
  • the Vienna Filler 1105 moves the primary electron beam 1102 rightward by an angle due to the electrostatic deflection of the electrode 1106, that is, moves away from the optical axis. And is deflected to the left by an angle 2 ⁇ , that is, closer to the optical axis X by the electromagnetic deflecting action of the magnet 1107.
  • the primary electron beam 1102 incident on the Wien filter 1105 is deflected as a whole by an angle ⁇ to the left.
  • the influence of the energy width of the primary electron beam 111 can be neglected. Specifically, chromatic aberration caused by the spread of the energy width of the primary electron beam 1102 is eliminated.
  • the chromatic aberration generated in the secondary optical system is obtained by arranging a plurality of beams constituting the primary electron beam 1102 on one row, and performing a linear filter in a direction perpendicular to the arrangement direction of these beams.
  • the beam is deflected at 1105, the beam is generated in a direction perpendicular to the direction in which the beams are arranged. Therefore, chromatic aberration does not increase crosstalk between the beams.
  • the electron beam devices described with reference to FIGS. 22 and 23 include defect inspection devices, alignment accuracy measurement devices, line width measurement devices, high time resolution potential contrast measurement devices, defect review devices, and strobe SEM devices. It can be applied to various devices.
  • the electron beam apparatus according to the fifth embodiment has an inspection step (G) in the device manufacturing method described in (a) and (b) of FIGS. 3 and 4 in order to evaluate a wafer in the process. Can be used. In this regard, the description of (a) and (b) in FIGS. 3 and 4 will be referred to, and the description will be omitted here.
  • the present invention is not limited to such an embodiment.
  • a plurality of electron beam irradiation / detection systems consisting of an electron beam source, a primary optical system, a secondary optical system, and a detector are provided so that different positions of the sample 111 can be irradiated simultaneously.
  • the sample may be irradiated, and a plurality of secondary electron beams emitted from the sample may be received by a plurality of detectors.
  • the time required for inspection and measurement can be significantly reduced.
  • Embodiment relating to driving of objective lens (sixth embodiment)
  • various evaluations such as a defect inspection of a pattern having a line width of 0.1 micron or less, a CD measurement, an alignment accuracy measurement, and a potential measurement with a high time resolution are performed at a high throughput and a high throughput.
  • the present invention relates to an electron beam device that can be performed with high accuracy and high reliability, and a device manufacturing method using the device.
  • Electron beam apparatuses for focusing an electron optical system by controlling a current and a voltage supplied to components of the electron optical system by feeding back to the system are known.
  • an optical component mainly composed of an insulator for reflecting the incident light is arranged in the space between the sample surface and the lower surface of the electron optical system.
  • an optical component mainly composed of an insulator for reflecting the incident light is arranged in the space between the sample surface and the lower surface of the electron optical system.
  • problems such as aberrations of the electron optical system cannot be ignored.
  • focusing of the electron optical system must be performed not only by considering the distance between the sample surface and the lower surface of the electron optical system, but also by taking into account the charged state on the sample surface and the space charge effect of the electron beam. Therefore, if the parameters related to the focusing of the electron optical system are not measured electronically, errors may occur. Further, when focusing is performed by adjusting the excitation current of the magnetic lens included in the electron optical system, the time from setting this excitation current to a predetermined value until the focal length of the electron optical system is stably determined, that is, There was also a problem that it was difficult to perform focusing at high speed because a long settling time was required. Also, when focusing the electron optical system by changing the excitation voltage of the electrostatic lens, it is necessary to change the high voltage applied to the electrostatic lens. there were.
  • a sixth embodiment of the present invention is directed to an electron beam apparatus capable of performing electro-optical focusing in a short time and a device using the apparatus, in order to solve the above problems.
  • a manufacturing method is provided.
  • FIG. 24 schematically shows the configuration of the sixth embodiment of the present invention.
  • the electron beam source 1201 is provided with an anode 122, and the emitted primary electron beam is accelerated by the anode 122 and the deflectors for axis alignment 1203, 12 Then, the light passes through a small hole 1207 of the aperture plate 1206 through the astigmatism correction lens 1205 and the astigmatism correcting lens 1205.
  • the primary electron beam passing through the aperture plate 1 206 is focused by the condenser lens 1 208, passes through the deflectors 1 209 and 1 210, and passes through the Vienna filter 1 2 1 1
  • the axially symmetric electrodes 1 2 13 are arranged so that there is almost no space between them and the sample 124.
  • the stage S is movable in a second direction perpendicular to the first direction in which the primary electron beam is deflected. Therefore, scanning of the circuit pattern is performed by the deflection of the primary electron beam and the movement of the stage S. Done.
  • the secondary electron beam emitted from one circuit pattern on the sample 1 2 1 4 is drawn by the electric field of the objective lens 1 2 1 2 and accelerated, and the Vienna 'filter 1
  • the light is deflected by 2 1 1 so as to deviate from the optical axis L and is separated from the primary electron beam.
  • the secondary electron beam is detected by the secondary electron beam detection unit 108.
  • the secondary electron beam detector 1 2 15 outputs an electric signal indicating the intensity of the incident secondary electron beam. This electrical signal is sent to its corresponding amplifier (not shown). Therefore, after being amplified, image processing is performed.
  • a required voltage is applied from the first power supply 122 to each of the electrodes that make up the condenser-lens 128 to reduce the primary electron beam by the condenser
  • the objective lens 1 2 1 2 is a unipotential-lens
  • a second power supply 1 2 is connected to the center electrode of the objective lens 1 2 1 2 to focus the primary electron beam on the surface of the sample 1 2 1 4.
  • a positive high voltage V o voltage is applied from 18 and a small voltage earth AV o voltage is applied to the upper electrode and lower electrode of the objective lens 1 2 1 2 from the third power supply 1 2 1 9. .
  • One electron beam scanning / detection system 122 is housed in a lens barrel 122 of an appropriate size. Note that the initial focusing of the electron beam scanning / detection system 122 can be performed by fixing the voltmeter AVo to, for example, -10 port and changing the positive voltage Vo.
  • the electron beam scanning and detection system 122 scans one of the chip patterns on the sample 124, and the secondary beam emitted from the sample 124 as a result of the scanning. Detects the electron beam and outputs an electric signal indicating its intensity.
  • an electron beam scanning / detection system (not shown) having the same configuration as the electron beam scanning / detection system 122 Electron beam scanning ⁇ In parallel with the detection system 122, they are arranged so that their distance is an integral multiple of the chip size on the sample 124.
  • the electron beam scanning / detection system 122 will be further described.
  • the electric signal output from the secondary electron beam detector 122 is converted into binary information, and the binary information is converted into image data. Convert.
  • image data of a circuit pattern formed on the surface of the sample 122 is obtained, and the obtained image data is stored in an appropriate storage means and compared with a reference circuit pattern. This makes it possible to detect a defect in the circuit pattern formed on the sample 122.
  • various types of reference circuit patterns for comparison with image data representing a certain circuit pattern on the sample 1214 can be used.For example, a scan that generates the image data was performed. Image data obtained from CAD data used to create circuit components can be used.
  • the value of the voltage o to be applied to the upper electrode and the lower electrode of the objective lens 1212 is determined under the control of a control device (not shown) such as a CPU. Is determined as follows. First, the surface of the sample 1 2 1 4 ⁇ On any one of the formed circuit patterns, a pattern edge parallel to the first direction in which the primary electron beam is deflected, and a pattern edge perpendicular to this first direction The location where the pattern / edge parallel to the second direction exists is read out, for example, from the pattern / data.
  • the pattern edge parallel to the first direction is scanned by the primary electron beam in the second direction. Then, an electric signal representing the intensity of the secondary electron beam emitted as a result is taken out from the secondary electron beam detector 12 15 and the rising width (unit: micron) of the electric signal is measured.
  • the first electron beam is also applied by the primary electron beam using the deflectors 1209 and 1210 and the winn filter 1 211. Then, an electric signal indicating the intensity of the secondary electron beam emitted as a result is taken out from the secondary electron beam detecting section 12 15 to measure the rising width of the electric signal. This operation is performed every time the value of the voltage ⁇ is changed.
  • the graphs A and B shown in (a) of FIG. 25 are obtained.
  • the “rise width of the electric signal” is a pattern edge parallel to the first direction with the voltage ⁇ Vo ′ fixed at a certain value.
  • graph A shows that the voltage ⁇ V o is the smallest at- ⁇ V o (x), that is, the sharpest rise
  • graph B shows the voltage AV o is the minimum at + AV o (y), indicating that the rise is the sharpest. Therefore, the focus condition of the objective lens 1 2 1 2, that is, the upper electrode and the lower electrode
  • the value of the voltage o applied to the side electrode is preferably set to ⁇ —AVo (X) + AVo (y) ⁇ / 2.
  • the objective lens 1 12 can be settled at a high speed of 10 microseconds, as shown in (a) of Fig. 25. It took only 150 microseconds to obtain graphs A and B, and so many measurements were not required to measure curves A and B.
  • Figure 25 (a ) The rise width is measured by setting the values of soil AVo to 1 AV (1), + ⁇ V (2), and + AV (3), and the graph ⁇ ⁇ , ⁇ , And the minimum value of the rise + AVo (y) and - ⁇ Vo (x) may be calculated. In that case, the measurement can be performed in about 45 microseconds.
  • a and B in (a) of Fig. 25 are hyperbolic. Rise width! ) (Mm), if the objective lens voltage ⁇ V o is Q (Porto), curve A, B is a, b and c are constants,
  • the rising width differs between when the pattern 'edge is scanned in the first direction and when it is scanned in the second direction.
  • the voltage applied to the 8-pole astigmatism correction lens 125 is adjusted to scan the pattern edge in the first direction and the second direction perpendicular thereto.
  • the rising of the electric signal from the secondary electron beam detector 1 2 1 5 is updated. It is necessary to perform the astigmatism correction so as to reduce the astigmatism.
  • the electron beam scanning / detection system (not shown) having the same configuration as the electron beam scanning / detection system 122 is arranged in parallel with the electron beam scanning / detection system 122. Are arranged so that the distance between them is an integral multiple of the chip size on the sample 124, and the primary electron beam is focused on the sample 124 in each electron beam scanning and detection system. Focusing needs to be performed, but since such focusing can be performed almost simultaneously, the throughput budget is only a small value.
  • This method has the advantage that the correct focusing condition is obtained even when the sample is charged, because the focusing condition is obtained not by an optical Z sensor but by electro-optical means.
  • the process proceeds to the process of evaluating the sample 122.
  • the defect inspection apparatus using the sixth embodiment of the present invention for the inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b)
  • the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and the description thereof will be omitted.
  • the seventh embodiment of the present invention is directed to an electron beam apparatus that performs at least one of processing, manufacturing, observation, and inspection of a substance by irradiating the target position of the substance with an electron beam. More specifically, more specifically, an electron beam apparatus in which unnecessary mechanical vibration generated in a mechanical structure for positioning an electron beam is reduced, a vibration damping method thereof, and processing, manufacturing, observation and inspection of a semiconductor device using the apparatus.
  • a semiconductor manufacturing process including a step of performing at least one of the following.
  • methods for observing the fine structure of a substance using an electron beam include an inspection device for inspecting defects of patterns formed on a wafer or the like and a scanning electron microscope (SEM). m to several tens of nm, sufficient vibration from outside It is necessary to carry out observation with vibration isolation.
  • SEM scanning electron microscope
  • an anti-vibration device for sufficiently removing external vibrations is used. It is necessary to increase the rigidity in order to use and to reduce the wobble due to mechanical resonance caused by the structure of the lens barrel as much as possible.
  • the rigidity In order to increase the rigidity of the structure, it is difficult to improve the rigidity by miniaturization because of the physical dimensional restrictions of the electron optical system.Thus, the rigidity can be improved by increasing the thickness of the lens barrel and increasing its size Often done. However, the improvement of rigidity by this method has many disadvantages including restrictions on design freedom including weight increase of equipment, shape limitation, enlargement of vibration isolation table, and economical aspect. Was.
  • the seventh embodiment of the present invention is capable of preventing unnecessary vibration due to resonance of the mechanical structure for positioning the beam with high accuracy even if the rigidity of the mechanical structure is not necessarily improved.
  • An electron beam device that achieves a reduction in design constraints, a reduction in the size and weight of the device, and an improvement in economic efficiency by enabling appropriate attenuation so that the device can be maintained at a low temperature.
  • Provide semiconductor manufacturing processes that enable efficient manufacturing, inspection, processing, and observation.
  • FIG. 26 shows a configuration in the case where the seventh embodiment of the present invention is applied to an electron beam inspection apparatus that inspects a semiconductor wafer for defects using an electron beam.
  • the electron beam inspection apparatus 1301 shown in the figure is a so-called mapping projection type, and has an A block and a B block mechanical structure projecting obliquely upward from the A block.
  • a primary electron beam irradiation means for irradiating the primary electron beam is placed in the B block, and a mapping projection optical system for projecting the secondary electron beam and detecting the intensity of the secondary electron beam in the A block.
  • Imaging means The A block is connected to the lowermost fixed base 1330.
  • the primary electron beam irradiating means arranged in the B block is an electron beam source composed of a force source and an anode for emitting and accelerating the primary electron beam 1301a, a rectangular opening for shaping the primary electron beam into a rectangle 13 0 2 a, and a quadrupole lens 13 0 2 b for reducing and imaging the primary electron beam.
  • NA aperture aperture
  • an objective lens 1308 for imaging the primary electron beam passing through the aperture aperture on the wafer 135 is arranged.
  • the primary electron beam reduced by the quadrupole lens 13 02 b forms an image of, for example, 500 ⁇ m x 250 m on the main deflection surface of the EXB deflector 130 6 simultaneously with the aperture.
  • a crossover image of the electron beam source 1301a is formed in the aperture 1307 so that the Koehler proof condition is satisfied.
  • An image of, for example, 100 mX 50 / m is formed on the wafer 13 05 by the objective lens 13 08, and the region is illuminated.
  • the wafer 135 is placed in a sample chamber (not shown) that can be evacuated to a vacuum, and is placed on a stage 1304 that can move in an XY horizontal plane.
  • a sample chamber not shown
  • the wafer surface is on the XY horizontal plane, and the Z axis is substantially parallel to the optical axis of the projection optical system.
  • the inspection surface of the wafer 135 is sequentially scanned by the primary electron beam by moving the stage 1304 in the XY horizontal plane with the wafer 135 mounted thereon.
  • the stage 134 is mounted on the fixed base 133.
  • the projection optical system disposed above the A block includes an intermediate electrostatic lens 1309 and a projection electrostatic lens 1311, and an aperture 1310 disposed between these lenses. .
  • the secondary electron beam, reflected electron beam, and scattered electron beam emitted from the wafer 135 by irradiation of the primary electron beam are given a predetermined magnification (for example, 200 to 300 times) by the projection optical system. And projected on the lower surface of a multi-channel plate 13 21 described later.
  • the imaging means arranged at the top of the A block includes a multi-channel plate 1321, a fluorescent screen 1322, a relay lens 1323, and an imaging unit 1324.
  • the multi-channel plate 1321 has a number of channels in the plate, during which the secondary electron beams imaged by the electrostatic lenses 1309 and 1311 pass through the channels. To generate more electron beams You. That is, the secondary electron beam is amplified. When the amplified secondary electron beam is irradiated, the fluorescent screens 1322 emit fluorescence having an intensity corresponding to the intensity of the secondary electron beam. That is, the intensity of the secondary electron beam is converted to the intensity of light.
  • the imaging section 1324 includes a number of CCD imaging elements for converting light guided by the relay lens 1323 into an electric signal.
  • TDI Time Delay Integral
  • the irradiation of the primary electron beam generates not only a secondary electron beam but also a scattered electron beam and a reflected electron beam, but is collectively referred to herein as a secondary electron beam.
  • the lens barrel 1346 composed of the mechanical structure of the A block and the B block connected to the A block usually has one or more natural vibration modes.
  • the resonance frequency and resonance direction of each natural vibration mode are determined by the shape, mass distribution, size, arrangement of internal machines, and so on.
  • the lens barrel 1346 has at least mode 1 of natural vibration 13388.
  • the lens barrel 1346 swings at a frequency of 150 Hz, for example, substantially along the Y direction.
  • This transfer function has a gain of a resonance magnification of 30 dB (about 30 times) at a resonance frequency of 150 Hz. Therefore, even if a minute vibration is applied from the outside, if the vibration includes a frequency component near 150 Hz, the frequency component is amplified by about 30 times in this example and the lens barrel is amplified. Vibrate. As a result, harmful events such as blurring of the map occur.
  • the entire lens barrel is placed on an anti-vibration table to eliminate external vibrations, and / or the thickness and structure of the lens barrel are reviewed to increase the resonance magnification.
  • Major measures such as lowering were taken.
  • an actuator that applies a pressure vibration 1390 to the lens barrel to cancel the vibration 1388 is used.
  • One night 1 3 2 5 is installed at the base of A block. This faction night 1
  • the actuating device 1352 is composed of a piezoelectric element 1350 having a dielectric material 1351 having a piezoelectric effect sandwiched between electrodes 1352a and 1352b. And a supporting base 1354 fixed to the fixing base 133 to support the piezoelectric element 135 from the side of the electrode 13552b.
  • the piezoelectric element 1350 is sandwiched between the A block of the lens barrel 1346 and the support base 1354, and the electrode 1352a is located on the outer wall of the A block.
  • the piezoelectric element 1350 receives a positive pressure when the lens barrel 1346 comes toward it and a negative pressure when the lens barrel 1346 moves away due to the reciprocating vibration 1388.
  • the piezoelectric element 1350 is placed at an effective position to suppress the vibration 13388 of the lens barrel 1346.
  • the directions of the vibrations 13888 are arranged so as to be orthogonal to the electrodes 1352a and 1352b.
  • the vibration damping circuit 1 3 2 7 is composed of a variable inductance 1 3 5 8 and a resistor 1 3 5 connected in series between both electrodes 1 3 5 2 a and 1 3 5 2 b of the piezoelectric element 13 5 0. It consists of six parts.
  • Variable inductance 1 3 5 8 is inductance 1_ resistance 1 3 5 6 is resistance value
  • Piezoelectric element 1 350 has electric capacitance C, so series connected piezoelectric element 1 350 and vibration damping circuit 13 27 is equivalent to the series resonant circuit indicated by reference numeral 1360.
  • 'Is fo' 1 / ⁇ 2 ⁇ (LC) 1/2 ⁇
  • the resonance frequency f of the series resonance circuit is represented by In the present invention, the resonance frequency f of the series resonance circuit.
  • Each parameter is set so that 'approximately matches the resonance frequency f fl of the lens barrel 1 3 4 6. That is, for a given capacitance C of the diode 135,
  • the inductance L of the variable inductance 1 358 is adjusted so that the following holds.
  • the capacitance C of the piezoelectric element 1350 is small in forming a resonance circuit in accordance with the mechanical resonance frequency, and therefore, in many cases, a very large inductance L is required. Is equivalently large using an operational amplifier, etc. By forming an inductance, a resonance circuit can be realized.
  • the value R D of the resistor 1356 is selected so that the Q value of the resonance frequency component of the series resonance circuit approximately matches the Q value of the resonance component having a peak in the transfer function shown in FIG. 29. Is done.
  • the series resonant circuit 1360 thus produced has an electrical frequency characteristic indicated by reference numeral 1384 in FIG.
  • the electron beam inspection apparatus 1301 shown in FIG. 26 is controlled and managed by the control unit 1316.
  • the control unit 1316 can be configured by a general-purpose personal computer or the like. This computer consists of a control unit main body 1314 that executes various controls and arithmetic processing according to a predetermined program, a CRT 1315 that displays the processing results of the main body 1314, and an operator that inputs commands.
  • An input unit 1318 such as a keyboard and a mouse for the operation may be provided.
  • the control unit 1316 may be constituted by hardware dedicated to the electron beam inspection apparatus or a workstation.
  • the control unit main body 13 14 includes a CPU, a RAM, a ROM, a hard disk, and various control boards such as a video board (not shown).
  • a memory such as a RAM or a hard disk
  • a secondary electron beam image storage area for storing an electric signal received from the imaging unit 1324, that is, digital image data of a secondary electron beam image of the wafer 135 is stored. 1 3 2 0 is assigned.
  • a reference image storage unit 1313 in which a reference image data of a wafer having no defect is stored in advance.
  • a defect detection program 1319 is stored on the hard disk in addition to a control program for controlling the entire electron beam inspection apparatus.
  • This defect detection program 1319 controls the movement of the stage 1304 in the XY plane and performs various arithmetic processing such as addition on the digital image data received from the imaging unit 1324 during this time. It has a function of reconstructing a secondary electron beam image on the storage area 1320 from the data obtained as a result. Further, the defect detection program 1319 reads out the secondary electron beam image data formed in the storage area 1320, and detects a defect of the wafer 1305 according to a predetermined algorithm based on the image data. Automatically detect. Next, the operation of this embodiment will be described.
  • the primary electron beam is emitted from the electron beam source 1301a, and it is set through the rectangular aperture 1302a, quadrupole lens 1302b, EXB deflector 1306, and objective lens 13008.
  • the irradiated wafer is irradiated onto the surface of the wafer 135.
  • the inspection area of, for example, 100 zmX 50 ⁇ m on the wafer 135 is illuminated, and the secondary electron beam is emitted.
  • This secondary electron beam is enlarged and projected on the lower surface of the multi-channel plate 1321 by the intermediate electrostatic lens 1309 and the projection electrostatic lens 1311, and is imaged by the imaging unit 1324.
  • a secondary electron beam image of the projected area on the wafer 135 is obtained.
  • the lens barrel 1 3 4 6 When an external force including a vibration component of the resonance frequency f 0 (150 Hz) is applied to the lens barrel 1 3 4 6 while capturing the enlarged secondary electron beam image, the lens barrel 1 3 4 6 Amplifies this vibration component at the resonance magnification (30 dB) determined by the transfer function and causes natural vibration.
  • This vibration 1388 applies positive and negative pressure to the piezoelectric element 135.
  • the piezoelectric element 1350 temporarily converts the vibration energy of the lens barrel 1346 into electric energy and outputs it.
  • the piezoelectric element 1 3 5 0 both electrodes 1 3 5 2 a of, 1 3 5 2 b, the Inda inductance 1 3 5 8 (L) and the resistance 1 3 5 6 (R D) is connected in series resonant circuit Therefore, at the resonance frequency, the capacitive impedance of the piezoelectric element 135 and the inductive impedance L of the inductance 135 are canceled at the resonance frequency, and the impedance of the resonance circuit is effectively Only the resistance 2 0 5 6 (R D ). Therefore, at the time of resonance, almost all of the electric energy output from the piezoelectric element 135 is consumed by the resistor 135 (R D ).
  • the piezoelectric element 135 generates a force so as to cancel the external force applied to the piezoelectric element 135 from the lens barrel 133, and cancels the vibration 138 generated by mechanical resonance.
  • the resonance magnification can be reduced. Since the secondary electron beam is enlarged and mapped, the fluctuation of the mapping due to vibration is even greater.In this embodiment, it is possible to prevent the blurring of the mapping due to such fluctuation beforehand.
  • the resonance component of the transfer function 1328 (corresponding to Fig. 29) of the lens barrel 1346 as a mechanical structure has a series with electrical frequency characteristics of 1384. Canceled by the resonance component of the resonance circuit 1336, the lens barrel 1336 has an overall transfer function 1386 with a low resonance magnification as a whole.
  • the electron beam inspection apparatus 1301 of this embodiment inspects the wafer 13305 for defects from the image. Perform processing.
  • a so-called pattern matching method or the like can be used.
  • the reference image read from the reference image storage unit 13 13 is matched with the actually detected secondary electron beam image to calculate a distance value representing the similarity between the two. If this distance value is smaller than a predetermined threshold value, it is determined that the similarity is high and “no defect” is determined. On the other hand, when the distance value is equal to or larger than the predetermined threshold value, it is determined that the similarity is low, and it is determined that “there is a defect”.
  • FIG. 31 (a) shows the image 1331 of the first detected die and the image 1332 of the second die detected second. If the third die image of another die is judged to be the same as or similar to the first image 1331, the part of the second die image 1333 that has a defect is defective. Is determined to be present, and a defective portion can be detected.
  • FIG. 31 (b) shows an example of measuring the line width of a pattern formed on a wafer.
  • the actual secondary electron beam intensity signal obtained when the actual pattern 13 4 on the wafer is scanned in the direction 1 3 3 5 is 1 3 3 6.
  • the width 1 3 3 8 of the portion continuously exceeding the threshold level 1 3 3 7 can be measured as the line width of the pattern 1 3 3 4. If the line width thus measured is not within the predetermined range, it is determined that the pattern has a defect. Can be
  • FIG. 31 (c) shows an example of measuring the potential contrast of a pattern formed on a wafer.
  • an axially symmetric electrode 1339 is provided above the wafer 135, and a potential of 110 V is applied to a wafer potential of 0 V, for example.
  • the equipotential surface of 12 V has a shape as shown by 140.
  • the patterns 1341 and 1342 formed on the wafer have a potential of 14 V and 0 V, respectively.
  • the secondary electron beam emitted from the pattern 1341 has an upward velocity corresponding to the kinetic energy of 2 eV on the 12V equipotential surface 1340.
  • the secondary electron beam emitted from the pattern 1342 cannot be detected because it cannot cross the potential barrier of -2 V and is driven back to the wafer surface as shown by the orbit 1344. Therefore, the detected image of the pattern 1341 is bright and the detected image of the pattern 1342 is dark. Thus, a potential contrast is obtained. If the brightness and the potential of the detected image are calibrated in advance, the potential of the pattern can be measured from the detected image. Then, a defective portion of the pattern can be evaluated from the potential distribution. As described above, by performing each of the above-described measurements on a good secondary electron beam image without mapping blur obtained by the seventh embodiment of the present invention, a defect with higher precision can be obtained. Inspection can be realized.
  • the electron beam inspection apparatus described so far as the seventh embodiment of the present invention is applied to a wafer inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
  • the deterioration of the detected image due to the vibration of the mechanical structure can be prevented beforehand, so that a high-precision inspection can be performed efficiently and the shipment of defective products can be prevented.
  • the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and the description thereof will be omitted.
  • the seventh embodiment of the present invention is not limited to the above-described one, but can be arbitrarily and suitably changed within the scope of the present invention.
  • the mechanical resonance frequency and mode are not always one, In such a case, it is possible to deal with this by installing the necessary number of actuary 1 3 2 5 at each point of the lens barrel.
  • the mechanical structure block A shown in Fig. 27 (b) has a vibration in the X direction as well as a vibration in the Y direction, it will offset the separate actuations in the X direction.
  • the B block and D block also have independent natural vibrations, these blocks may also be equipped with an actuator.
  • the circuit for vibration damping 1 3 2 7 does not need to be equivalent to the series resonance circuit 1 360, and when the mechanical natural vibration has multiple resonance frequencies in the same vibration direction, the electrical frequency characteristics of the circuit Can have a plurality of resonance frequencies.
  • the installation site of Actuyue can be applied not only to the lens barrel, but also to the parts necessary for accurately positioning the beam position, such as the XY stage 134, or the optical parts of various optical instruments. It is possible.
  • the semiconductor wafer 135 is taken as an example of a sample to be inspected by the electron beam inspection apparatus of the seventh embodiment, the sample to be inspected is not limited to this, and a defect can be detected by an electron beam. Anything can be selected.
  • a mask or the like on which a pattern for exposing a wafer is formed can be used as the inspection target.
  • the seventh embodiment can be applied to an electron beam application apparatus that irradiates a beam onto a target position of a substance.
  • the scope of application can be extended not only to the inspection of the substance but also to an apparatus that performs at least one of processing, manufacturing, and observation.
  • the concept of a substance here is not only a wafer and the above-mentioned disk, but also any object whose beam can be inspected, processed, manufactured and / or observed by a beam.
  • the device manufacturing method can be applied not only to the inspection during the manufacturing process of a semiconductor device, but also to the process itself of manufacturing a semiconductor device by a beam.
  • the electron optical system and the like can be arbitrarily and suitably changed.
  • the electron beam irradiating means of the electron beam inspection device 1301 is of a type in which a primary electron beam is incident on the surface of the wafer 1305 from above vertically, but the EXB deflector 1306 is omitted.
  • primary electrons The lines may be obliquely incident on the surface of the wafer 135.
  • An eighth embodiment of the present invention is directed to a combination of an electrostatic chuck, a wafer and an electrostatic chuck for electrostatically holding a wafer in an electron beam apparatus, and particularly to an electron beam using a deceleration electric field objective lens.
  • the present invention relates to a combination of an electrostatic chuck and a wafer that can be used in an electron beam apparatus, and a device manufacturing method using an electron beam apparatus including a combination of an electrostatic chuck and a wafer.
  • an electrode layer disposed on a substrate is formed by a plurality of mutually insulated electrodes, and a voltage is sequentially applied from one electrode to the other electrode.
  • a power supply unit to be applied is provided.
  • An electron beam apparatus using a deceleration electric field objective lens is also known.
  • the eighth embodiment of the present invention can be used for a deceleration electric field objective lens in order to solve the above-mentioned problems, and has a side surface and a back surface covered with an insulating film, and the center of which is concavely distorted toward the chuck side. And a combination of a wafer and an electrostatic chuck, and an evaluation of a wafer in the process using such an electrostatic chuck or a combination of the wafer and the electrostatic chuck. Provide a device manufacturing method. ,
  • FIG. 32 is a plan view of the electrostatic chuck 1410 according to the eighth embodiment of the present invention.
  • FIG. 3 is a view of the electrode plate 1 4 1 2 with the wafer removed.
  • FIG. 33 is a schematic vertical sectional view along the line M--M of the electrostatic chuck of FIG. 32, showing a state where a wafer is mounted and no voltage is applied.
  • the electrostatic chuck 144 has a laminated structure including a substrate 144, an electrode plate 144, and an insulating layer 144.
  • the electrode plate 1412 includes a first electrode 1401 and a second electrode 1442.
  • the first electrode 1401 and the second electrode 1442 are separated so that a voltage can be separately applied, and are formed of a thin film so that they can move at high speed without generating an eddy current in a magnetic field. It is formed.
  • the first electrode 1401 is composed of a central portion and a part of a peripheral portion of a circular electrode plate 1442 in a plan view, and the second electrode 1442 is a remaining horseshoe-shaped peripheral portion of the electrode plate. Consisting of minutes.
  • An insulating layer 144 is disposed above the electrode plate 144.
  • the insulating layer 144 is formed of a sapphire substrate having a thickness of 1 mm.
  • Sapphire is a single crystal of alumina and does not have any small holes like alumina ceramics, so it has a high insulation breakdown voltage.
  • sapphire substrate 1 mm thick may be sufficiently resistant to 1 0 4 V or more electric position difference.
  • the application of a voltage to the wafer 144 is performed via a contact 144 having a knife-edge-shaped metal portion. As shown in FIG. 33, two contacts 144 are brought into contact with the side surface of the wafer 144.
  • the reason for using two contacts 1406 is that if only one contact is used, conduction may not be established, and that the force that pushes the 1403 to one side is generated. Because I hated it.
  • the contact layer 1406 is connected to the power supply 1414 via the resistor 1414 because the insulation layer 1440 may be broken and the conduction may occur, but particles may be scattered when discharging. Connected to 6 to prevent large discharge. If the resistance 1414 is too large, a conductive hole is not formed, and if it is too small, a large discharge occurs and particles are scattered.
  • FIG. 34 shows a time chart of voltage application.
  • the second electrode indicated by line B 4 kV is applied.
  • Time t t 3 in voltage C of the wafer evaluation of the wafer sucked and held by the chucking is completed is to 0 V, the wafer is taken out to the outside.
  • FIG. 35 is a block diagram showing an electron beam device provided with the electrostatic chuck described above.
  • the electron beam emitted from the electron beam source 143 1 removes unnecessary beams through the aperture of the anode 1 432 that determines the aperture aperture (NA), and is reduced by the condenser lens 144 3 7 and the objective lens 1 443 Then, an image is formed on the wafer 1403 to which 14 kV is applied, and the wafer 1403 is scanned by the deflectors 14438 and 1442.
  • the secondary electron beam emitted from the wafer 1403 is collected by the objective lens 1443, bent about 35 ° to the right by the EXB separator 1442, and detected by the secondary electron beam detector 1440. And an SEM image on the wafer is obtained.
  • reference numerals 143 3 and 1 4 3 5 denote an axis alignment device, 1 4 3 4 an astigmatism correction device, 1 4 3 6 an aperture plate, 1 441 a shield, and 1 444 an Electrodes.
  • the electrostatic chuck described with reference to FIGS. 33 and 34 is arranged below the wafer 1403, the electrostatic chuck described with reference to FIGS. 33 and 34 is arranged.
  • the way of increasing or decreasing the voltage applied to the electrostatic chuck is not limited to that shown in FIG. 34 (a).
  • the voltage may change exponentially as shown in FIG. 34 (b).
  • any voltage may be used as long as it reaches a predetermined voltage within a certain time.
  • a ninth embodiment of the present invention is directed to a device for irradiating a sample placed on an XY stage with an electron beam, a defect inspection device or an exposure device using the device, and a device using the device. It relates to a manufacturing method.
  • the sample surface By irradiating a sample surface or the like of a semiconductor wafer or the like with an electron beam, the sample surface is exposed to a pattern of a semiconductor circuit or the like, or a device for inspecting a pattern formed on the sample surface, or In a device that performs ultra-precision processing on a sample by irradiating a line, a stage that accurately positions the sample in a vacuum is used.
  • a structure is employed in which the stage is supported in a non-contact manner by a hydrostatic bearing.
  • a differential exhaust mechanism that exhausts the high-pressure gas is formed in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not exhausted directly to the vacuum chamber, thereby maintaining the vacuum degree of the vacuum chamber. are doing.
  • FIG. 1508 constituting a vacuum chamber C is provided with an electron beam generator 1501 for generating an electron beam and irradiating the sample with a tip, that is, an electron beam irradiation section 1502. Is attached.
  • the inside of the lens barrel is evacuated by vacuum piping 1510, and chamber C is evacuated by vacuum piping 1511. Then, the electron beam is irradiated from the tip 1502 of the lens barrel 1501 to the sample S such as a wafer placed thereunder.
  • the sample S is detachably held on the sample stage 1504 by a known method,
  • the sample stage 1504 is mounted on the upper surface of the ⁇ -direction movable portion 1505 of the XY stage (hereinafter simply referred to as stage) 1503.
  • the ⁇ -direction movable part 1505 has a surface facing the guide surface 1506a of the X-direction movable part 1506 of the stage 1503
  • a plurality of static pressure bearings 1509 are mounted. By the action of these static pressure bearings 1509, a small gap is maintained between the guide surface and the Y direction (left and right directions in [B] in Fig. 36). ).
  • Double grooves 1518 and 1517 are formed around the static pressure bearing 1509, and these grooves are constantly evacuated by vacuum piping and a vacuum pump (not shown). .
  • the Y-direction movable section 1505 is supported in a non-contact state in vacuum and can move freely in the Y-direction.
  • These double grooves 1518 and 1517 are formed on the surface of the movable portion 15005 on which the static pressure bearings 1509 are provided so as to surround the static pressure bearings. Since the structure of the hydrostatic bearing may be a known structure, a detailed description thereof will be omitted.
  • the X-direction movable portion 1506 on which the Y-direction movable portion 1505 is mounted has a concave shape that opens upward, as is apparent from FIG.
  • the movable unit 1506 is provided with exactly the same static pressure bearing and groove, is supported in a non-contact manner with the stage table 1507, and can move freely in the X direction.
  • the sample S is moved to an arbitrary position in the horizontal direction with respect to the tip of the lens barrel, that is, the electron beam irradiation section 1502. By moving it, a desired position on the sample can be irradiated with the electron beam.
  • the guide surface 1506a and 1507a facing the hydrostatic bearing 1509 are fixed to the static pressure bearing part. Reciprocating between the high-pressure gas atmosphere and the vacuum environment in the chamber. At this time, the gas adsorbs on the guide surface while being exposed to the high-pressure gas atmosphere, The state where the adsorbed gas is released when exposed to the vacuum environment is repeated. Therefore, every time the stage moves, the degree of vacuum in the chamber C deteriorates, and the above-mentioned processes such as exposure, inspection, and processing with the electron beam cannot be performed stably, and the sample is contaminated. There was a problem.
  • Electron beam equipment that can stably perform inspection and processing by electron beam while preventing the degree of vacuum from lowering.
  • An electron beam device having a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using differential evacuation to generate a pressure difference between an electron beam irradiation area and a support portion of the static pressure bearing;
  • An electron beam device that reduces gas emitted from the component surface facing the hydrostatic bearing, a defect inspection device that inspects the sample surface using the above-described electron beam device, or an exposure device that draws a pattern on the surface of the sample.
  • a semiconductor manufacturing method for manufacturing a semiconductor device using the electron beam apparatus as described above is described above.
  • a ninth embodiment of the present invention will be described with reference to the drawings.
  • a plate 1514 is attached, and a narrowed portion 1505 having a small conductance is always formed between the plate 1504 and the upper surface of the X-direction movable portion 1506.
  • a similar partition plate 1512 is also provided on the upper surface of the X-direction movable part 6 so as to project in the ⁇ X direction (left and right directions in [A] in Fig. 38).
  • the narrowed portion 1551 is always formed between the upper surface of the diaphragm 7 and the upper surface of the diaphragm 7.
  • the stage stage 1507 is fixed in a known manner on the bottom wall in the housing 1508.
  • Vacuum evacuation passages 1511-1-1 and 15111-2 are provided for this purpose.
  • the exhaust passage extends through the stage base 1507 and the housing 1508 to the outside of the housing 1508. Further, the exhaust passage 1 5 1 1-2 is formed in the X-direction movable section 1506, and is opened at the lower surface of the X-direction movable section 1506.
  • FIG. 39 shows a first modification of the ninth embodiment of the present invention.
  • a cylindrical partition 15 16 is formed around the tip of the lens barrel, that is, around the electron beam irradiation section 150 2, so as to form an aperture between the sample S and the upper surface. .
  • the inside of the partition 15 2 4 is partitioned by the partition 15 16 and the vacuum pipe 15 10 Since the air is exhausted, a pressure difference occurs between the inside of the champ C and the inside of the partition 15 24, and the pressure increase in the space 15 24 inside the partition can be suppressed low.
  • the gap between the partition 15 16 and the sample surface is between the inside of the chamber C and the irradiation section 1 502 Although it depends on how much pressure is maintained on the side, about several tens of meters to several mm is appropriate.
  • the inside of the partition 15 16 and the vacuum pipe are communicated by a known method.
  • a high voltage of about several kV may be applied to the sample S, and a discharge may occur if a conductive material is placed near the sample.
  • the material of the partition 15 16 is made of an insulating material such as ceramics, no discharge occurs between the sample S and the partition 15 16.
  • the ring member 1504 _ 1 placed around the sample S is a plate-shaped adjustment part fixed to the sample stage 1504, and an electronic component is attached to the end of the sample such as a wafer. Even in the case of irradiating a line, the height is set to be the same as that of the wafer so that a minute gap 1552 is formed over the entire periphery of the leading end of the partition 1516. As a result, no matter what position of the sample S is irradiated with the electron beam, a constant minute gap 15 5 2 is always formed at the tip of the partition 15 16, and the space 15 2 around the tip of the lens barrel is formed. The pressure of 4 can be kept stable.
  • FIG. 40 shows a second modification of the ninth embodiment of the present invention.
  • a partition 1519 incorporating a differential pumping structure is provided around the electron beam irradiation section 2 of the lens barrel 1501.
  • the partition 1519 has a cylindrical shape, and a circumferential groove 15020 is formed therein, and an exhaust passage 1521 extends upward from the circumferential groove.
  • the exhaust passage is connected to a vacuum pipe 15 23 via an internal space 152.
  • the lower end of the partition 15 19 forms a small gap of about several tens zm to several mm with the upper surface of the sample S.
  • the gas is released from the stage with the movement of the stage, and the pressure in the chamber C rises, so that even if the gas tries to flow into the tip part, that is, the electron beam irradiation part 1502, the partition 15 1 Since the conductance of sample 9 is very small by narrowing the gap with sample S, the gas flow is obstructed and the flow rate decreases. Furthermore, since the gas that has flowed in is exhausted from the circumferential groove 1520 to the vacuum pipe 1523, most of the gas that flows into the space 1524 around the electron beam irradiation section 1502 is exhausted. As a result, the pressure of the electron beam irradiation section 1502 can be maintained at a desired high vacuum. FIG.
  • a partition 152 is provided around the chamber C and the electron beam irradiation unit 1502, and separates the electron beam irradiation unit 1502 from the chamber C.
  • the partition 1526 is connected to the refrigerator 1503 via a support member 15029 made of a material having good heat conductivity such as copper or aluminum, and the Or — it is cooled to about 200 ° C.
  • the member 1527 is for inhibiting the heat conduction between the cooled partition 1526 and the lens barrel, and is made of a material having poor heat conductivity such as ceramics or resin material.
  • the member 1528 is made of a non-insulating material such as ceramics, and is formed at the lower end of the partition 1526 to prevent discharge of the sample S and the partition 1526.
  • the gas molecules that are going to flow into the electron beam irradiation unit from the chamber C are blocked by the partition 152, and even if they flow, the gas molecules are frozen and trapped on the surface of the partition 152. Therefore, the pressure in the space 152 in which the electron beam irradiation unit 1502 is located can be kept low.
  • FIG. 42 shows a fourth modification of the ninth embodiment of the present invention.
  • the two movable parts of the stage 1503 are provided with partition plates 1512 and 1514 in the same manner as shown in Fig. 38, and the sample stage 1504 is located at an arbitrary position. Even if it moves, these partitions partition the space 15 13 in the stage and the chamber C through the apertures 150 5 and 1 5 1. Further, a partition 1516 similar to that shown in FIG. 39 is formed around the electron beam irradiation section 1502, and the space inside the chamber C and the electron beam irradiation section 1502 is located. 1 5 2 4 is partitioned via the aperture 1 5 5 2.
  • a partition 15 19 incorporating a differential exhaust mechanism can be used. By making the partition cooled by a refrigerator as shown in FIG. 0, the space 152 can be stably maintained at a lower pressure.
  • FIG. 43 schematically shows an optical system and a detection system of the electron beam device according to the ninth embodiment.
  • the optical system is provided in the lens barrel 1501, but the optical system and the detector are merely examples, and any optical system and detector can be used as needed.
  • the optical system 1560 of the electron beam device is composed of a primary optical system 1561 that irradiates the sample S placed on the stage 1503 with an electron beam, and a secondary electron beam emitted from the sample. And a secondary optical system 1571 to be input.
  • the primary optical system 1561 is a lens system 1 consisting of an electron beam source 1562 that emits an electron beam, and a two-stage electrostatic lens that focuses the electron beam emitted from the electron beam source 1562. 5 6 3, 1 5 6 4 and deflector 1
  • Wien filter 1 5 6 6 that deflects the electron beam so that its optical axis is perpendicular to the target surface
  • a lens system 1 5 6 7 and 1 5 6 8 consisting of a two-stage electrostatic lens
  • Wien filter 1 5 6 6 is electrode 1 5 6 6—1 and magnet 1 5
  • the secondary optical system 1571 is an optical system to which the secondary electron beam emitted from the sample S is injected, and a two-stage electrostatic lens placed above the primary optical system's Vienna filter 1566. Lens system consisting of The detector 1580 detects the secondary electron beam sent via the secondary optical system 1571. Since the structure and function of each component of the optical system 1560 and the detector 1580 are the same as the conventional one, detailed description thereof will be omitted.
  • the electron beam emitted from the electron beam source 1 562 is shaped by the square aperture of the electron beam source and reduced by the two-stage lens system 156 3 and 156 4, and the polarizer 1 556
  • the optical axis is adjusted by, and an image is formed into a square with a side of 1.25 mm on the deflection center plane of the window filter 1566.
  • the Wien filter 1566 has a structure in which an electric field and a magnetic field are perpendicular to each other in a plane perpendicular to the normal of the sample.
  • the electron beam goes straight, At other times, the beam is deflected in a predetermined direction by the mutual relationship between the electric field, the magnetic field, and the energy of the electric field.
  • settings are made so that the electron beam from the electron beam source is perpendicularly incident on the sample S, and the secondary electron beam emitted from the sample is made to travel straight toward the detector 228. .
  • the shaped beam deflected by the Wien filter 15566 is reduced to 1-5 by the lens systems 1567 and 15668 and projected onto the sample S.
  • the secondary electron beam with the information of the pattern image emitted from the sample S is magnified by the lens systems 1567, 1568 and 1572, 1573, and the detector 1580 To form a secondary electron beam image.
  • the lens systems 1567 and 1568 form a symmetric tablet lens
  • the lens systems 1572 and 1573 also form a symmetric plate lens. It is a distortion-free lens.
  • the ninth embodiment of the present invention is applicable to the inspection step (G) or the exposure step (c) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b). Since fine patterns can be inspected or exposed stably with high accuracy, it is possible to improve product yield and prevent defective products from being shipped.
  • the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
  • the tenth embodiment of the present invention relates to a device for irradiating a sample placed on an XY stage with an electron beam, and more specifically, to a device around a lens barrel without providing a differential pumping mechanism on the XY stage.
  • the present invention relates to an electron beam apparatus provided with a dynamic exhaust mechanism, a defect inspection apparatus or an exposure apparatus using the apparatus, and a device manufacturing method using the apparatus.
  • the conventional XY stage which combines a static pressure bearing and a differential exhaust mechanism, is used in air due to the provision of a differential exhaust mechanism.
  • the structure is more complicated and larger than a static pressure bearing type stage, the stage reliability is low, and the cost is high.
  • FIGS. 36 and 37 and FIGS. References made to them are cited.
  • the tenth embodiment of the present invention solves the above problem
  • An electron beam device that has a simple structure and can be made compact without the differential exhaust mechanism of the XY stage.
  • An electron beam apparatus provided with a differential pumping mechanism for evacuating the inside of the housing accommodating the XY stage and evacuating an area on the sample surface to be irradiated with the electron beam;
  • a defect inspection device that inspects a sample, or an exposure device that draws a pattern on the surface of
  • a semiconductor manufacturing method for manufacturing a semiconductor device using the electron beam apparatus as described above is described above.
  • vacuum is used in a normal meaning in the technical field.
  • FIG. 44 a distal end of a lens barrel 1601, which irradiates an electron beam toward a sample, that is, an electron beam irradiating section 1602, is attached to a housing 1614 defining a vacuum chamber C.
  • the sample S placed on the movable table in the X direction (the left and right direction in FIG. 44) of the XY stage 1603 is arranged immediately below the lens barrel 1601.
  • the sample S can be accurately irradiated with an electron beam at an arbitrary position on the sample surface by the high-precision XY stage 163.
  • the pedestal 166 of the XY stage 163 is fixed to the bottom wall of the housing 166 and moves in the Y direction (perpendicular to the paper in FIG. 24). On the top. On both sides (left and right sides in FIG. 24) of the Y table 1605, a pair of Y direction guides 1607a and 1607b mounted on the pedestal 1606 are provided. A protruding portion is formed to protrude into a concave groove formed on the facing side. The groove extends in the Y direction over substantially the entire length of the Y direction guide.
  • a well-known hydrostatic bearing 1611a, 1609a, 1611b, and 1609b are provided on the upper, lower, and side surfaces of the protrusion protruding into the groove.
  • the Y table 16 05 By blowing high-pressure gas through these hydrostatic bearings, the Y table 16 05 is supported in a non-contact manner with respect to the Y direction guides 1607a and 1607b, so that it can reciprocate smoothly in the Y direction.
  • a linear motor 1612 with a known structure is arranged between the base 1606 and the ⁇ table 1605 so that the ⁇ direction drive can be performed by the linear motor. ing.
  • the table is supplied with high-pressure gas by a flexible pipe 1622 for supplying high-pressure gas.
  • the static pressure bearings 1609a to 1611 through gas passages (not shown) formed in the table. High-pressure gas is supplied to a and 1609b to 1611b.
  • the high-pressure gas supplied to the hydrostatic bearing is jetted into a gap of several microns to several tens of microns formed between the Y-direction guide and the opposing guide surface, causing the Y table to move in the X direction with respect to the guide surface. And the Z direction (vertical direction in Fig. 44).
  • an X table 1604 is mounted so as to be movable in the X direction (the left and right direction in FIG. 44).
  • a pair of X direction guides 1608a which have the same structure as the Y direction guides 1607a and 1607b for the Y table,
  • 16608b (only 16608a is shown) is provided with the X table 1604 interposed therebetween.
  • a groove is also formed on the side of the X direction guide facing the X table, and a protrusion protruding into the groove is formed on the side of the X table (side facing the X direction guide). ing.
  • the groove extends over almost the entire length of the X-direction guide.
  • the static pressure bearings 16 11 a, 16 09 a, 16 10 a, 16 11 b, 16 Static pressure bearings (not shown) similar to 09b and 1610b are provided in a similar arrangement.
  • a linear motor 1613 having a known structure is arranged between the Y table 1605 and the X table 1604 so that the X table is driven in the X direction by the linear motor. I have. Then, high-pressure gas is supplied to the X-table 1604 by a flexible pipe 1621, and the high-pressure gas is supplied to the static pressure bearing. This high-pressure gas is ejected from the static pressure bearing to the guide surface of the X-direction guide, so that the X table 1604 is supported with high precision and non-contact with the Y-direction guide.
  • Vacuum chamber C is a vacuum chamber connected to a vacuum pump with a well-known structure. The pipes 16 19, 16 20a, 16 20b are evacuated.
  • the inlet side of the pipes 1620a and 1620b penetrates the pedestal 1606, and on the upper surface, near the position where high-pressure gas is discharged from the XY stage 1603. This prevents the pressure inside the vacuum chamber from rising as much as possible due to the high-pressure gas ejected from the hydrostatic bearing.
  • a differential pumping mechanism 1625 is provided around the tip of the lens barrel 1601, that is, around the electron beam irradiating section 1602, so that even if the pressure in the vacuum chamber C is high, the electron beam irradiating space 1
  • the pressure of 630 is set to be sufficiently low. That is, the annular member 1626 of the differential evacuation mechanism 1625 mounted around the electron beam irradiation section 1602 has a small gap between its lower surface (the surface on the sample S side) and the sample. (Several microns to hundreds of microns)
  • the housing 1614 is positioned so as to form 1640, and an annular groove 1627 is formed on the lower surface thereof.
  • the annular groove 1627 is connected to a vacuum pump or the like (not shown) by an exhaust pipe 1628.
  • the minute gap 1640 is exhausted through the annular groove 1627 and the exhaust port 1628, and from the vacuum chamber C into the space 1630 surrounded by the annular member 1626. Even if gas molecules try to enter, they will be exhausted. Thereby, the pressure in the electron beam irradiation space 1630 can be kept low, and the electron beam can be irradiated without any problem.
  • the annular groove may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the electron beam irradiation space 1630.
  • Dry nitrogen is generally used as the high-pressure gas supplied to the hydrostatic bearing.
  • a higher purity inert gas is because, when impurities such as moisture and oil are contained in the gas, these impurity molecules adhere to the inner surface of the housing and the surface of the stage components that define the vacuum chamber, deteriorating the degree of vacuum. This is because they adhere to the surface and deteriorate the degree of vacuum in the electron beam irradiation space.
  • the sample S is not usually placed directly on the X table, but is held in such a way that the sample can be It is placed on a sample stage that has functions such as making various position changes. Have been.
  • the stage mechanism of the static pressure bearing used in the atmosphere can be used almost as it is, the Y-stage having the same high precision as the high-precision stage for the atmosphere used in the exposure apparatus and the like; It can be realized for an XY stage for an electron beam device at almost the same cost and size.
  • the annular groove has a double structure of 1627a and 1627b, which are separated in the radial direction.
  • the flow rate of the high-pressure gas supplied to the hydrostatic bearing is usually about 20 L Zmin (atmospheric pressure conversion).
  • the vacuum chamber C is evacuated with a dry pump having a pumping speed of 2000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the vacuum chamber becomes about 16 Pa (approximately 1.2 Torr).
  • the shape of the differential pumping mechanism is not limited to concentric circles as in this embodiment as long as the pressure in the electron beam irradiation space 1630 can be maintained at a predetermined pressure, and may be rectangular or polygonal. . Furthermore, it may be provided on a part of the periphery instead of the entire circumference.
  • FIG. 46 shows a piping system for the apparatus shown in FIG. A dry vacuum pump 1653 is connected to the vacuum chamber C defined by the housing 1614 via vacuum pipes 1674 and 1675.
  • the annular groove 1627 of the differential pumping mechanism 1625 is connected to an ultra-high vacuum pump through a vacuum pipe 1670 connected to the exhaust port 1628. 6 5 1 is connected.
  • the inside of the lens barrel 1601 is connected to a turbo molecular pump 1652 via a vacuum pipe 1671 connected to an exhaust port 1618.
  • These evening molecular pumps 165 1 and 165 2 are connected to a dry vacuum pump 165 3 by vacuum pipes 166 2 and 167 3.
  • one dry vacuum pump is used for both the roughing pump of the turbo molecular pump and the vacuum exhaust pump of the vacuum chamber.
  • the flow rate of the high-pressure gas supplied to the static pressure bearing of the XY stage and the volume of the vacuum chamber In some cases, these may be evacuated with a dry vacuum pump of a different system, depending on the inner surface area, inner diameter and length of the vacuum pipe.
  • High-purity inert gas (N 2 gas, Ar gas, etc.) is supplied to the static pressure bearing of the XY stage 16 03 through the flexible pipes 16 21 and 16 22.
  • These gas molecules ejected from the hydrostatic bearing diffuse into the vacuum chamber and are exhausted by the dry vacuum pump 235 3 through the exhaust ports 1619, 1620a, 1620b.
  • these gas molecules that have entered the differential exhaust mechanism or the electron beam irradiation space are sucked from the annular groove 1627 or the tip of the lens barrel 1601, and the exhaust ports 1628 and 1661
  • the gas is exhausted by the turbo molecular pumps 1651 and 1652 through 8, and is exhausted by the dry vacuum pump 1653 after being discharged from the turbomolecular pump.
  • the high-purity inert gas supplied to the hydrostatic bearing is collected by the dry vacuum pump and discharged.
  • the exhaust port of the dry vacuum pump 1 65 3 is connected to the compressor 16 54 via the pipe 16 67, and the exhaust port of the compressor 23 16 is connected to the pipes 16 7 7 and 16 7 It is connected to flexible pipes 1621 and 1622 via 8, 1679 and Regyuray 1661,1662.
  • the high-purity inert gas discharged from the dry vacuum pump 1653 is pressurized again by the compressor 1654 and adjusted to an appropriate pressure by the regi Accommodations 1661 and 1662. After that, it is supplied to the static pressure bearing of the XY table again.
  • the gas supplied to the hydrostatic bearing must be as pure as possible and contain as little moisture and oil as possible. Dry pumps and compressors are required to have a structure that prevents moisture and oil from entering the gas flow path.
  • a cold trap, filter, etc. (1660) are provided in the middle of the compressor's discharge piping 167,7 to trap impurities such as water and oil mixed in the circulating gas and to provide a static pressure bearing. It is also effective not to supply them to the public.
  • the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved.
  • the inert gas since the inert gas does not flow into the room where the present apparatus is installed, the inert gas can be reused. The risk of accidents such as suffocation due to suffocation can be reduced.
  • a high-purity inert gas supply system 166 3 is connected to the circulation piping system.When gas circulation is started, the vacuum chamber C and vacuum piping 1670 to 1675 and the pressure side The role of filling high-purity inert gas in all circulating systems, including piping 166 7 to 1680, and the role of supplying shortage when the flow rate of circulating gas decreases for some reason I am carrying it.
  • a pump such as an ion pump or a gas pump can be used instead of the turbo molecular pump.
  • a pump such as an ion pump or a gas pump can be used instead of the turbo molecular pump.
  • these storage pumps are used,
  • a circulation piping system cannot be constructed.
  • other types of dry pumps such as a diaphragm type dry pump can be used instead of the dry vacuum pump.
  • the tenth embodiment described with reference to FIGS. 44 to 46 includes the optical system and the detection system described with reference to FIG. 43, similarly to the ninth embodiment.
  • the description related to FIG. 43 is used.
  • the tenth embodiment of the present invention also relates to the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
  • the inspection process (G) or the exposure process (c) in the above fine pattern can be inspected or exposed stably with high accuracy, so that the product yield can be improved and defective products can be prevented from being shipped.
  • the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
  • Embodiment relating to lens of optical system (11th embodiment)
  • the eleventh embodiment of the present invention relates to an electron beam apparatus for evaluating a pattern or the like formed on the surface of a sample and a device manufacturing method for evaluating the sample during or after a process using the electron beam apparatus. More specifically, it has a high throughput, such as defect inspection of devices on the sample, CD measurement, potential contrast measurement, and high time-resolved potential measurement, etc., which have a pattern with a minimum line width of 0.1 m or less.
  • the present invention relates to an electron beam apparatus which can be performed with high reliability and a device manufacturing method for evaluating a sample during or after a process using such an electron beam apparatus.
  • the conventional scanning electron microscope as described above scans the sample surface with a thin electron beam, that is, a beam.Therefore, when a sample having a large area is evaluated, there is a problem that throughput is significantly reduced. . Further, in the known charge-up detection function, it is necessary to measure various currents with a high time resolution, and the state of the charge-up cannot always be detected correctly.
  • an electron beam apparatus which has a compact optical system by improving the structure of a lens of the optical system, thereby improving the throughput. And an electron beam device with improved charge-up detection function and improved evaluation reliability, and a device that can evaluate samples during or after the process with a high production yield using the electron beam device as described above.
  • the present invention provides a manufacturing method.
  • a first embodiment of the electron beam apparatus according to the present invention will be described with reference to the drawings. In FIG.
  • the electron beam device 1701 includes a primary electron optical system (hereinafter simply referred to as a primary optical system) 1701 and a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 1 720 and a detection system 1730.
  • the primary optical system 1710 is an optical system that irradiates an electron beam to the surface of an evaluation target (hereinafter, referred to as a sample) S such as a wafer, and an electron beam source that emits an electron beam, that is, an electron beam 1711 And a condenser lens 1 7 1 2 that focuses the primary electron beam emitted from the electron beam source 1 7 1 1, a Wien filter 1 7 1 5, and an objective lens 1 7 1 6. They are arranged as shown in FIG.
  • Reference numerals 17 14 and 17 17 denote axis alignment devices for aligning the primary electron beam, 17 18 a deflector for scanning the primary electron beam, and 17 19 an axially symmetric electrode. It is.
  • the secondary optical system 1720 is arranged along an optical axis inclined with respect to the optical axis of the primary optical system. Although not shown in FIG. 47, the secondary optical system may include at least one lens.
  • the detection system 173 ⁇ includes a detector 1731, and an image forming unit 1733 connected to the detector 1731 via an amplifier 1732.
  • the sample S is supported on a holder 1741 on the XY stage 1740 so that it can be attached and detached by a known method, and the XY stage 1 ⁇ 40 allows the sample S to move in two orthogonal directions (in the horizontal direction and the paper surface in Fig. (Vertical direction).
  • the electron beam device 1701 further includes a retarding voltage applying device (hereinafter referred to as an applying device) 1750 electrically connected to the holder 1741, a charge-up investigation and a determination of the retarding voltage.
  • a retarding voltage applying device hereinafter referred to as an applying device
  • the survey and decision system 1760 comprises a monitor 1760 connected electrically to the image forming section 1733, an operator 1762 connected to the monitor 1756, and an operator 1750. 6 connected to the CPU 6 2.
  • the CPU 176 3 3 supplies a signal to the application device 1 750 and the deflector 177.
  • the condenser lens 17 12 which is an electrostatic axially symmetric lens, is formed by shaving an integral ceramic and having an axial cross section as shown in FIG. That is, the condenser lens 1712 includes a main body 17122-1 made of ceramics.
  • the main body 17 1 2—1 has a circular shape in the center so as to define a circular hole 17 1 2—2 in the center, and the inner peripheral side is in the vertical direction (along the optical axis) in FIG. Direction) is divided into three plate-like parts 1 7 1 2—3 to 1 7 1 2—5.
  • These coating films 1 7 1 2-6 to 1 7 1 2-8 are used as electrodes (upper electrode 1 7 1 2-6, intermediate electrode 1 7 1 2-7 and lower electrode 1 7 1 2-8), respectively. Functioning, a voltage close to the ground side is applied to the coating film, that is, the upper and lower electrodes 17 1 2—6 and 17 12—8, and the absolute value is applied to the middle coating film, that is, the intermediate electrode 17 1 2—7.
  • a large positive or negative high voltage is applied by the electrode fittings 1712-9 provided on the main body 1712-2-1, thereby performing the function of the lens. Since such a lens is formed by shaving the ceramics and processing at the same time, the processing accuracy is high and the outer diameter of the lens can be reduced.
  • the outer diameter of the lens can be reduced, so that the outer diameter of the lens barrel housing the electron beam apparatus can be reduced. Therefore, for a sample such as a wafer having a large diameter, a plurality of lens barrels can be arranged for one sample. For example, assuming that the outer diameter of the lens (diameter) is 40 mm, as shown in FIG. 48, four columns 1702 in the X direction are arranged in two rows in the Y direction, for a total of 8 columns. One lens barrel 1702 can be arranged for one sample. Then, by moving the stage (not shown) holding the material S continuously in the Y direction and scanning it with each lens barrel in the X direction, the evaluation can be performed using only one electron beam. 7 to 8 times the throughput of the above.
  • the crossover image of the electron beam source created by the primary electron beam is reduced by the condenser lens 1712 and the objective lens 1716 to form a narrow beam of about 50 nm, and scans it over the sample S. Irradiated.
  • the secondary electron beam emitted from the sample by the irradiation with the primary electron beam is drawn by the axially symmetric electrode 17 19 to the objective lens side.
  • the potential contrast of the sample pattern can be obtained by passing through the objective lens 17 16 or returning to the sample side.
  • the secondary electron beam that has passed through the objective lens is separated from the primary optical system 1710 by the Vienna Filler 1715 and introduced into the secondary electron optical system (hereinafter simply referred to as the secondary optical system) 1720.
  • the detection is detected by the detector 1731 of the detection system 1730.
  • the detector 1731 converts the detected secondary electron beam image into an electric signal representing its intensity.
  • the electric signal output from each detector in this way is amplified by the corresponding amplifier 1732, input to the image forming unit 1733, and converted into image data by the image forming unit. Since a scanning signal for deflecting the primary electron beam is further supplied to the image forming unit 173 3, the image forming unit displays an image representing the surface of the sample S.
  • a defect of the sample S can be detected.
  • a single electron beam is used.
  • the use of a plurality of beams is better than a single beam in terms of improving throughput.
  • the image data converted by the image forming unit 173 3 is displayed as an image by the display device 176 1 of the investigation and determination device 176, and the image is evaluated by the operator 176 2.
  • the operator 1762 executes a charge-up investigation function. Also, the operator 1762 can investigate the charge-up state based on the image. Then, the result is input to CPU1763, and the retarding voltage is set to an optimum value.
  • C PU 176 3 constitutes a retarding voltage determination device in this embodiment.
  • the area that is susceptible to the charge-up of the sample to be evaluated was formed on the surface of the wafer as the sample.
  • the corner portion of the memory cell 1771 of the chip 1770 was evaluated.
  • the signal intensity contrast obtained during scanning is shown by the solid lines 177 and 1777 in [B] of Figure 49, showing the center of the chip.
  • the signal intensity contrasts obtained when the cursor was scanned by arrows A3 and A4 were compared with 17776 and 17778 (both indicated by broken lines in Fig. 4.9 [B]). You may.
  • the value is given to the applying device 1750 through the CPU 1766, or if an optimal beam current value is found, those The value of the sample, that is, the wafer, is evaluated.
  • the eleventh embodiment of the present invention can be used for the inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
  • the eleventh embodiment of the present invention can be used for the inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
  • the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
  • Embodiment relating to defect detection first and second embodiments
  • the 12th embodiment of the present invention relates to a defect inspection apparatus for inspecting a defect of a sample by comparing an image of the sample such as a semiconductor wafer with a reference image prepared in advance, and a defect inspection apparatus for inspecting the defect.
  • the present invention relates to a semiconductor device manufacturing method for manufacturing a semiconductor device using an inspection device.
  • a defect inspection apparatus for inspecting a defect in a sample such as a semiconductor wafer by irradiating the sample with a primary electron beam and detecting a secondary electron beam generated by the secondary electron beam has been used in a semiconductor manufacturing process or the like. ing.
  • a defect inspection apparatus there is a technique for applying an image recognition technique to automate and improve the efficiency of the defect inspection.
  • the pattern image data of the region to be inspected on the sample surface obtained by detecting the secondary electrons and the reference image data of the sample surface stored in advance are matched by a computer, and the matching result is calculated. Based on this, it is automatically determined whether the sample has a defect.
  • the 12th embodiment of the present invention provides a defect inspection apparatus that prevents a decrease in defect inspection accuracy due to a positional shift between an image to be inspected and a reference image ′, and a semiconductor device.
  • a semiconductor manufacturing method is provided in which a defect inspection of a sample is performed by using the above-described defect inspection apparatus, thereby improving the yield of device products and preventing shipment of defective products.
  • FIG. 50 shows a schematic configuration of the defect inspection device according to the eleventh embodiment of the present invention.
  • This defect inspection system consists of an electron beam source 1801 that emits a primary electron beam, an electrostatic lens 1802 that deflects and shapes the emitted primary electron beam, and a shaped primary beam.
  • the Vienna Filler 1803 deflects the electron beam so that it hits the semiconductor wafer 1805 almost perpendicularly in a field orthogonal to the electric field E and the magnetic field B.
  • the deflected primary electron beam is a wafer 180 5
  • Detector 1807 that detects the formed image as a secondary electron beam image of the wafer, and controls the entire apparatus and generates a secondary electron beam image detected by detector 1807.
  • the control unit 1816 executes a process of detecting a defect of the wafer 1805 based on the control information.
  • the secondary electron beam image includes not only the contribution from the secondary electron beam but also the contribution from the reflected electrons.
  • a deflection electrode 1811 for deflecting the incident angle of the primary electron beam to the wafer 1805 by an electric field or the like is interposed between the objective lens 1810 and the wafer 1805.
  • the deflection electrode 1811 is connected to the deflection electrode 1811 which controls the electric field of the deflection electrode.
  • the deflection controller 1812 is connected to the control unit 1816, and the deflection electrode 1811 generates an electric field corresponding to a command from the control unit 1816. Control.
  • the deflection controller 1812 can be configured as a voltage control device that controls the voltage applied to the deflection electrode 1811.
  • the detector 1807 may have any configuration as long as the secondary electron beam image formed by the electrostatic lens 1806 can be converted into a signal that can be post-processed.
  • the detector 1807 is composed of a multi-channel plate 1805, a phosphor screen 1852, a relay lens 1854, and a number of CCDs. And an image sensor 18 composed of elements.
  • the multi-channel plate 1850 has a number of channels in the plate, and a larger number of secondary electrons imaged by the electrostatic lens 1806 are passed through the channels. Generate electrons. That is, the secondary electron beam is amplified.
  • the phosphor screen 1852 converts the secondary electron beam into light by emitting fluorescence with the amplified secondary electron beam.
  • the relay lens 1854 transfers this fluorescence to the CCD image sensor 1856.
  • the CCD image sensor 1856 converts the intensity distribution of the secondary electrons on the wafer 1805 surface into an electric signal for each element, that is, digital image data, and outputs it to the control unit 1816.
  • control unit 1816 can be configured by a general-purpose personal convenience store or the like.
  • This computer has a control unit main body 1814 that executes various controls and arithmetic processing according to a predetermined program, a CRT 1815 that displays the processing results of the main body 1814, and an operator to input commands.
  • the control unit 1816 may be provided with an input unit 1818 such as a keypad mouse.
  • hardware dedicated to the defect inspection apparatus or a workstation may be used.
  • the control section main body 18 14 is composed of various control boards such as CPU, RAM, ROM, hard disk, and video board (not shown).
  • a memory such as a RAM or a hard disk
  • a secondary electron beam image storage area for storing an electric signal received from the detector 1807, that is, digital image data of a secondary electron beam image of the wafer 1805. 1 808 is assigned.
  • a reference image storage section 1813 in which reference image data of a wafer having no defect is stored in advance.
  • secondary electron beam image data is read out from the storage area 1808, and the wafer 1805 is read in accordance with a predetermined algorithm based on the image data.
  • a defect detection program 1809 for automatically detecting defects is stored.
  • This defect detection program 1809 matches the reference image read from the reference image storage section 1813 with the actually detected secondary electron beam image, as will be described in detail later.
  • the secondary overcurrent generation image 1817 may be displayed on the display section of the CRT 1815.
  • the wafer 1805 to be inspected is set on the stage 1804 (step Step 1). This may be a mode in which a large number of wafers 1805 stored in a loader (not shown) are automatically set on the stage 1804 one by one.
  • images of a plurality of regions to be inspected displaced from each other while partially overlapping on the XY plane of the wafer 1805 surface are obtained (step 1904).
  • the plurality of inspection areas to be image-acquired are, for example, reference numbers 1832a and 1832b18 on the wafer inspection surface 1834 as shown in FIG.
  • FIG. 51 16 images (inspection images) of 16 inspection regions are acquired.
  • the rectangular cells correspond to one pixel (or a block unit larger than the pixel may be used), and the black cells represent the image portion of the pattern on the wafer 1805. Is equivalent to The details of this step 1904 will be described later with reference to the flowchart of FIG.
  • step 1904 the image data of the plurality of inspected regions acquired in step 1904 are compared with the reference image data stored in the storage unit 1813, respectively (see step 190 in FIG. 52). 8) It is determined whether or not the wafer inspection surface covered by the plurality of inspection areas has a defect. In this step, a so-called matching process between image data is executed, and details thereof will be described later with reference to a flowchart of FIG. If it is determined from the comparison result of step 1908 that there is a defect on the wafer inspection surface covered by the plurality of inspection areas (step 1912 affirmative determination), an operator is warned of the presence of the defect. (Steps 1918).
  • a message indicating the presence of a defect may be displayed on the display section of the CRT 1815, or an enlarged image 1817 of the pattern having the defect may be displayed at the same time.
  • a defective wafer may be immediately taken out of the sample chamber 1803 and stored in a storage location different from the defect-free wafer (step 1919).
  • step 1912 negative determination If it is determined that there is no defect on the wafer 1805 as a result of the comparison processing in step 1908 (step 1912 negative determination), the wafer to be inspected is now 1808 It is determined whether the area to be inspected still remains for Step 1 9 1 4). If the area to be inspected remains (step 1914 affirmative), the stage 1804 is driven so that the wafer 1 is moved so that another area to be inspected is within the irradiation area of the primary electron beam. Move 805 (Step 1916 ) c After that, return to Step 902 and repeat the same process for the other inspection area.
  • the wafer 1805 to be inspected is It is determined whether or not the wafer is the last wafer, that is, whether or not an uninspected wafer remains in a loader (not shown) (step 1920). If the wafer is not the final wafer (Step 1920, negative determination), the inspected wafer is stored in the specified storage location, and a new uninspected wafer is set on stage 1804 instead (Step 19). twenty two ) . Thereafter, the flow returns to step 1902, and the same processing is repeated for the wafer. If it is the last wafer (Step 19220: YES), the inspected wafer is stored in a predetermined storage location, and the entire process is completed.
  • the image number i is set to an initial value 1 (step 1930).
  • This image number is an identification number sequentially assigned to each of the plurality of inspected region images.
  • the image position on the inspection area of the set image number i (X:, Y 5) determining (Step 1 9 3 2).
  • This image position is defined as a specific position in the region for defining the inspection region, for example, a center position in the region.
  • 1 1
  • the image position (X i, Y) is obtained, which corresponds to, for example, the center position of the inspection area 1832 a shown in FIG.
  • the image position is determined in advance, and is stored, for example, on the hard disk of the controller 1816, and is read out in step 1932.
  • the primary electron beam passing through the deflection electrode 1811 in FIG. 50 is irradiated onto the inspection image area at the image position (Xi, Y,) determined in step 1932,
  • the deflection controller 18 1 2 applies a potential to the deflection electrode 18 1 1 (steps 19 in Fig. 53). 34).
  • the primary electron beam is emitted from the electron beam source 2501, and passes through the electrostatic lens 1802, the Vienna fill 1800, the objective lens 1810, and the deflection electrode 1811. Then, the surface of the set wafer 1805 is irradiated (step 1936).
  • the primary electron beam is deflected by the electric field generated by the deflecting electrode 1811 and is irradiated over the entire inspection image area at the image position (X ;, Y;) on the wafer inspection surface 1834.
  • the test area is 1832a.
  • a secondary electron beam and / or a reflected electron beam (hereinafter, collectively referred to as “secondary electron beam”) is emitted from the inspection area irradiated with the primary electron beam. Therefore, the generated secondary electron beam is detected at a predetermined magnification by the electrostatic lens 1806 of the magnifying projection system.
  • the detector 1807 detects the formed secondary electron beam and converts it into an electric signal for each detecting element, that is, digital image data and outputs it (step 190).
  • the digital image data of the detected image number i is transferred to the secondary electron beam image storage area 1808 (step 1940).
  • the image number i is incremented by 1 (step 1 942), increment the image number (i + 1) is you determine whether exceeds the predetermined value i MAX (step 1 944).
  • This i MAX is the number of images to be inspected to be acquired, and is “16” in the above-described example of FIG.
  • the flow returns to step 1932 again, and the image position (X i + 1 , ⁇ ) is determined for the incremented image number (i + 1).
  • ⁇ + 1 ) is determined again.
  • This image position is a position shifted from the image position (Xi, Y;) determined in the previous routine by a predetermined distance ( ⁇ , ⁇ Y;) in the X direction and the Z or Y direction.
  • the value of (2,... I MAX ) is based on data on how much the pattern 1830 on the wafer inspection surface 1834 actually deviates from the field of view of the detector 1807, and the area to be inspected. Can be determined as appropriate from the number and area of.
  • the processing of steps 1932 to 1942 is sequentially and repeatedly executed for i MA x inspection areas.
  • these inspection areas are the inspection surface 18 3 of the wafer so that the inspection image area 18 32 k at the image position (X k , Y k ) moved k times. On 4 above, the position is shifted while partially overlapping. In this way, the 16 image data to be inspected illustrated in FIG. 51 are acquired in the image storage area 1808.
  • the acquired images 18 3 2 of the plurality of inspection areas are images 18 3 of the patterns 18 3 on the wafer inspection surface 18 34. It can be seen that 0a is partially or completely incorporated.
  • Step 1 9 4 4 affirmative constant determination
  • the main routine returns the Sapuru one Chin (Step 1 9 0 8) Transition.
  • the image data transferred to the memory in step 1940 is composed of secondary electron intensity values (so-called solid data) for each pixel detected by the detector 1807. Since the matching calculation is performed with the reference image in (Step 1908 in FIG. 52), the data can be stored in the storage area 8 after various calculation processes are performed.
  • Such arithmetic processing includes, for example, normalization processing for matching the size and Z or density of image data to the size and Z or density of reference image data, and an isolated pixel group having a predetermined number of pixels or less. For example, there is a process for removing noise as noise.
  • the data may be subjected to data compression conversion to a feature matrix in which the features of the detected pattern are extracted within a range that does not reduce the detection accuracy of the high-definition pattern.
  • a feature matrix for example, a two-dimensional inspection area consisting of MXN pixels is divided into mxn (m x M, n ⁇ N) blocks, and the secondary electron beam intensity values of the pixels included in each block.
  • the mxn feature matrix, etc. which is the sum of (or the normalized value obtained by dividing this sum by the total number of pixels of the entire inspection area) is used as each matrix component.
  • the reference image data is also stored in the same expression.
  • the image data referred to in the first embodiment is not only simple data but also an image whose features are extracted by an arbitrary algorithm. Includes image data.
  • the CPU of the control section 1816 reads out the reference image data from the reference image storage section 1813 (FIG. 50) onto a working memory such as a RAM (step 195).
  • This reference image is represented by reference numeral 1836 in FIG.
  • the image number i is reset to 1 (step 1952), and the image data to be inspected of the image number i is read from the storage area 1808 into the working memory (step 1954). .
  • the distance value D i represents the similarity between the reference image and the image to be inspected i.
  • the larger the distance value the greater the difference between the reference image and the image to be inspected.
  • Any value representing the similarity can be adopted as the distance value Di.
  • the image data consists of MXN pixels
  • the secondary electron beam intensity (or feature amount) of each pixel is regarded as each position vector component in the MXN dimensional space, and the reference image vector in this MXN dimensional space is used.
  • the Euclidean distance or correlation coefficient between the image i vector and the i vector may be calculated.
  • the distance value between the image data represented by the m ⁇ n feature vectors may be calculated as described above.
  • This threshold Th is experimentally determined as a criterion for determining a sufficient match between the reference image and the image to be inspected.
  • Step 1 9 5 8 Yes determination the said inspection surface 1 8 3 4 of the wafer 1 8 0 5 determines that "no defect" (Sutetsu flop 1 960), this subroutine is returned. That is, if at least one of the inspected images substantially matches the reference image, it is determined that there is no defect. Since there is no need to perform matching with all the images to be inspected, high-speed determination is possible. Become. In the example of FIG. 51, it can be seen that the image to be inspected in the third row and the third column substantially matches the reference image without any displacement.
  • step 1958 negative determination
  • the image number i is incremented by 1 (step 1962), and the incremented image number (i + 1) It is determined whether or not exceeds a fixed value i MAX (step 1964). If the image number i does not exceed the fixed value i MAX (step 1964, negative determination), the process returns to step 1954 again, reads out the image data for the incremented image number (i + 1), and performs the same processing. repeat.
  • the inspection surface 1834 of the wafer 1805 is determined to be “defective” (step 1966) ), Return this subroutine. That is, if all of the images to be inspected do not substantially match the reference image, it is determined that there is a defect.
  • the defect inspection apparatus can be used in the wafer inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b). .
  • defects can be inspected with high accuracy without image defects in the secondary electron image, thereby improving product yield and preventing shipment of defective products. It becomes possible.
  • the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and the description thereof will be omitted.
  • the 12th embodiment of the present invention is not limited to only the items described so far, but can be arbitrarily changed.
  • a semiconductor wafer 1805 was taken as an example of a sample to be inspected, but the sample to be inspected of the present invention is not limited to this, and any sample that can detect a defect by an electron beam is used. Can be selected.
  • a mask or the like on which an exposure pattern on a wafer is formed can be used as an inspection target.
  • the 12th embodiment of the present invention is applicable to any device capable of acquiring an image capable of inspecting a sample for defects.
  • the deflection electrode 1811 can be placed not only between the objective lens 1810 and the wafer 1805 but also at any position as long as the irradiation area of the primary electron beam can be changed. Wear. For example, there is between the Vienna Filler 1803 and the objective lens 1801, between the electron beam source 1801 and the Vienna Filler 1803, and the like. Furthermore, by controlling the field generated by the Wien filter 1803, the deflection direction may be controlled. That is, the function of the deflection electrode 1811 may also be used for the Wien filter 1803.
  • first and second embodiments when matching between image data is performed, either matching between pixels or matching between feature vectors is performed.
  • both can be combined.
  • high-speed matching is performed using a feature vector with a small amount of computation, and as a result, for an image to be inspected with a high degree of similarity, matching is performed with more detailed pixel data. Accuracy and compatibility can be achieved.
  • the positional deviation of the image to be inspected is dealt with only by the positional deviation of the irradiation area of the primary electron beam.
  • the optimal matching area on the image data before or during the matching processing is adjusted. It is also possible to combine the present invention with the process of searching for (for example, detecting and matching regions having a high correlation coefficient). According to this, a large positional deviation of the image to be inspected can be dealt with by the positional deviation of the irradiation area of the primary electron beam according to the present invention, and a relatively small positional deviation can be absorbed by the digital image processing in the subsequent stage. The accuracy of defect detection can be improved.
  • FIG. 50 is shown as an electron beam device for defect inspection, the electron optical system and the like can be arbitrarily and suitably changed.
  • the electron beam irradiating means (1801, 1802, 1803) of the defect inspection apparatus shown in the figure receives a primary electron beam from above perpendicularly to the surface of the wafer 1805.
  • the Wien filter 1803 may be omitted, and the primary electron beam may be obliquely incident on the surface of the wafer 1805.
  • the processing according to the flowchart in FIG. 52 is not limited to the processing described in the figure.
  • the defect inspection of other areas is not performed.
  • the processing flow may be changed as described above.
  • the irradiation area of the primary electron beam can be expanded to cover almost the entire inspection area of the sample with one irradiation, steps 1914 and 1916 can be omitted.
  • predetermined voltage As described above, the first to the 12th embodiments of the present invention have been described in detail, but in any of the embodiments, the term “predetermined voltage” and measurement such as inspection are performed. It means voltage.
  • an electron beam is used as a charged particle beam.
  • the present invention is not limited to this.
  • uncharged particle beams such as light and electromagnetic waves.
  • the target substance When the charged particle beam device according to the present invention is operated, the target substance floats and is attracted to the high-pressure region due to the proximity interaction (particle charging near the surface), and is used for forming and deflecting the charged particle beam.
  • Organic materials are deposited on various electrodes. Organic substances that gradually accumulate due to surface electrification have an adverse effect on the formation and deflection mechanism of charged particle beams, and such accumulated organic substances must be removed periodically. Therefore, in order to periodically remove the deposited organic substance, hydrogen, oxygen or fluorine and their alternatives HF, H 20 are used in a vacuum using an electrode near the area where the organic substance is deposited.
  • C M F N produces a plasma, such as by keeping the plasma potential in the space sputtering the electrode surface evening occurs potential (several k V, for example 2 0 V ⁇ 5 k V), the oxidation only organic substances , Hydrogenation and fluorination are preferred.
  • the present invention as understood from the first embodiment, by providing a detection device using a charged particle beam, it is possible to significantly improve the throughput as compared with the related art.
  • the overall configuration of a projection projection type inspection system using charged particle beams can be obtained. Inspection objects can be processed in throughput.
  • Inspection of the inspection target while monitoring dust in the mini-environment space by monitoring the dust in the mini-environment space by supplying a clean gas to the inspection target to prevent dust from adhering and providing a sensor for observing cleanliness. Can be.
  • the inspection target can be supplied to the stage device and inspected without being affected by the external environment.
  • the electrode or a part of the electrode is coated with a metal having a work function of 5 eV or more, the secondary charged particle beam is hardly emitted from the electrode, and the discharge is less likely to occur between the electrodes. Insulation rupture is reduced in the
  • the electrode or part of the electrode is coated with platinum (work function: 5, 3 [eV]) or an alloy containing platinum as a main material, secondary charged particle beams may be emitted from the electrode. Discharge between the electrodes is less likely to occur, and dielectric breakdown occurs between the electrodes. Less likely to be
  • At least one of the electrodes has a first electrode surface and a second electrode surface, and a step is provided between these electrode surfaces so that the surface of the insulating material is processed into a crease shape or the like. Processing cost is low,
  • the present invention has a special effect that the influence of chromatic aberration generated in the EXB separator by the energy distribution of the primary charged particle beam or the secondary charged particle beam can be reduced. Play.
  • the charged particle beam scanning and detection system can be focused only by adjusting the low voltage, the settling time can be reduced, that is, focusing can be performed in a short time.
  • a piezoelectric element is mounted on the mechanical structure so as to receive a force due to the vibration of the mechanical structure, and a vibration damping circuit for attenuating electric energy output from the piezoelectric element is electrically connected to the piezoelectric element. Because of the connection, unnecessary vibrations due to the resonance of the structure that positions the beam can be appropriately attenuated so that beam positioning can be maintained with high accuracy without necessarily increasing the rigidity of the structure.
  • the voltage required for holding and holding the wafer is applied in conjunction with the voltage applied to the wafer, so that the wafer can be securely held until the inspection of the wafer is completed. Can be held by suction,
  • the electrostatic chuck of the present invention By using the electrostatic chuck of the present invention and the combination of the wafer and the electrostatic chuck in the device manufacturing method, the wafer is reliably attracted and held by the electrostatic chuck of the moving table during the inspection, and the semiconductor having a fine pattern is formed. Devices can also be inspected at high throughput, and therefore 100% inspection is possible, improving product yield and preventing defective products from being shipped.
  • the stage device can exhibit high-precision positioning performance in a vacuum, and the pressure at the charged particle beam irradiation position does not easily rise. That is, the load on the sample Electron particle beam processing can be performed with high accuracy.
  • the inside of the vacuum chamber is divided into a charged particle beam irradiation chamber, a static pressure bearing chamber, and an intermediate chamber via a small conductance, and the pressure in each chamber is charged in ascending order. Since the vacuum exhaust system is configured to be a particle beam irradiation chamber, an intermediate chamber, and a static pressure bearing chamber, the pressure fluctuation to the intermediate chamber is further suppressed by the partition, and the pressure fluctuation to the charged particle beam irradiation chamber is It is further reduced by another partition, and it becomes possible to reduce the pressure fluctuation to a level that is substantially no problem.
  • the pressure rise when the stage moves can be kept low.
  • An inspection device with high stage positioning performance and stable vacuum degree in the irradiation area of the charged particle beam can be realized, providing an inspection device with high inspection performance and no risk of contaminating the sample. can do,
  • An exposure system with high stage positioning performance and stable vacuum degree in the charged particle beam irradiation area can be realized, providing an exposure system with high exposure accuracy and no risk of contamination of the sample. can do,
  • Microfabrication of semiconductor circuits can be achieved by manufacturing semiconductors using equipment that has high-precision stage positioning performance and a stable vacuum degree in the charged particle beam irradiation area.
  • stage a stage supporting a hydrostatic bearing without a differential pumping mechanism
  • Processing with charged particle beams can be performed stably.
  • stage a stage supporting a hydrostatic bearing without a differential pumping mechanism
  • Fine semiconductor circuits can be formed by manufacturing semiconductors using equipment that has high-precision stage positioning performance and a stable degree of vacuum in the charged particle beam irradiation area.
  • the throughput can be improved to a multiple proportional to the number of optical systems.

Description

明 細 書 シートビーム式検査装置 技術分野
半導体プロセスにおいて、 デザィンルールは 1 0 0 nmの時代を迎えようと しており、 また生産形態は D RAMに代表される少品種大量生産から S O C (S i l i c o n o n c h i p) のように多品種少量生産へ移行しつつあ る。 それに伴い、 製造工程数が増加し、 各工程毎の歩留まり向上は必須となり- プロセス起因の欠陥検査が重要になる。 本発明は、 半導体プロセスにおける各 工程後のウェハの検査のためのシートビーム式検査装置に好適な荷電粒子線に 関し、 具体的には、 電子線などの荷電粒子線を用いたシートビーム式検査装置 並びに該検査装置を用いた半導体デバイス製造方法及び露光方法に関する。 背景技術
半導体デバイスの髙集積化、 パターンの微細化に伴い、 高分解能、 高スルー プッ トの検査装置が要求されている。 1 0 0 nmデザィンルールのウェハ基板 の欠陥を調べるためには、 1 0 0 nm以下の分解能が必要であり、 デバイスの 高集積化による製造工程の増加により、 検査量が増大するため、 高スループッ 卜が要求されている。 また、 デバイスの多層化が進むにつれて、 層間の配線を つなぐピアのコンタクト不良 (電気的欠陥) を検出する機能も、 検査装置に要 求されている。 現在は主に光方式の欠陥検査装置が使用されているが、 分解能 及び、 コンタクト不良検査の点では、 光方式の欠陥検査装置に代わって、 電子 線を用いた欠陥検査装置が今後、 検査装置の主流になると予想される。 ただし、 電子線方式の欠陥検査装置にもスループッ トの点で光方式に劣るという弱点が ある。
このため、 高分解能、 高スループッ ト且つ電気的欠陥検出が可能な検査装置 の開発が要求されている。 光方式での分解能は使用する光の波長の 1 / 2が限 界と言われており、 実用化されている可視光の例では 0. 2 im程度である。 一方、 電子線を使用する方式では、 走査型電子線方式 (S EM方式) が実用化 されており、 分解能は 0. 1 nm、 検査時間は 2 0 c mウェハの場合には 8時 間/枚である。 電子線方式はまた、 電気的欠陥 (配線の断線、 導通不良、 ビア の導通不良等) も検査可能であることが大きな特徴である。 しかし、 検査時間 は非常に遅く、 検査速度の速い欠陥検査装置の開発が期待されている。
一般に、 検查装置は高価でありまたスループッ 卜も他のプロセス装置に比べ て低いために、 現状では重要な工程の後、 例えばエッチング、 成膜または CM P (化学機械研磨) 平坦化処理後等に使用されている。 ここで、 電子線を用い た走査 (S EM) 方式の検査装置について説明すると、 S EM方式の検査装置 は電子線を細く絞って走査してライン状に試料を照射する。 この電子線径が分 解能に相当する。 一方、 ステージを電子線の走査方向に直角の方向に移動させ ることにより、 平面状に観察領域を電子線で照射する。 電子線の走査幅は一般 に数 1 0 0 である。 前記の細く絞られた電子線 (これを一次電牛線と呼 ぶ) の照射により試料から発生した二次電子線を検出器、 例えば、 シンチレ一 夕とフォ トマルチプライヤ (光電子増倍管) 又は半導体方式の検出器 (P I N ダイオード型) との組み合わせで検出する。 照射位置の座標と二次電子線の量 (信号強度) を合成して画像化し、 記憶装置に記憶し、 あるいは CRT (ブラ ゥン管) 上に画像を出力する。
以上は S EM (走査型電子顕微鏡) の原理であり、 この方式で得られた画像 から工程途中の半導体 (通常は S i ) ウェハの欠陥を検出する。 スループッ ト に相当する検査速度は一次電子線の量 (電流値) 、 ピ一ム径、 検出器の応答速 度で決まる。 ビーム径 0. 1 /im (分解能と同じと考えてよい) 、 電流値 1 0 0 nA、 検出器の応答速度 1 00 MH zが現在の最高値で、 この場合での検査 速度は 2 0 cm径のウェハ 1枚あたり約 8時間と言われている。
上で説明した S EM方式の検査装置では、 上記の検査速度がほぼ限界と考え られており、 更に高速にする、 すなわちスループッ トを上げるためには新しい 方式が必要である。 発明の開示
本発明は、 シートピーム式検査装置に好適な電子線装置並びに該装置を用い た半導体デバイス製造方法及び露光方法に関する。
本発明の第 1の実施の形態は、 写像投影方式の電子線装置を提供する。 その ため、 第 1の実施の形態は、
特定幅を有する電子線を照射するビーム発生手段と、
前記荷電粒子線を検査対象となる基板表面に到達させる一次電子光学系と、 前記基板から発生した二次電子線を補足し画像処理系へ導く二次電子光学系 と、
前記基板を少なくとも一自由度の連続性をもって移送可能に保持するステー ジと、'
前記基板の検査室と、
前記検査室に基板を搬入出する基板搬送機構と、
前記基板の欠陥を検出する画像処理解析装置と、
前記検査室の除振機構と、
前記検査室の真空を保持する真空系と、
前記基板の欠陥位置を表示又は記憶する制御系と、
を具備することを特徴とする基板検査装置、 基板検査方法および'こうした基板 検査装置を用いたデバイス製造方法、
を提供する。
本発明の第 2の実施の形態は、 電子線を検査対象に照射して前記検査対象を 検査する検査装置に好適な電子線装置および該電子線装置を用いたデバイス製 造方法を提供する。
本発明の第 2の実施の形態は、
電子線源からの一次電子線を前記検査対象に照射し、 前記一次電子線の照射 により放出された二次電子線の像を投影する電子光学系及び前記電子光学系に より投影された二次電子線像を検出する検出器を有する電子光学装置と、 前記検査対象を保持して前記電子光学系に関して相対的に移動させるステー ジ装置と、
清浄気体を前記検査対象に流して前記検査対象への塵埃の付着を阻止するミ 二エンバイロメント装置と、
前記ステージ装置を収容しておりかつ真空雰囲気に制御可能になっているヮ 一キングチヤンバと、
前記ミニエンバイロメント装置と前記ワーキングチヤンパとの間に配置され ていて、 それぞれ独立して真空雰囲気に制御可能になっている少なくとも二つ のローディングチヤンバと、
前記ミニエンバイロメント装置と前記ローディングチヤンバの一つ内との間 で前記検査対象を移送可能な搬送ュニット及び前記一つのローディングチャン バ内と前記ステージ装置上との間で前記検査対象を移送可能な別の搬送ュニッ トを有するローダ一と、 を備え、
前記ワーキングチャンバ及びローディングチャンバが振動遮断装置を介して 支持されるよう構成されている検査装置、
を提供する。
また、 本発明の第 2の実施の形態は、
電子線源からの一次電子線を前記検査対象に照射し、 前記一次電子線の照射 により放出された二次電子線を減速電界型対物レンズで加速してその像を投影 する電子光学系、 前記電子光学系により投影された二次電子線像を検出する検 出器及び前記減速電界型対物レンズと前記検査対象との間に配置されていて前 記検査対象の前記一次電子線の照射面における電界強度を制御する電極を有す る電子光学装置と、
前記検査対象を保持して前記電子光学系に関して相対的に移動させるステー ジ装置と、
前記ステージ装置を収容しておりかつ真空雰囲気に制御可能になっているヮ —キングチャンバと、
前記ワーキングチャンバ内の前記ステージ装置上に前記検査対象を供給する ローダ—と、 前記ワーキングチャンバ内に配置された前記検査対象に電子線を照射して前 記検査対象の帯電むらを減少する、 あるいは意図的に帯電させるプレチャージ ュニッ ト及び前記検査対象に電位を印加する電位印加機構と、 を備え、 前記ワーキングチヤンバが振動遮断装置を介して支持された支持装置により 支持されるよう構成されている検査装置、
を提供する。
上記検査装置においては、 前記ローダーが、 それぞれが独立して雰囲気制御 可能になっている第 1のローディングチヤンバ及び第 2のローディングチヤン バと、 前記検査対象を第 1のローディングチャンバ内とその外部との間で搬送 する第 1の搬送ュニットと、 前記第 2のローディングチャンバに設けられてい て前記検査対象を前記第 1のローディングチャンバ内と前記ステージ装置上と の間で搬送する第 2の搬送ユニッ トとを備え、 前記電子線装置が、 更に、 前記 ローダーにより搬送される検査対象に清浄気体を流して塵埃の付着を阻止する ための仕切られたミニエンバイロメント空間を更に備え、 前記ローディングチ ャンバと前記ワーキングチャンバとが振動遮断装置を介して支持装置により支 持されていてもよい。
また、 上記検査装置において、 更に、 前記電子光学系に対する前記検査対象 の位置決めのために前記検査対象の表面を観察してァライメントを制御するァ ライメン卜制御装置と、 前記ステージ装置上の前記検査対象の座標を検出する レーザ干渉測距装置とを備え、 前記ァライメント制御装置により検査対象に存 在するパターンを利用して検査対象の座標を決めてもよく、 この場合、 前記検 查対象の位置合わせは、 前記ミニエンバイロメント空間内で行われる粗位置合 わせと、 前記ステージ装置上で行われる X Y方向の位置合わせ及び回転方向の 位置合わせとを含んでいてもよい。
さらに、 本発明の第 2の実施の形態は、 こうした検査装置を用いてプロセス 途中又はその後のウェハの欠陥を検出するデバイス製造方法をも提供する。 本発明の第 3の実施の形態は、 複数の電子線源から放出された電子線を電子光学系を介して試料の面上に結 像させる電子線装置であって、
前記電子線源と前記電子光学系とを分離する仕切板を備えてなり、 該仕切板 が前記電子線を通過させるためのアスペクト比の大きい穴を有する
ことを特徴とする電子線装置、
を提供する。
前記穴は、 それぞれの前記電子線源に対して 2個以上設けられ得る。 それぞ れの前記穴は、 前記電子線源の光軸から外れた位置に形成されている。 前記仕 切板を剛性の高い材料で形成して、 前記仕切板に対して前記電子線源及び前記 電子光学系を取り付けることが好ましい。
また、 本発明の第 3の実施の形態は、 上記電子線装置を用いて、 プロセス途 中のウェハの評価を行うデバイス製造方法をも提供する。
本発明の第 4の実施の形態は、
静電レンズを含む静電光学系によって電子線を試料に入射させ、 前記電子線 の入射により前記試料から発生した二次電子線を検出してデータを形成し、 前 記データに基づいて前記試料を評価する評価装置において、
前記静電光学系内の電極を仕事関数が 5 e V以上の金属でコーティングした ことを特徴とする評価装置、
を提供する。
この評価装置によれば、 電極、 又は、 電極の一部を仕事関数が 5 e V以上の 金属でコーティングしたので、 電極から二次電子線が放出されることが少なく、 電極間において放電が生じにくくなり、 電極間の絶縁破壊が起きることが少な くなる。
前記静電光学系内の電極をコーティングする金属は、 白金、 又は、 白金を主 材料とする合金であることが好ましい。 この場合、 電極又は電極の一部を白金 (仕事関数: 5 . 3 [ e V ] ) 又は白金を主材料とする合金でコ一ティングす ると、 電極から二次電子線が放出される量が少なく、 電極間において放電が生 じにくくなり、 電極間の絶縁破壊が起きることが少なくなる。 また、 試料が半 導体ウェハであっても、 半導体ウェハのパターン上に、 電極にコーティングさ れた白金が付着することがあってもトランジスタを劣化させることもないので. 半導体ウェハの検査に好適である。
本発明の第 4の実施の形態は、
静電レンズを含む静電光学系によって電子線を試料に入射させ、 前記電子線 の入射により前記試料から発生した二次電子線を検出してデータを形成し、 前 記データに基づいて前記試料を評価する評価装置において、
前記静電レンズは、 電位差のある少なくとも 2つの電極と前記少なくとも 2 つの電極の間にあって前記少なくとも 2つの電極を支持する絶縁材料とを含み、 前記少なくとも 2つの電極のうち少なくとも一方の電極は、 前記少なくとも 2つの電極間において最短の電極間距離となる第 1の電極面と、 前記第 1の電 極面よりも電極間距離が長い第 2の電極面と、 前記第 1の電極面と前記第 2の 電極面との間に前記少なくとも 2つの電極間方向の段差とを有し、
前記絶縁材料は、 前記少なくとも 2つの電極間において前記第 2の電極面と 他方の電極の電極面を略垂直に支持し、 前記絶縁材料の前記少なく とも 2つの 電極間における最短沿面距離は、 支持された電極部分における電極間距離と略 同じ長さであることを特徴とする評価装置、
をも提供する。
この評価装置によれば、 沿面距離の長い絶縁材料によって電極を支持するこ とによって、 電極間の放電を、 したがって電極間の絶縁破壊を生じにくくでき る。 更に、 少なくとも一方の電極の形状を、 第 1の電極面と第 2の電極面とこ れら電極面の間に段差を設けるようにすることによって、 絶縁材料表面をヒダ 形状等に加工することがなく、 加工費用が安価になる。
また、 絶縁材料の電極間における最短沿面距離は、 支持された電極部分にお ける電極間距離と略同じ長さであるので、 電極間における絶縁材料表面にはほ とんと凹凸部分が無く、 絶縁材料からの放出ガスが多くなることも無い。 よつ て、 装置のビーム通路の真空度を下げることもない。
前記静電光学系内の電極をコーティングする金属は、 白金又は白金を主材料 とする合金であることが好ましい。 電極又は電極の一部を白金又は白金を主材 料とする合金でコーティングすることにより、 電極間において放電が、 したが つて電極間で絶縁破壊が生じることが少なくなる。 また、 試料が半導体ウェハ であっても、 半導体ウェハの表面上に、 電極にコーティングされた白金が付着 することがあってもデバイス性能を劣化させることもないので、 半導体ウェハ の検査に好適である。
さらに、 本発明の第 4の実施の形態は、
上記の評価装置を用いたデバイス製造方法であって、 デバイス製造途中にお いて前記評価装置を用いて前記試料であるところの半導体ウェハのパターンを 評価することを特徴とするデバイス製造方法、
をも提供する。
このデバイス製造方法によれば、 デバイス製造途中において評価装置を用い ることによって、 試料であるところの半導体ウェハのパターンを評価しても、 静電光学系内の電極が絶縁破壊することなく評価することができる。
本発明の第 5の実施の形態は、
一次光学系によって試料に一次電子線を照射し、 試料から放出された二次電 子線を E X B分離器で一次光学系から分離して二次光学系に導く電子線装置に おいて、
二次電子線の上記 E X B分離器の磁場による偏向量を電場による偏向量の 2 倍とし、 且つ、 前記磁場による偏向方向と前記電場による偏向方向とを逆とし たことを特徴する電子線装置、
を提供する。
この電子線装置は、 一次光学系によって一次電子線を試料に照射し、 試料か ら放出された二次電子線を E X B分離器で一次光学系から分離して二次光学系 に導く電子線装置において、 二次電子線の E X B分離器の磁場による偏向量を 電場による偏向量の 2倍且つ偏向方向を逆としたことを特徴とする。
本発明の第 5の実施の形態は、
一次光学系によって一次電子線を試料に照射し、 該試料から放出された二次 電子線を E X B分離器により一次光学系から分離して二次光学系に導く電子線 装置において、 一次電子線の前記分離器の磁場による偏向量を電場による偏向 量の 2倍とし、 且つ、 前記磁場による偏向方向と前記電場による偏向方向とを 逆としたことを特徴とする電子線装置、
をも提供する。
この電子線装置は、 一次光学系によって試料に一次電子線を照射し、 試料か ら放出された二次電子線を E X B分離器により一次光学系から分離して二次光 学系に導く電子線装置において、 E X B分離器による一次電子線の磁場による 偏向量が電場による偏向量の 2倍であり且つ偏向方向が逆であるようにしたこ とを特徴とする。
この場合、 前記一次光学系によって複数のビームからなる一次電子線を形成 して試料面を照射し、 前記複数のビームからなる一次電子線の照射により前記 試料から放出された二次電子線を複数の二次電子線検出器で検出することが好 ましい。
上記の電子線装置は、 欠陥検査装置、 線幅測定装置、 合わせ精度測定装置及 び高時間分解能の電位コントラスト測定装置のいずれにも使用可能である。 また、 本発明の第 5の実施の形態は、 上記の電子線装置を用いてプロセス途 中の半導体デバイスを検査することを特徴とするデバイス製造方法をも提供す る。
本発明の第 6の実施の形態は、
電子線装置であって、
前記対物レンズの少なくとも 3つの励起電圧に関して、 前記第 1の方向に平 行なパターン ·エッジを前記第 2の方向に走査したときの二次電子線信号波形 の立ち上がりを表す第 1のデータと、 前記第 2の方向に平行なパターン . エツ ジを前記第 1の方向に走査したときの二次電子線信号波形の立ち上がりを表す 第 2のデ一夕とを測定する測定手段と、
前記第 1のデータと前記第 2のデータとをそれぞれ二次式で近似し、 それぞ れの前記二次式の最小値を示す前記対物レンズの励起条件を求める手段と、 前記対物レンズを、 求められた前記励起条件の代数平均に合せる手段と、 を具備したことを特徴とする電子線装置、
を提供する。
複数の上記電子線装置を、 前記試料に対して配置し、 前記複数の一次電子線 のそれぞれを、 対応の前記対物レンズにより、 同時に且つ前記試料の異なる場 所に集束させることも可能である。
更に、 前記パターンが帯電している状態で、 前記励起手段によって前記対物 レンズを前記代数平均に等しい電圧で励起した後に非点収差を補正し、 その後、 前記パターンの評価を行う手段を備えることが好ましい。
また、 本発明の第 6の実施の形態は、
対物レンズを含む電子光学系により電子線を集束させ、 パターンを前記電子 線で走査することにより、 該パターンの評価を行う電子線装置であって、 前記対物レンズは、 アースに近い電庄が印加される第 1の電極と、 アースか ら遠い電圧が印加される第 2の電極とを備え、
前記第 1の電極に印加される電圧を変化させることによって、 前記対物レン ズの焦点距離を変化させることができ、
前記励起手段が、 前記対物レンズの焦点距離を大きく変化させるために前記第
2の電極に印加する電圧を変える手段と、 前記焦点距離を短時間で変化させる ために前記第 1の電極に印加する電圧を変える手段とを備える
ことを特徴とする電子線装置、
を提供する。 .
本発明の第 6の実施の形態は、 上記の電子線装置を用いてプロセス途中の半 導体ウェハの評価を行うことを特徴とするデバイス製造方法をも提供する。 本発明の第 7の実施の形態は、
電子線を物質に照射することにより、 該物質の加工、 製造、 観測及び検査の うち少なくともいずれかを実行する電子線装置であって、
物質に対する電子線の位置を定める機械構造体と、 機械構造体の振動により 力を受けるように該機械構造体に取り付けられた、 圧電素子と、 圧電素子に電 気的に接続され、 該圧電素子から出力された電気エネルギーを減衰させる、 振 動減衰用回路とを具備する電子線装置、
を提供する。
電子線を物質に照射して該物質の加工、 製造、 観測及び検査のうち少なく と もいずれかを実行するとき、 機械構造体に、 その固有振動の共振周波数の振動 成分を含む外力が加わると、 該機械構造体は、 その伝達関数で定まる共振倍率 でこの振動成分を増幅させて振動する。 この振動は上記圧電.素子に力を加える。 圧電素子は、 機械構造体の振動エネルギーを電気エネルギーに変換して出力す るが、 振動減衰用回路がこの電気エネルギーを減衰させるため、 圧電素子に加 わる外力を相殺するように圧電素子は力を発生することになる。 これにより、 機械共振により発生する振動を相殺し、 共振倍率を下げることが可能となる。 上記機械構造体は、 電子線応用装置で問題となる振動を生じる部分若しくは その全体であり、 電子線を位置決めする任意の機械的構造物である。 例えば、 電子線を物質に結像させるための光学系の光学部品、 このような光学系を収容 する鏡筒、 物質を載置する支持台、 或いは、 電子線を物質に照射したことによ り発生する二次的な電子線を検出器に結像させるための光学系の光学部品、 こ のような光学系を収容する鏡筒、 検出器を収容する鏡筒等である。
上記振動減衰用回路は、 ィンダクタンスを持つ素子若しくは該素子の等価回 路としての誘導性手段を少なくとも備え、 該誘導性手段は、 静電容量を持つ圧 電素子と接続されて共振回路を形成する。 該共振回路の共振周波数は、 機械構 造体の共振周波数に実質的に一致するように、 圧電素子の静電容量に対する誘 導性手段のィンダクタンスが定められている。
更に、 振動減衰用回路内に抵抗素子が備えられることが好ましい。 この場合 には、 共振周波数において、 圧電素子の容量性インピーダンスと誘導性手段の インピーダンスとは相殺され、 共振回路のインピーダンスは、 事実上、 抵抗素 子のみとなる。 従って、 共振時には、 圧電素子から出力される電気エネルギー は、 抵抗素子によりほぼ全部熱エネルギーとして消費される。
本発明の第 7の実施の形態は、 上記の電子線装置を用いて、 半導体デバイス の加工及び製造、 並びに、 加工中又は完成品の半導体デバイスの観測及び検査 のうち、 少なくともいずれかを実行する工程を備えた半導体製造方法をも提供 する。
本発明の第 8の実施の形態によれば、 ウェハを静電的に吸着保持する静電チ ャックは、 ウェハの印加電圧が 0ボルトから所定電圧まで時間とともに増大又 は減少されるものであり、 静電チャックは、 基板、 電極板及び絶縁層を重ねて 成り、 ウェハの印加電圧に連動する電圧が静電チヤックの電極板に印加される ことによりウェハとチャックの間に吸引力が発生する。 この電極板は、 電極板 の中央部分と、 周辺部分の一部から成る第 1電極と電極板の残りの周辺部分か ら成る第 2電極とに分割される。 最初に第 1電極に電圧が印加され、 次にゥェ ハを接地又は低電位とし、 その後に第 2電極に電圧が印加される。
本発明の第 8の実施の形態によれば、 ゥェ八と該ウェハを静電的に吸着保持 する静電チャックとの組合わせにおいて、 静電チャックは、 基板、 電極板及び 絶縁層を重ねて成り、 ウェハは、 所定の抵抗及び接触子を介して電圧を印加さ れ、 接触子は、 ウェハの裏面に先端が接触する針形状又はウェハの側面に刃先 が接触するナイフエツジ形状である。
本発明の第 8の実施の形態は、 静電チヤック又は組合わせを用いてウェハを 吸着保持するデバイス製造方法をも提供する。
本発明の第 9の実施の形態は、
X Yステージに試料を載置し、 該試料を真空中で任意の位置に移動して試料 面に電子線を照射する装置において、
該 X Yステージには、 静圧軸受けによる非接触支持機構と差動排気による真 空シール機構とを設け、
該試料面上の電子線が照射される箇所と、 該 X Yステージの静圧軸受け支持 部との間にコンダク夕ンスが小さくなる仕切りを設け、
電子線照射領域と静圧軸受け支持部との間に圧力差が生じるようにしたこと を特徴とする電子線装置、
を提供する。 この第 9の実施の形態によれば、 試料を載置する X Yステージの支持機構に 静圧軸受けによる非接触支持機構を適用し、 かつ静圧軸受けに使用する高圧ガ スが真空チャンバ内にもれないように静圧軸受けの周囲に作動排気による真空 シール機構を設けることによって、 ステージ装置が真空内で高精度な位置決め 性能を発揮することができ、 更に、 電子線照射位置との間にコンダクタンスを 小さくする仕切りを形成することによって、 ステージのスライ ド部が高圧ガス 部から真空環境へ移動する度にスライ ド部表面に吸着していたガスが放出され ても、 電子線照射位置に該 出ガスが届きにくくなつているため、 電子線照射 位置の圧力が上昇しにくい。 すなわち、 上記の構成をとることによって、 試料 面上の電子線照射位置の真空度を安定させ、 かつステージを高精度に駆動させ ることができるため、 試料表面を汚染することなく、 試料に対する電子線によ る処理を高精度に行うことができる。
前記仕切りは差動排気構造を内蔵することができる。 この場合、 静圧軸受け 支持部と電子線照射領域との間に仕切りを設け、 その仕切りの内部に真空排気 経路を配置して差動排気機能を持たせるので、 静圧軸受け支持部から放出され たガスが仕切りを通過して電子線照射領域側に通過することがほとんどできな い。 これによつて電子線照射位置の真空度を更に安定させることができる。 前記仕切りはコールドトラップ機能を有していてもよい。 この場合、 一般に 1 0— 7 P a以上の圧力領域では、 真空中の残留ガス及び材料表面から放出され るガスの主要成分は水分子である。 したがって、 水分子を効率的に排出するこ とができれば高い真空度を安定して維持し易い。 そこで、 一 1 0 0 °C〜一 2 0 0 °C程度に冷却したコールド トラップを上記仕切り部に設ければ、 静圧軸受け 側で発生した放出ガスをコールドトラップで凍結捕集することができるので、 電子線照射領域側に放出ガスが通過することが困難になり、 電子線照射領域の 真空度を安定に保ち易くなる。 このコールドトラップは、 水分子だけに有効な のではなく、 清浄な真空の阻害要因である油類等の有機系ガス分子の除去にも 有効であることは言うまでもない。
更に、 前記仕切りは、 電子線照射位置の近傍と、 静圧軸受け近傍の 2力所に 設けられてよい。 この場合、 コンダクタンスを小さくする仕切りを、 電子線照 射位置の近傍と静圧軸受けの近傍の 2箇所に形成することになるので、 真空チ ヤンバ内が、 電子線照射室、 静圧軸受け室及びその中間室の 3室に小さいコン ダク夕ンスを介して分割された形になる。 そして、 それぞれの室の圧力を、 低 い順に電子線照射室、 中間室、 静圧軸受け室となるように真空排気系を構成す る。 このようにすることによって、 静圧軸受け室において放出ガスによる圧力 上昇が生じても、 もともと圧力を高めに設定してある室なので圧力変動率とし ては低く抑えることができる。 したがって、 中間室への圧力変動は仕切りによ つて更に低く抑えられ、 電子線照射室への圧力変動は、 もう一段の仕切りによ つて更に低減され、 圧力変動を実質的に問題ないレベルまで低減することが可 能となる。
前記 X Yステージの静圧軸受けに供給されるガスは、 ドライ窒素もしくは高 純度の不活性ガスであることが好ましい。 また、 前記 X Yステージの、 少なく とも静圧軸受けに面する部品表面に放出ガスを低減するための表面処理を施す ことが好ましい。 上記のように、 静圧軸受け部において高圧ガス雰囲気に曝さ れたステージのスライ ド部には、 その表面に高圧ガスに含まれるガス分子が吸 着し、 スライ ド部が真空環境に露出されると、 吸着したガス分子が表面から離 脱し放出ガスとなって真空度を悪化させる。 そこで、 真空度の悪化を抑えるた めには、 吸着するガス分子の量を減らすことと、 吸着したガス分子を速やかに 排気することが必要である。
このためには、 静圧軸受けに供給する高圧ガスを十分に水分を除去したドラ ィ窒素もしくは高純度不活性ガス (例えば高純度の窒素ガス) にして、 表面に 吸着し易く脱離しにくいガス成分 (有機物や水分等) を高圧ガスから除去する ことが有効である。 窒素のような不活性ガスは、 水分や有機物に比べて表面へ の吸着率が格段に低く、 かつ表面からの脱離速度が格段に大きい。 したがって、 高圧ガスに、 水分や有機物成分を極力除去した高純度不活性ガスを用いれば、 スライ ド部が静圧軸受け部から真空環境に移動しても、 放出ガス量が少なく、 かつ放出ガス量の減衰も速いため真空度の悪化を小さくすることができる。 こ のため、 ステージが移動した時の圧力上昇を低く抑えることが可能になる。 ま'た、 ステージの構成部品、 特にその中でも高圧ガス雰囲気と真空環境を往 復する部品表面に対して、 ガス分子との吸着エネルギーが低くなるような表面 処理を施すことも有効である。 表面処理としては、 母材が金属の場合は、 T i C (チタンカーバイ ド) 、 T i N (チタンナイ トライ ド) 、 ニッケルメツキ、 不動態化処理、 電解研磨、 複合電解研磨、 ガラスピーズショッ ト等が考えられ、 母材が S i Cセラミックの場合は、 C V Dによる緻密な S i C層のコーティン グ等が考えられる。 このため、 ステージが移動した時の圧力上昇を更に低く抑 えることが可能である。
また、 本発明の第 9の実施の形態は、 上記の電子線装置を用いて、 半導体ゥ ェ八表面の欠陥を検査するウェハ欠陥検查装置を提供する。 これにより、 ステ ージの位置決め性能が高精度で、 かつ電子線の照射領域の真空度が安定した検 査装置を実現することができるので、 検查性能が高く、 試料を汚染する恐れの ない検査装置が提供される。
加えて、 本発明の第 9の実施の形態は、 上記の電子線装置を用いて、 半導体 ウェハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置 をも提供する。 これにより、 ステージの位置決め性能が高精度で、 かつ電子線 照射領域の真空度が安定した露光装置を実現することができるので、 露光精度 が高く、 試料を汚染する恐れのない露光装置を提供する。
更に、 本発明の第 9の実施の形態は、 上記の電子線装置を用いて半導体を製 造する半導体製造方法をも提供する。 これにより、 ステージの位置決め性能が 高精度で、 かつ電子線照射領域の真空度が安定した装置によって半導体を製造 することになるので、 微細な半導体回路を形成できる。
本発明の第 1 0の実施の形態は、
X Yステージ上に載置された試料に電子線を照射する装置において、 該 X Yステージはハゥジング内に収容されかつ静圧軸受けによりハウジング に対して非接触で支持されており、
該ステージが収容されたハウジングは真空排気され、 該電子線装置の該試料面上に電子線を照射する部分の周囲には、 試料面上の 該電子線が照射される領域を排気する差動排気機構が設けられたことを特徴と する電子線装置、
を提供する。
これにより、 真空チャンバ内に漏れ出た静圧軸受け用の高圧ガスは、 まず真 空チャンバに接続された真空排気用配管によって排気される。 そして電子線が 照射される領域を排気する差動排気機構を電子線を照射する部分の周囲に設け ることによって、 電子線照射領域の圧力を真空チヤンバ内の圧力より大幅に減 少させ、 電子線による試料への処理が問題なく実施できる真空度を安定して達 成することができる。 すなわち、 大気中で一般に用いられる静圧軸受け式のス テージと同様の構造を持ったステージ (差動排気機構を持たない静圧軸受け支 持のステージ) を使用して、 ステージ上の試料に対して電子線による処理を安 定に行うことができる。 ' 前記 X Yステージの静圧軸受けに供給されるガスはドライ窒素もしくは高純 度の不活性ガスであることが好ましい。 このドライ窒素もしくは高純度不活性 ガスは、 該ステージを収納するハウジングから排気された後に加圧され、 再び 前記静圧軸受けに供給されることが好ましい。 これにより、 真空のハウジング 内の残留ガス成分は高純度の不活性ガスとなるので、 試料表面やハウジングに より形成される真空チヤンバ内の構造物の表面を水分や油分等で汚染する恐れ がない上に、 試料表面に不活性ガス分子が吸着しても、 差動排気機構或いは電 子線照射領域の高真空部に晒されれば速やかに試料表面から離脱するので、 電 子線照射領域の真空度に対する影響を最小限に抑えることが可能になり、 電子 線による試料への処理を安定化させることができる。
本発明の第 1 0の実施の形態は、 上記の電子線装置を用いて、 半導体ウェハ 表面の欠陥を検査するウェハ欠陥検査装置を提供する。 これにより、 ステージ の位置決め性能が高精度で、 かつ電子線の照射領域の真空度が安定した検査装 置を安価に提供することができる。
本発明の第 1 0の実施の形態は、 上記の電子線装置を用いて、 半導体ウェハ 表面又はレチクルに半導体デパイスの回路パターンを描画する露光装置を提供 する。 これにより、 ステージの位置決め性能が高精度で、 かつ電子線照射領域 の真空度が安定した露光装置を安価に提供することができる。
本発明の第 1 0の実施の形態は、 上記の電子線装置を用いて半導体を製造す る半導体製造方法を提供する。 これにより、 ステージの位置決め性能が高精度 で、 かつ電子線照射領域の真空度が安定した装置によって半導体を製造するこ とになるので、 微細な半導体回路を形成できる。
本発明の第 1 1の実施の形態は、
一次電子線を発生し、 集束して試料上に走査させて照射させ、 前記試料の電 子線照射部分から放出された二次電子線を検出器で検出する光学系を複数個備 えた電子線装置において、 前記試料にリターディング電圧を印加するためのリ ターディング電圧印加装置と、 前記試料に依存して最適なリターディング電圧 を前記試料に印加する機能と、 を備え、 前記光学系は、 一体の絶縁物を加工し、 表面に金属コ一ティングして軸対称レンズとした少なくとも一つの軸対称レン ズを備えて構成されていることを特徴とする電子線装置、
を提供する。
また、 本発明の第 1 1の実施の形態は、
一次電子線を発生し、 集束して試料上に走査させて照射する一次光学系を有 し、 前記試料の電子線照射部分から放出された二次電子線を加速し、 E X B分 離器で前記一次光学系から分離して検出器で検出する電子線装置において、 前 記試料にリタ一ディング電圧を印加するためのリターディング電圧印加装置と、 前記試料のチャージアツプ状態を調査するチャージアツプ調査機能と、 前記チ ヤージアップ調査機能からのチャージアップ状態に関する情報に基づいて最適 なリタ一ディング電圧を決定し、 それを前記試料に印加する機能又は最適な電 子線電流に変更する機能と、 を備えて構成されていることを特徴とする電子線 を提供する。
本発明の第 1 1の実施の形態は、 電子線を試料に照射する光学系と、 チャージアップ調査機能とを有し、 前記 チャージアップ調査機能は、 前記試料に一次電子線が照射されて発生した二次 電子線を検出して画像を形成したとき、 前記試料の特定部分のパターン歪み或 いはパターンボケを評価し、 その結果パターン歪み或いはパターンボケが大き い場合をチャージアツプが大きいと評価するように構成されていることを特徴 とする電子線装置、
をも提供する。
前記チャージアップ調査機能は、 試料に値が可変のリ夕一ディング電圧を印 加可能であり、 少なくとも二つのリタ一デイング電圧を印加した状態で、 試料 のパターン密度が大きく変化している境界付近の画像形成を行い、 上記画像を オペレータがパターン歪み或いはパターンボケを評価可能なように表示する装 置を有していてもよい。
また、 本発明の第 1 1の実施の形態は、 上記の電子線装置を用いてプロ,セス 途中のウェハの欠陥を検出することを特徴とするデバイス製造方法を提供する。 本発明の第 1 2の 施の形態は、
試料の欠陥を検査する欠陥検査装置であって、 試料上で部分的に重なり合い ながら互いから変位された複数の被検査領域の画像を各々取得する画像取得手 段と、 基準画像を記憶する記憶手段と、
画像取得手段により取得された複数の被検査領域の画像と、 記憶手段に記憶 された基準画像とを比較することによって試料の欠陥を判断する欠陥判断手段 と、 を具備することを特徴とする欠陥検査装置、
を提供する。 ここで、 検査対象となる試料は、 欠陥を検出することができる任 意のものが選択可能であるが、 本発明は、 半導体ウェハを対象とするとき、 優 れた効果を奏することができる。
この実施の形態においては、 前記画像取得手段は、 試料上で部分的に重なり 合いながら互いから変位された複数の被検査領域の画像を各々取得し、 前記欠 陥判断手段歯、 取得された複数の被検査頜域の画像と、 予め記憶された基準画 像とを比較することによって試料の欠陥を判断するよう動作する。 このように、 本発明の第 1 2の実施の形態は、 位置の異なる被検査領域の面 像を複数取得できるようにしたので、 基準画像と位置ずれの少ない被検査画像 を後工程で選択的に利用することができ、 位置ずれによる欠陥検出精度の低下 を抑えることができる。 その上、 試料及び画像取得手段が、 通常では検查パ夕 ーンの一部が被検査画像領域から欠落するような位置関係にあったとしても、 互いに位置をずらされた複数の被検査領域の画像が網羅するいずれかの領域に は、 全検査パターンが入る可能性はきわめて高いので、 このようなパターンの 一部欠落による欠陥検出の誤りを防止することができる。
前記比較手段は、 例えば、 取得された複数の被検査領域の各画像と基準画像 との間でいわゆるマッチング演算を行い、 複数の被検査領域のうち少なくとも 1つの画像が基準画像と実質的に差が無かった場合、 当該試料に欠陥無しと判 断するよう動作する。 逆に、 全ての被検査領域の画像が基準画像と実質的な差 があった場合、 当該試料に欠陥有りと判断することで高精度に欠陥検出を行う。 本発明の第 1 2の実施の形態においては、 一次電子線を複数の被検査領域に 各々照射し、 当該試料から二次電子線を放出させる電子照射手段を更に設け、 画像取得手段によって、 複数の被検査領域から放出された二次電子線を検出す ることにより、 該複数の被検査領域の画像を順次取得するようにすることがで きる。
更に、 上記電子照射手段は、 一次電子を放出する粒子線源と、 一次電子を偏 向させる偏向手段とを備え、 粒子線源から放出された一次電子線を偏向手段で 偏向させることによって、 該一次電子線を複数の被検査領域に順次照射するこ とが好ましい。 この場合においては、 偏向手段により入力画像の位置を容易に 変更できるので、 高速に位置の異なる被検査画像を複数取得することができる。 本発明の第 1 2の実施の形態は、 上記した各態様の欠陥検査装置を用いて、 加工中又は完成品のウェハの欠陥を検査する工程を含む半導体デバイス製造方 法をも提供する。 図面の簡単な説明 図 1は、 本発明に係る荷電粒子線装置の第 1の実施の形態である検査装置の 構成を概略的に示す図である。 ·
図 2の (a) は電子偏向系の平面図であり、 (b) はその断面図である。 図 3は、 本発明による半導体デバイス製造方法の一つの実施の形態を示すフ ローチャートである。
図 4の (a) は、 図 3のウェハプロセッシング工程の中核をなすリソグラフ ィ一工程を示すフローチャートであり、 (b) は、 図 3のウェハプロセッシン グ工程におけるウェハ検查工程を示すフローチヤ一トである。
図 5は、 本発明に係る荷電粒子線装置の第 2の実施の形態である検査装置の 主要構成要素を示す立面図であって、 図 6の線 A— Aに沿って見た図である。 図 6の (a) は、 図 5に示す検査装置の主要構成要素の平面図であって、 図 5の線 B— Bに沿って見た図であり、 図 6の (b) は、 図 6の (a) に示す構 成の変形例を示す図である。
図 7は、 図 5のミニエンバイロメント装置を示す断面図であって、 線 C一 C に沿って見た図である。
図 8は、 図 5のローダハウジングを示す図であって、 図 6の (a) の線 D— Dに沿って見た図である。
図 9は、 ウェハラックの拡大図であって、 [A] は側面図で、 [B] は
[A] の線 E _ Eに沿って見た断面図である。
図 1 0の [A] 、 [B] は、 主ハウジングの支持方法の変形例を示す図であ る。
図 1 1は、 図 5の検査装置における電子光学装置の概略構成を示す模式図で ある。
図 1 2は、 電位印加機構を示す図である。
図 1 3は、 電子線キャリブレーション機構を説明する図であって、 [A] は 側面図であり、 [B] は平面図である。
図 1 4は、 ウェハのァライメン卜制御装置の概略説明図である。
図 1 5は、 本発明に係る荷電粒子線装置の第 3の実施の形態の構成を概略的 に示す断面図である。
図 1 6は、 本発明に係る荷電粒子線装置の第 4の実施の形態である評価装置 を模式的に示す構成図である。
図 1 7は、 金属別の絶縁破壊発生確率を示す表である。
図 1 8は、 電極の斜視図と断面図である。
図 1 9は、 図 1 8に示す電極の一部断面図である。
図 2 0は、 図 1 8に示す電極の上面図と断面図である。
図 2 1は、 図 2 0に示す電極の要部拡大断面図である。
図 2 2は、 本発明に係る荷電粒子線装置の第 5の実施の形態を概略的に示す 図である。
図 2 3は、 図 2 2に示す電子線装置の構成を詳細に示す図である。
図 2 4は、 本発明に係る荷電粒子線装置の第 6の実施の形態を概略的に示す 図である。
図 2 5の (a ) は、 対物レンズに印加する負電圧と電気信号の立上り幅との 間の関係を示すグラフであり、 (b ) は、 電気信号の立上り幅を説明するため の図である。
図 2 6は、 本発明に係る荷電粒子線装置の第 7実施の形態である電子線検査 装置の構成図である。
図 2 7は、 図 2 6に示す電子線検査装置の機械構造体のブロックを概略的に 示す図であって、 (a ) は電子線検査装置と座標軸との関係、 (b ) は鏡筒の 固有振動、 (c ) は、 この固有振動を相殺するようにァクチユエ一夕を取り付 けた場合の図を示す。
図 2 8は、 図 2 6に示す電子線検査装置で用いられるァクチユエ一夕、 振動 減衰用回路、 並びに、 形成された直列共振回路の等価回路の構成を示す概略図 である。
図 2 9は、 図 2 6に示す電子線検査装置の鏡筒の伝達関数を示すグラフであ る。
図 3 0は、 図 2 6に示す電子線検査装置における、 鏡筒の伝達関数、 直列共 振回路の電気的周波数特性および総合伝達関数を示すグラフである。
図 3 1は、 本発明に係るウェハ検査方法を説明する図であって、 ( a) はパ ターン欠陥検出、 (b) は線幅測定、 (c ) は電位コントラスト測定をそれぞ れ示す。
図 3 2は、 本発明に係る電子線装置の第 8の実施の形態における静電チヤッ クの概略平面図であり、 ウェハを取り除いて電極を見た平面図である。
図 3 3は、 図 3 2の直線 M— Mに沿う概略垂直断面図であり、 ウェハを載置 し電圧が印加されない状態を示す断面図である。
図 3 4の (a) および (b) は、 電極及びウェハへ印加される電圧のタイム チヤ一卜である。
図 3 5は、 図 3 2に示す静電チヤックを使用した電子線装置の構成例を示す ブロック図である。
図 3 6は、 従来の電子線装置の真空チャンバ及び XYステージを示す図であ つて、 [A] が正面図で [B] が側面図である。
図 3 7は、 図 3 6の差動排気機構を説明する図である。
図 3 8は、 本発明に係る荷電粒子線装置の第 9の実施の形態における真空チ ヤンバ及び XYステージを示す図であって、 [A] が正面図で [B] が側面図 である。
図 3 9は、 本発明の第 9の実施の形態に対する第 1の変形例における真空チ ャンバ及び XYステージを示す図である。
図 4 0は、 本発明の第 9の実施の形態に対する第 2の変形例における真空チ ャンバ及び X Yステージを示す図である。
図 4 1は、 本発明の第 9の実施の形態に対する第 3の変形例における真空チ ャンバ及び XYステージを示す図である。
図 4 2は、 本発明の第 9の実施の形態に対する第 4の変形例における真空チ ャンバ及ぴ XYステージを示す図である。
図 4 3は、 図 3 8〜図 42に示される鏡筒内に設けられる光学系および検出 系の一例を示す概略図である。 図 4 4は、 本発明に係る荷電粒子線装置の第 1 0の実施の形態における真空 チャンバ及び X Yステージを示す図である。
図 4 5は、 図 4 4に示す装置に設けられた差動排気機構の一例を示す図であ る。
図 4 6は、 図 4 4に示す装置におけるガス循環配管系を示す図である。 図 4 7は、 本発明に係る荷電粒子線装置の第 1 1の実施の形態における光学 系の模式図である。
図 4 8は、 図 4 7に示す電子線装置の鏡筒の配列状態を説明する図である。 図 4 9は、 チャージアップの評価場所と評価方法を説明する図である。 図 5 0は、 本発明に係る荷電粒子線装置の第 1 2の実施の形態である欠陥検 查装置の概略構成図である。
図 5 1は、 図 5 0の欠陥検査装置で取得される複数の被検査画像及び基準画 像の例を示す図である。
図 5 2は、 図 5 0の欠陥検査装置におけるウェハ検査のメインルーチンの流 れを示すフ口一チャートである。
図 5 3は、 図 5 2における複数の被検査画像データ取得工程 (ステップ 1 9
0 4 ) のサブルーチンの詳細な流れを示すフロ一チヤ一卜である。
図 5 4は、 図 5 2における比較工程 (ステツプ 1 9 0 8 ) のサブルーチンの 詳細な流れを示すフロ一チヤ一トである。
図 5 5は、 図 5 0の欠陥検査装置の検出器の具体的構成例を示す図である。 図 5 6は、 半導体ウェハの表面上で部分的に重なり合いながら互いから位置 がずらされた複数の被検査領域を概念的に示す図である。 発明を実施するための最良の形態
以下、 本発明に係る荷電粒子線装置の各種の実施の形態を、 電子線を用いる 装置を例に取って説明する。 いずれの実施の形態も、 シートビーム式検査装置 に用いるのに適している。
装置の全体構造に関する実施の形態 (第 .1 の実施の形態) 本発明に係る荷電粒子線装置の第 1の実施の形態は、 電子線を用いた写像投 影方式に関するもので、 まず、 写像投影方式について説明をする。
写像投影方式では、 試料の観察領域を一次電子線で一括して照射し、 すなわ ち、 走査は行わずに一定の面積を照射し、 照射された領域からの二次電子線を レンズ系により一括して検出器 (マイクロチャンネルプレー卜と蛍光板との組 み合わせ) 上に二次電子線の画像として結像させる。 この画像を二次元 C CD (固体撮像素子) 又は TD I — C CD (ラインイメージセンサ) により電気信 号に変換し、 CRT上に出力し又は記憶装置に蓄積する。 この画像情報から試 料ウェハ (工程途中の半導体 (S i ) ウェハ) の欠陥を検出する。 C CDの場 合、 ステージの移動方向は短軸方向または長軸方向であり、 移動はステップァ ンドリピート方式である。 TD I —C CDの場合、 ステージは積算方向に連続 的に移動される。 TD I — C CDでは画像を連続的に取得できるので、 欠陥検 査を連続で行う場合は TD I _ C CDを使用する。 分解能は結像光学系 (二次 光学系) の倍率と精度等で決まり、 例えば 0. 0 5 tmの分解能が得られてい る。 この場合、 分解能が 0. l ^ mであり、 電子線照射条件が 2 0 0 ^mX 5
0 mの領域に 1. 6 Aのとき、 検査時間は 2 0 cmのウェハ 1枚当たり 1 時間程度であり、 S EM方式に比べて 8倍も速い。 ここで使われている TD I · 一 C CDの仕様は 2 0 4 8画素 (ピクセル) X 5 1 2段であり、 ラインレート は 3. 3 秒 (ライン周波数 3 0 0 k H z ) である。 この例の照射面積は TD
1 一 C CDの仕様に合わせているが、 照射対象物によって照射面積を変更する こともある。 '
ここで、 図 1を用いて写像投影方式の主な機能の関係とその全体像について 説明する。 図 1において、 検查装置は一次コラム 2 0 0 1、 二次コラム 2 0 0 2およびチャンバ一 2 0 0 3を有している。 一次コラム 2 0 0 1の内部には、 電子銃 2 0 04が設けられており、 電子銃 2 0 0 4から照射される電子線 (一 次電子線) の光軸上に一次光学系 2 0 0 5が配置される。 また、 チャンバ一 2 0 0 3の内部には、 ステージ 2 0 0 6が設置され、 ステージ 2 0 0 6上には試 料 2 0 0 7が載置される。 一方、 二次コラム 2 0 0 2の内部には、 試料 2 0 0 7から発生する二次電子 線の光軸上に、 力ソードレンズ 2 0 08、 開口アパーチャ (NA、 Nume r i c a 1 A e r t u r e) 2 0 0 9、 ウィーンフィルタ (EXBフィル 夕) 2 0 1 0、 第 2レンズ 2 0 1 1、' フィ一ルドアパーチヤ 2 0 1 2、 第 3レ ンズ 2 0 1 3、 第 4レンズ 2 0 1 4および検出器 2 0 1 5が配置される。 なお、 開口アパーチャ 2009は開口絞りに相当するもので、 円形の穴が開いた金属 製 (Mo等) の薄板であり、 その開口部が一次電子線の集束位置およびカソー ドレンズ 2 0 0 8の焦点位置になるように配置されている。 したがって、 カソ ―ドレンズ 2 0 0 8と開口アパーチャ 2 0 0 9とは、 テレセントリックな電子 光学系を構成している。
検出器 2 0 1 5の出力は、 コントロールユニッ ト 2 0 1 6に入力され、 コン トロールュニッ ト 20 1 6の出力は C PU 2 0 1 7に入力される。 C PU 2 0 1 7の制御信号は、 一次コラム制御ュニッ ト 20 1 8、 二次コラム制御ュニッ ト 20 1 9およびステ一ジ駆動機構 2 0 2 0に入力される。 一次コラム制御ュ ニッ ト 2 0 1 8は、 一次光学系 2 0 0 5のレンズ電圧制御を行い、 二次コラム 制御ュニッ ト 2 0 1 9は、 力ソ一ドレンズ 2008、 第 2レンズ 2 0 1 1〜第 4レンズ 2 0 14のレンズ電圧制御およびウィーンフィルタ 20 1 0に印加す る電磁界制御を行う。
ステージ駆動機構 2 0 2 0は、 ステージの位置情報を C P U 20 1 7に伝達 する。 また、 一次コラム 2 0 0 1、 二次コラム 20 0 2およびチヤンバー 2 0 0 3は、 真空排気系 (不図示) と繋がっており、 真空排気系のターボポンプに より排気されて、 内部は真空状態を維持している。
電子銃 2 004から発せられた一次電子線は、 一次光学系 2 00 5によって レンズ作用を受けながら、 ウィーンフィルタ 20 1 0に入射する。 電子銃のチ ップとしては、 矩形陰極で大電流を取り出すことができる L aB6を用いること が好ましい。
一次光学系 2 00 5は、 回転軸非対称の四重極または八重極の静電 (または 電磁) レンズを使用する。 これは、 いわゆるシリンドリカルズレンズと同様に X軸、 Y軸各々で集束と発散とを引き起こすことができる。 このレンズを 2段、 3段で構成し、 各レンズ条件を最適化することによって、 照射電子線を損失す ることなく、 試料面上の電子線照射領域を、 任意の矩形状、 または楕円形状に 整形することができる。 具体的には、 静電レンズを用いる場合には 4つの円柱 ロッドが使用され、 対向する電極同士 (aと b、 c と d ) を等電位にし、 且つ 互いに逆の電圧特性が与えられる。 なお、 四重極レンズとして円柱形ではなく、 静電偏向器で通常使用される円形板を 4分割した形状のレンズを用いてもよい。 この場合、 レンズの小型化を図ることができる。
一次光学系 2 0 0 5を通過した一次電子線は、 ウィーンフィルタ 2 0 1 0の 偏向作用により軌道が曲げられる。 ウイ一ンフィル夕 2 0 1 0は、 後述するよ うに、 磁界と電界を互いに直交するよう発生することができる。 いま、 電界を E、 磁界を B、 電子の速度を Vとすると、 E = V Bのウィーン条件を満たす電 子のみを直進させ、 それ以外の電子の軌道を曲げる。 一次電子線に対しては、 磁界による力 F Bと電界による力 F Eとが発生してビーム軌道を曲げる。 一方、 二次電子線に対しては、 上記の力 F Bおよび F Eが逆方向に働くため、 互いに 相殺され、 二次電子線はそのまま直進する。
一次光学系 2 0 0 5のレンズ電圧は、 一次電子線が開口アパーチャ 2 0 0 9 の開口部で結像するように、 予め設定されている。 この開口アパーチャ 2 0 0 9は、 装置内に散乱する余計な電子線が試料面に到達することを阻止し、 試料 2 0 0 7のチャージアップや汚染を防ぐよう作用する。 さらに、 開ロアパーチ ャ 2 0 0 9とカソードレンズ 2 0 0 8とはテレセントリックな電子光学系を構 成しているので、 カゾードレンズ 2 0 0 8を透過した一次電子線は平行ビーム になり、 試料 2 0 0 7を均一且つ一様に照射する。 すなわち、 光学顕微鏡でい うケーラー照明が実現される。
一次電子線が試料 2 0 0 7に照射されると、 試料 2 0 0 7のビーム照射面か らは、 二次電子線として、 二次電子、 反射電子または後方散乱電子が発生する。 二次電子線は、 力ソードレンズ 2 0 0 8によるレンズ作用を受けながら、 該レ ンズを透過する。 力ソードレンズ 2 0 0 8は 3枚の電極で構成されている。 一 番下の電極は、 試料 2 0 0 7側の電位との間で正の電界を形成し、 電子 (特に 指向性が小さい二次電子) を引き込み、 効率よくレンズ内に導くように設計さ れている。
レンズ作用は、 力ソードレンズ 2 0 0 8の 1番目、 2番目の電極に電圧を印加 し、 3番目の電極をゼロ電位にすることで行われる。
—方、 開口アパーチャ 2 0 0 9は、 カソ一ドレンズ 2 0 0 8の焦点位置、 す なわち試料 2 0 0 7からのバックフォーカス位置に配置されている。 したがつ て、 視野中心外 (軸外) から出た電子線の光束も平行ビームとなり、 この開口 アパーチャ 2 0 0 9の中心位置を、 けられが生じることなく通過する。 なお、 開口アパーチャ 2 0 0 9は、 二次電子線に対しては、 第 2 レンズ 2 0 1 1〜第 4レンズ 2 0 1 4のレンズ収差を抑える役割を果たしている。
開口アパーチャ 2 0 0 9を通過した二次電子線は、 ウィーンフィルタ 2 0 1 0の偏向作用を受けることなく、 そのまま直進する。 ウイーンフィルタ 2 0 1 0に印加する電磁界を変えることで、 二次電子線から、 特定のエネルギーを持 つ電子 (例えば二次電子、 反射電子又は後方散乱電子) のみを検出器 2 0 1 5 に導くことができる。
二次電子線をカソ一ドレンズ 2 0 0 8のみで結像させると、 レンズ作用が強 くなり収差が発生しやすい。 そこで、 第 2レンズ 2 0 1 1 と合わせて、 1回の 結像を行わせる。 二次電子線は、 力ソードレンズ 2 0 0 8および第 2レンズ 2 0 1 1により、 フィールドアパーチャ 2 0 1 2上で中間結像を得る。 この場合、 通常、 二次光学系として必要な拡大倍率が不足することが多いため、 中間像を 拡大するためのレンズとして、 第 3レンズ 2 0 1 3、 第 4レンズ 2 0 1 4を加 えた構成にする。 二次電子線は、 第 3レンズ 2 0 1 3、 第 4レンズ 2 0 1 4に より拡大されて結像し、 ここでは、 合計 3回結像する。 なお、 第 3 レンズ 2 0 1 3と第 4レンズ 2 0 1 4とを合わせて 1回 (合計 2回) 結像させてもよい。 第 2レンズ 2 0 1 1、 第 3レンズ 2 0 1 3および第 4レンズ 2 0 1 4はすべ て、 ュニポテンシャルレンズまたはアインツェルレンズとよばれる回転軸対称 型のレンズである。 各レンズは 3枚電極の構成で、 通常は外側の 2枚の電極を ゼロ電位とし、 中央の電極に印加する電圧でレンズ作用を行わせて制御する。 また、 中間の結像点には、 フィールドアパーチャ 2 0 1 2が配置されている。 フィールドアパーチャ 2 0 1 2は光学顕微鏡の視野絞りと同様に、 視野を必要 範囲に制限しているが、 電子線の場合、 余計なビームを後段の第 3レンズ 2 0 1 3および第 4レンズ 2 0 1 4と共に遮断して、 検出器 2 0 1 5のチャージァ ップゃ汚染を防いでいる。 なお、 拡大倍率は、 この第 3レンズ 2 0 1 3および 第 4レンズ 2 0 1 4のレンズ条件 (焦点距離) を変えることで設定される。 二次電子線は二次光学系により拡大投影され、 検出器 2 0 1 5の検出面に結 像する。 検出器 2 0 1 5は、 電子を増幅するマイクロチャンネルプレート (M C P ) と、 電子を光に変換する蛍光板と、 真空系と外部との中継および光学像 を伝達させるためのレンズやその他の光学素子と、 撮像素子 (C C D等) とか ら構成される。 二次電子線は M C P検出面に結像し、 増幅され、 蛍光板によつ て光信号に変換され、 撮像素子によって光電変換されて電気信号になる。
コントロールュニッ ト 2 0 1 6は、 検出器 2 0 1 5から試料の画像信号を読 み出し、 C P U 2 0 1 7に伝達する。 C P U 2 0 1 7は、 画像信号からテンプ レ一トマッチング等によってパターンの欠陥検査を実施する。 ステージ 2 0 0 6は、 ステージ駆動機構 2 0 2 0により、 X Y方向に移動可能となっている。 C P U 2 0 1 7は、 ステージ 2 0 0 6の位置を読み取り、 ステージ駆動機構 2 0 2 0に駆動制御信号を出力し、 ステージ 2 0 0 6を駆動させ、 順次に画像の 検出、 検査を行う。
このように、 この第 1の実施形態における検査装置では、 開口アパーチャ 2 0 0 9と力ソードレンズ 2 0 0 8とがテレセントリックな電子光学系を構成し ているので、 一次電子線を試料に均一に照射させることができる。 すなわち、 ケ一ラー照明を容易に実現することができる。 さらに、 二次電子線に対しては、 試料 2 0 0 7からの全ての主光線がカソ一ドレンズ 2 0 0 8に垂直に (レンズ 光軸に平行に) 入射し、 開口アパーチャ 2 0 0 9を通過するので、 周辺光がけ られることがなく、 試料周辺部の画像輝度が低下することがない。 また、 電子 が有するエネルギーのばらつきによつて結像位置が異なる、 いわゆる倍率色収 差が起こる (特に、 二次電子線はエネルギーのばらつきが大きいため、 倍率色 収差が大きい) が、 力ソードレンズ 2 0 0 8の焦点位置に開口アパーチャ 2 ◦ 0 9を配置したので、 この倍率色収差を抑えることができる。
拡大倍率の変更は、 開口アパーチャ 2 0 0 9の通過後に行われるので、 第 3 レンズ 2 0 1 3、 第 4レンズ 2 0 1 4のレンズ条件の設定倍率を変えても、 検 出側での視野全面に均一な像が得られる。
なお、 この実施の形態においては、 むらのない均一な像を取得することがで きるが、 通常、 拡大倍率を高倍にすると、 像の明るさが低下するという問題が 生じる。 これを改善するためには、 二次光学系のレンズ条件を変えて拡大倍率 を変更する際、 それに伴って決まる試料面上の有効視野と試料面上に照射され る電子線とが同一の大きさになるように、 一次光学系のレンズ条件を設定する のがよい。 すなわち、 倍率を上げていけば、 それに伴って視野が狭くなるが、 それと同時に電子線の照射エネルギー密度を上げていくことで、 二次光学系で 拡大投影されても、 検出電子の信号密度は常に一定に保たれ、 像の明るさは低 また、 この第 1の実施の形態の検査装置では、 一次電子線の軌道を曲げ且つ 二次電子線を直進させるためにウィーンフィル夕 2 0 1 0を用いたが、 それに 限定されるものではなく、 一次電子線を直進させ且つ二次電子線の軌道を曲げ るウイーンフィル夕を用いてもよい。 さらに、 この実施の形態では、 矩形陰極 と四極子レンズとから矩形ビームを形成したが、 それに限定されず、 例えば円 形ビームから矩形ビームや楕円形ビームを作り出してもよいし、 円形ビームを スリッ トに通して矩形ピームを取り出してもよい。 また、 複数のビームを走査 して全体に電子線が照射領域を均一に照射するようにしてもよい。 このときの 走査は複数ビームがそれぞれの決められた領域を任意に (但し照射量が均一に なるように) すればよい。
ここで、 電子線源としての電子銃について説明すると、 この実施の形態にお いては、 電子線源として熱電子線源を使用することができる。 電子放出部 (ェ ミッタ) の材料は L a B 6である。 しかし、 高融点 (高温での蒸気圧が低い) で 仕事関数の小さい材料であれば、 他の材料を使用することが可能である。 先端 を円錐形状にしたもの又は円錐の先端を切り落とした円錐台形状のものが好ま しい。 円錐台先端の直径は 1 0 0 m程度である。 他の方式としては電界放出 型の電子線源あるいは熱電界放出型のものが使用可能であるが、 この実施の形 態のように比較的広い領域 (例えば 1 0 0 X 2 5〜4 0 0 Χ ΐ 0 0 μπι2) を大 きな電流 ( Ι iA程度) で照射する場合には、 LaB6を用いた熱電子源が最適 である。 (S EM方式では一般に熱電界電子線源が使用されている) 。
なお、 熱電子線源は電子放出材を加熱することにより電子を放出する方式で あり、 熱電界放出電子線源とは、 電子放出材に高電界をかけることにより電子 を放出させ、 更に電子線放出部を加熱することにより、 電子放出を安定させた 方式を意味する。
図 1を参照して説明したところから理解されるように、 写像投影方式の主な 構成要素の機能は次のとおりである。 まず、 一次電子光学系であるが、 電子銃 より発せられる電子線をビーム状に形成し、 ウェハ面を矩形または円形 (楕円 形) の電子線で照射させる部分を 「一次電子光学系」 と呼ぶ。 一次電子光学系 のレンズ条件を制御する事により、 電子ビームのサイズや電流密度を制御でき る。 また、 一次 Z二次電子光学系連結部に位置するウイーンフィルタにより、 —次電子線はウェハに垂直に入射される。
こうした電子銃の LaB s力ソードから放出された熱電子は、 ウェーネルト、 トリプルァノ一ドレンズでガン絞り上にクロスオーバ一像として結像される。 照明視野絞りでレンズへの入射角を適正化した電子線を、 一次系静電レンズを 制御することによって回転非対称の形で開口アパーチャ絞り上に結像させ、 そ の後、 ウェハ面上に面照射する。 一次系静電レンズの後段は 3段 4極子 (Q L) と、 1段の開口収差補正用電極で構成される。 4極子レンズはァライメン ト精度が厳しいといった制約はあるが、 回転対称レンズに比べ、 強い収束作用 を持つ特徴があり、 回転対称レンズの球面収差に相当する開口収差を開口収差 補正電極に適切な電圧を印加することで補正を行うことができる。 これにより、 所定の領域に均一な面ビームを照射することができる。 次に、 二次電子光学系であるが、 一次電子線の照射によりウェハから発生さ れる二次電子線を処理して得た二次元の二次電子画像を、 対物レンズに相当す る静電レンズ (C L、 TL) により視野絞り位置で結像させ、 後段のレンズ (P L) で拡大投影する、 この結像投影光学系を 「二次電子光学系」 と呼ぶ。 このとき、 ウェハにはマイナスのバイアス電圧 (減速電界電圧) が印加されて いる。 減速電界は照射ビームに対して減速の効果を持ち、 ウェハ (試料) のダ' メージを低減させるとともに、 C Lとウェハ間の電位差で試料面上から発生し た二次電子線を加速し、 色収差を低減させる効果を持つ。 C Lによって収束し た電子は TLで F A上に結像され、 その像を P Lで拡大投影して二次電子線検 出器 (MC P) 上に結像させる。 二次電子光学系では、 C LーTL間にNAを 配置し、 これを最適化することで、 軸外収差低減が可能な光学系を構成してい る。
また、 電子光学系の製造上の誤差や、 ウイーンフィルタを通過することによ つて発生する像の非点収差や異方性倍率を補正するため、 静電 8極子 (S T I G) を配置して補正を行い、 軸ズレに対しては各レンズ間に配置した偏向器 (OP) で補正を行うことが好ましい。 これにより、 視野内における均一な分 解能での写像光学系が達成できる。
ウイーンフィル夕 2 0 1 0は、 電極と磁極を直交方向に配置し、 電界と磁界 を直交関係で生成する電磁プリズム光学系のュニッ トである。 電磁界を選択的 に与えると、 一方向からその場に入射する電子線は偏向され、 その反対方向か ら入射する電子線は直進させる。 これは、 電子が電界から受ける力と磁界から 受ける力とが相殺される条件 (ウイーン条件) を作ることが可能だからであり、 これにより一次電子線は偏向されてウェハ上に垂直に照射され、 二次電子線は 検出器に向けて直進することになる。
電子線偏向部としてのウィーンフィルタ 2 0 1 0の詳細な構造について、 図 2の (a) および (b) を用いて説明する。 これらの図に示すように、 電子線 偏向部が生成する場は、 上記の写像投影光学部の光軸に垂直な平面内において、 電界と磁界とを直交させた構造、 すなわち E XB構造となる。 ここで、 電界は凹面状の曲面を持つ電極 2 0 3 0 a、 2 0 3 0 bにより発生 される。 電極 2 0 3 0 a、 2 0 3 0 bによって発生される電界は、 それぞれ制 御部 2 03 1 a、 2 0 3 1 bにより制御される。 電界発生用の電極 2 0 3 0 a, 2 03 0 bと直交するように、 電磁コイル 2 0 3 2 a、 2 0 3 2 bを配置する ことにより、 磁界を発生させる。 この場合、 磁界の均一性を向上させるために、 平行平板形状を有するポ"ルピースを持たせて磁路を形成している。 なお、 電 界発生用の電極 2 0 3 0 a、 2 03 0 bは点 2 0 34に関して対称であればよ いが、 同心円上にあるのでもよい。
図 2の (b ) は、 図 2の (a) における点 20 34を通って電極 2 0 3 0 a、 2 03 0 bに垂直な面における縦断面図であり、 これを用いて電子線の挙動を 説明する。 照射された電子線 2 03 5 a、 2 0 3 5 bは、 電極 20 3 0 a、 2 0 30 bが発生する電界と、 電磁コイル 2 0 3 1 a, 2 0 3 1 bが発生する磁 界とによって偏向された後、 試料面上に対して垂直方向に入射する。 ここで、 照射電子線 2 0 3 5 a、 2 0 3 5 bのウイ一ンフィル夕 2 0 1 0 の入射位置 及び角度は、 電子のエネルギーが決定されると一義的に決定される。 さらに、 二次電子線 20 36 a、 20 36 bが直進するように、 電界及び磁界の条件、 即ち v B = Eとなるように電極 20 3 0 a、 2 0 3 0 bが発生する電界と電磁 コイル 2 0 3 1 a、 2 0 3 1 bが発生する磁界とをそれぞれの制御部 2 0 3 1 a、 20 3 1 b、 2 0 3 3 a、 203 3 bで制御することにより、 二次電子線 はウィーンフィル夕 2 0 1 0を直進して、 上記写像投影光学部に入射する。 こ こで、 Vは電子の速度 (mZs ) 、 Bは磁場 (T) 、 eは電荷量 (C) 、 Eは 電界 (VZm) である。
最後に、 検出器について説明する。 二次光学系で結像される、 ウェハからの 二次電子線の画像は、 まずマイクロチャンネルプレート (MCP) で増幅され たのち、 蛍光スクリーンにあたって光の像に変換される。 MC Pは、 直径 6〜 2 5 m、 長さ 0. 24〜 1. 0 ιιという非常に細い導電性のガラスキヤピラ リを数百万本束ねて薄い板状に整形したもので、 所定の電圧印加を行うことで、 一本一本のキヤビラリがそれぞれ独立した二次電子増幅器として働き、 全体と して二次電子増幅器を形成する。 この検出器により光に変換された画像は、 真 空透過窓を介して大気中に置かれた F〇P系で TD I— C CD上に 1対 1で投 影される。 '
以上説明したところから理解されるとおり、 第 1の実施の形態である検査装 置は、 電子線を用いた検査装置のスループッ 卜を上げることができる。
図 3は、 本発明の第 1の実施の形態を用いた、 半導体デバイスの製造方法の 一例を示しており、 以下の主工程を含んでいる。
(1) ウェハを製造するウェハ製造工程 (又はウェハを準備するウェハ準備ェ 程)
(2) 露光に使用するマスクを製造するマスク製造工程 (又はマスクを準備す るマスク準備工程)
(3) ウェハに必要な加工処理を行うウェハプロセッシング工程
(4) ウェハ上に形成されたチップを 1個ずつ切り出し、 動作可能にならしめ るチップ組立工程
(5) できたチップを検查するチップ検査工程。
上記のそれぞれの主工程は更に幾つかのサブ工程からなる。 これらの主工程 中の中で、 半導体デバイスの性能に決定的な影響を及ぼすのが (3) のウェハ プロセッシング工程である。 この工程では、 設計された回路パターンをウェハ 上に順次積層し、 スモリや MPUとして動作するチップを多数形成する。 この ウェハプロセッシング工程は以下の工程を含む。
(A) 絶縁層となる誘電体薄膜や配線部、 或いは電極部を形成する金属薄膜等 を形成する薄膜形成工程 (CVDやスパッタリング等を用いる)
(B) この薄膜層やウェハ基板を酸化する酸化工程
(C) 薄膜層やウェハ基板等を選択的に加工するためにマスク (レチクル) を 用いてレジス卜パターンを形成するリソグラフィー工程
(D) レジス トパターンに従って薄膜層や基板を加工するエッチング工程 (例 えばドライエッチング技術を用いる)
(E) イオン · 不純物注入拡散工程 ( F ) レジスト剥離工程
( G ) 加工されたウェハを検査する検査工程。
なお、 ウェハプロセッシング工程は必要な層数だけ繰り返し行い、 設計通り動 作する半導体デバイスを製造する。
図 4の (a ) は、 図 3のウェハ · プロセッシング工程の中核をなすリソグラ フィー工程 (C ) を示すフローチャートである。 このリソグラフィー工程は以 下の工程を含む。
( a ) 前段の工程で回路パターンが形成されたウェハ上にレジストをコートす るレジスト塗布工程
( b ) レジストを露光する工程
( c ) 露光されたレジストを現像してレジストパターンを得る現像工程
( d ) 現像されたレジストパターンを安定化するためのァニール工程。
上記 (G ) の検査工程に対して本発明の欠陥検査装置を用いると、 微細なパ ターンを有する半導体デバイスでも、 スループッ ト良く検査できるので、 全数 検査も可能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。 これについて、 図 4の (b ) を用いて説明する。
一般に、 電子線を用いた欠陥検査装置は高価であり、 またスループッ トも他 のプロセス装置に比べて低いために、 こうした欠陥検査装置は、 現状では、 最 も検査が必要と考えられている重要な工程 (例えばエッチング、 成膜又は C M P (化学機械研磨) 平坦化処理等) の後に使用されている。 そこで、 検査され るウェハは大気搬送系及び真空搬送系を通して、 超精密 X— Yステージ上に位 置合わせされた後、 静電チャック機構等により固定され、 以後、 図 4の (b ) に示す手順に従って欠陥検査等が行われる。
図 4の (b ) において、 はじめに、 光学顕微鏡により、 必要に応じて各ダイ の位置確認や、 各場所の高さ検出が行われ記憶される。 光学顕微鏡はこの他に 欠陥等の見たい所の光学顕微鏡像を取得し、 電子線像との比較等にも使用され る。 次にウェハの種類 (どの工程後か、 ウェハのサイズは 2 0 c mか 3 0 c m か等) に応じたレシピの情報を装置に入力し、 以下検査場所の指定、 電子光学 系の設定、 検査条件の設定等を行なった後、 画像取得を行ないながら、 通常は リアルタイムで欠陥検査を行なう。 セル同士の比較、 ダイ比較等により、 アル ゴリズムを備えた高速の情報処理システムが検査を行い、 必要に応じて C R T 等への結果の出力やメモリへの記憶を行なう。
欠陥にはパーティクル欠陥、 形状異常 (パターン欠陥) 、 及ぴ電気的 (配線 又はビア等の断線及び導通不良等) 欠陥等が有り、 これらを区別したり欠陥の 大きさや、 キラー欠陥 (チップの使用が不可能になる重大な欠陥等) の分類を 自動的にリアルタイムで行うことも出来る。
電気的欠陥の検出は電位コントラスト異状を検出することで達成される。 例 えば、 導通不良の場所は電子線照射 ( 5 0 0 e V程度) により通常は正に帯電 し、 コントラストが低下するので、 正常な場所と区別することができる。 この 場合の電子線照射手段とは、 通常検査用の電子線照射手段以外に、 電位差によ るコントラストを際立たせるために別途設けた低電位 (エネルギー) の電子線 発生手段 (熱電子発生、 U V Z光電子) をいう。 検査対象領域に検査用の電子 線を照射する前に、 この低電位 (エネルギー) の電子線を発生させ照射する。 検査用の電子線を照射することにより試料を正に帯電させることができる写像 投影方式の場合は、 仕様によっては、 低電位の電子線発生手段を別途設ける必 要はない。 また、 ウェハ等の試料に基準電位に対して正又は負の電位を加える こと等によって (素子の順方向又は逆方向により流れ易さが異なるために) 生 じるコントラストの違いからも、 欠陥を検出することが可能である。 こうした 欠陥検査装置は線幅測定装置及び合わせ精度測定にも利用することができる。 なお、 検査試料の電気的欠陥を検査する方法としては、 本来電気的に絶縁さ れている部分の電圧が、 その部分が通電状態にある場合の電圧とは異なること を利用することもできる。 それは、 まず、 検査試料に事前に電荷を補揺するこ とで、 本来電気的に絶縁されている部分の電圧と、 本来電気的絶縁されている 部分ではあるが何らかの原因で通電状態にある部分の電圧との間に電圧差を生 じさせ、 その後に、 本発明に係る荷電粒子線装置から荷電粒子ビームを照射す ることにより、 電圧差があるデータを取得し、 この取得したデ一夕を解析して、 通電状態になっていることを検出する。
検査装置に関する実施の形態 (第 2の実施の形態)
本発明の第 2の実施の形態は、 電子線を用いて検査対象の表面に形成された パターンの欠陥等を検査するのに適した電子線装置に関し、 例えば、 半導体製 造工程におけるウェハの欠陥を検出する場合のように、 電子線を検査対象に照 射してその表面の性状に応じて変化する二次電子線を捕捉して画像データを形 成し、 その画像データに基づいて検査対象の表面に形成されたパターン等を高 いスループッ トで検査する検査装置に好適な電子線装置、 並びにそのような電 子線装置を用いて歩留まり良くデバイスを製造するデバイス製造方法に関する。 電子線を用いてウェハなどの欠陥を検査する装置としては、 既に市販されて いる走査電子線顕微鏡 (S E M ) を用いた装置が知られている。 この装置は細 く絞った電子線を非常に間隔の小さいラスタ幅でラスタ走査を行い、 走査に伴 つて検査対象から放出される二次電子線を二次電子線検出器で検出して S E M 画像を形成し、 その S E M画像を異なるダイの同じ場所同志を比較して欠陥を 抽出するものである。
しかし、 従来においては電子線を使用した欠陥検査装置の全体的なシステム として完成させた装置は未だ存在しなかった。 '
ところで、 S E Mを応用した欠陥検査装置では、 ビーム寸法が小さく、 当然 画素寸法が小さく、 ラスタ幅も小さいため、 欠陥検査に多くの時間を必要とし ていた。 また、 高スループッ トにするため、 ビーム電流を大きくすると絶縁物 が表面にあるウェハでは帯電して良好な S E M像が得られない問題があつた。 また、 電子線を照射して検査する電子光学装置と、 検査対象をその電子光学 装置の照射位置までクリーンな状態で供給し、 ァライメントする他のサブシス テムとの間の関連性を考慮した検査装置全体の構造については今までほとんど 明らかにされていなかった。 更に、 検査対象となるウェハの大径化が進められ、 サブシステムもそれに対応可能にする要請もでてきた。
本発明の第 2の実施の形態は上記の問題点に鑑みて提案されたものであって、 電子線を用いた電子光学系を使用すると共に、 その電子光学系と、 装置を構 成するその他の構成機器との調和を図ってスループッ トを向上した電子線装置、 検査対象を蓄えるカセッ トと電子光学系に関して検査対象を位置決めするス テージ装置との間で検査対象を搬送するローダー及びそれと関連する装置を改 善して検査対象を効率的にかつ精度良く検査することを可能とする電子線装置、
S E Mで問題のあった帯電の問題を解決して検査対象を精度良く検査可能な 電子線装置、
上記の電子線装置を用いてウェハ等の検査対象の検査を行うことにより歩留 まりの良いデバイス製造方法、
を提供する。
以下、 図面を参照して、 本発明に係る荷電粒子線装置の第 2の実施の形態で ある、 検査対象として表面にパターンが形成された基板すなわちウェハを検査 する半導体検査装置の全体構造、 動作および該半導体検査装置を用いたデバイ ス製造方法を説明する。
図 5および図 6の ( a ) において、 半導体検査装置 1は、 複数枚のウェハを 収納したカセッ トを保持するカセットホルダ 1 0と、 ミニエンバイロメント装 置 2 0と、 ワーキングチャンバを画成する主ハウジング 3 0と、 ミニエンバイ ロメント装置 2 0と主ハウジング 3 0との間に配置されていて、 二つのローデ ィングチヤンバを画成するローダハウジング 4 0と、 ウェハをカセッ 卜ホルダ 1 0から主ハウジング 3 0内に配置されたステージ装置 5 0上に装填する口一 ダー 6 0と、 真空ハウジングに取り付けられた電子光学装置 7 0とを備え、 そ れらは図 5及び図 6の (a ) に示されるような位置関係で配置されている。 半 導体検査装置 1は、 更に、 真空の主ハウジング 3 0内に配置されたプレチヤ一 ジユニッ ト 8 1と、 ウェハに電位を印加する電位印加機構 8 3 (図 1 2に図 示) と、 電子線キャリブレーション機構 8 5 (図 1 3に図示) と、 ステージ装 置上でのウェハの位置決めを行うためのァラィメント制御装置 8 7を構成する 光学顕微鏡 8 7 1とを備えている。
カセッ トホルダ 1 0は、 複数枚 (例えば 2 5枚) のウェハが上下方向に平行 に並べられた状態で収納されたカセッ ト c (例えば、 アシス卜社製の S M I F、 F O U Pのようなクローズドカセッ ト) を複数個 (この実施の形態では 2個) 保持するようになっている。 このカセッ トホルダとしては、 カセッ トをロポッ ト等により搬送してきて自動的にカセットホルダ 1 0に装填する場合にはそれ に適した構造のものを、 また人手により装填する場合にはそれに適したオーブ ンカセッ ト構造のものをそれぞれ任意に選択して設置できるようになつている ( カセッ トホルダ 1 0は、 この実施の形態では、 自動的にカセット cが装填され る形式であり、 例えば昇降テーブル 1 1 と、 その昇降テール 1 1を上下移動さ せる昇降機構 1 2とを備え、 カセッ ト cは昇降テ一ブル上に図 6の (a ) で鎖 線図示の状態で自動的にセッ ト可能になっていて、 セット後、 図 6の ( a ) で 実線図示の状態に自動的に回転されてミニエンバイロメント装置内の第 1の搬 送ユニットの回動軸線に向けられる。 また、 昇降テーブル 1 1は図 5で鎖線図 示の状態に降下される。 このように、 自動的に装填する場合に使用するカセッ トホルダ、 或いは人手により装填する場合に使用するカセットホルダはいずれ も公知の構造のものを適宜使用すれば良いので、 その構造及び機能の詳細な説 明は省略する。
図 6の (b ) は、 カセットを自動装填するための機構の変形例を示しており、 複数の 3 0 0 m mのウェハ Wを箱本体 5 0 1の内側に固定した溝型ポケッ ト
(図示せず) に収納した状態で収容し、 搬送や保管等を行うものである。 この ウェハ搬送箱 2 8は、 角筒状の箱本体 5 0 1 と、 箱本体 5 0 1の側面の開口部 2 9に設けられてドア自動開閉装置に連絡され且つ機械により開閉可能なゥェ ハ搬出入ドア 5 0 2と、 開口部 2 9と反対側に位置しフィルタ類およびファン モー夕の着脱を行うために開口部 2 9を覆う蓋体 5 0 3と、 ゥェ八 Wを保持す るための溝型ポケッ ト (図示せず) と、 11 1^ ?八フィル夕 5 0 5と、 ケミカル フィル夕 5 0 6と、 ファンモータ 5 0 7とから構成されている。 この変形例に おいては、 ローダー 6 0の口ポット式の第 1の搬送ュニッ ト 6 1 2によりゥェ ハ Wを出し入れする。
なお、 カセッ ト c内に収納される基板すなわちウェハは、 検査を受けるゥェ ハであり、 そのような検査は、 半導体製造工程中でウェハを処理するプロセス の後、 若しくはプロセスの途中で行われる。 具体的には、 成膜工程、 C M P、 イオン注入等を受けた基板すなわちウェハ、 表面に配線パターンが形成された ウェハ、 又は配線パターンが未だに形成されていないウェハが、 カセッ ト内に 収納される。 カセット c内に収容されるウェハは多数枚上下方向に隔ててかつ 平行に並べて配置されているため、 任意の位置のウェハと後述する第 1の搬送 ュニッ 卜で保持できるように、 第 1の搬送ュニッ 卜のアームを上下移動できる ようになつている。
図 5ないし図 7において、 ミ二エンバイロメン卜装置 2 0は、 雰囲気制御さ れるようになっているミ二エンバイロメント空間 2 1を画成するハウジング 2 2と、 ミニエンバイロメン卜空間 2 1内で清浄空気のような気体を循環して雰 囲気制御するための気体循環装置 2 3と、 ミ二エンバイロメント空間 2 1内に 供給された空気の一部を回収して排出する排出装置 2 4と、 ミニエンバイロメ ント空間 2 1内に配設されていて検査対象としての基板すなわちウェハを粗位 置決めするブリアライナ一 2 5とを備えている。
ハウジング 2 2は、 頂壁 2 2 1、 底壁 2 2 2及び四周を囲む周壁 2 2 3を有 していてい、 ミニエンバイロメント空間 2 1を外部から遮断する構造になって いる。 ミニエンバイロメント空間を雰囲気制御するために、 気体循環装置 2 3 は、 図 7に示されるように、 ミニエンバイロメント空間 2 1内において、 頂壁 2 2 1に取り付けられていて、 気体 (この実施の形態では空気) を清浄にして 一つ又はそれ以上の気体吹き出し口 (図示せず) を通して清浄空気を真下に向 かって層流状に流す気体供給ュニッ ト 2 3 1と、 ミ二エンバイロメント空間内 において底壁 2 2 2の上に配置されていて、 底に向かって流れ下つた空気を回 収する回収ダク ト 2 3 2と、 回収ダク ト 2 3 2 と気体供給ュニッ 卜 2 3 1 とを 接続して回収された空気を気体供給ュニッ ト 2 3 1に戻す導管 2 3 3とを備え ている。
この実施の形態においては、 気体供給ユニッ ト 2 3 1は供給する空気の約 2 0 %をハウジング 2 2の外部から取り入れて清浄にするようになっているが、 ' この外部から取り入れられる気体の割合は任意に選択可能である。 気体供給ュ ニッ ト 2 3 1は、 清浄空気をつく りだすための公知の構造の H E P A若しくは U L P Aフィルタを備えている。 清浄空気の層流状の下方向の流れすなわちダ ゥンフローは、 主に、 ミニエンバイロメント空間 2 1内に配置された後述する 第 1の搬送ュニッ トによる搬送面を通して流れるように供給され、 搬送ュニッ 卜により発生する虞のある塵埃がウェハに付着するのを防止するようになって いる。 したがって、 ダウンフローの噴出口は必ずしも図示のように頂壁に近い 位置である必要はなく、 搬送ユニッ トによる搬送面より上側にあればよい。 ま た、 ミニエンバイロメント空間全面に亘つて流す必要もない。
なお、 場合によっては、 清浄空気としてイオン風を使用することによって清 浄度を確保することができる。 また、 ミニエンバイロメント空間内には清浄度 を観察するためのセンサを設け、 清浄度が悪化したときに装置をシャツ トダウ ンすることもできる。
ハウジング 2 2の周壁 2 2 3のうちカセッ トホルダ 1 0に隣接する部分には 出入り口 2 2 5が形成されている。 出入り口 2 2 5近傍には公知の構造のシャ ッタ装置を設けて出入り口 2 2 5をミニエンバイロメント装置側から閉じるよ うにしてもよい。 ウェハ近傍でつくる層流のダウンフローは、 例えば 0 . 3な いし 0 . 4 m / s e cの流速でよい。 気体供給ュニッ トはミニエンバイロメン 卜空間内でなくその外側に設けてもよい。
排出装置 2 4は、 前記搬送ュニッ トのウェハ搬送面より下側の位置で搬送ュ ニッ 卜の下部に配置された吸入ダク ト 2 4 1と、 ハウジング 2 2の外側に配置 されたブロワ一 2 4 2と、 吸入ダク ト 2 4 1とブロワ一 2 4 2とを接続する導 管 2 4 3と、 を備えている。 この排出装置 2 4は、 搬送ユニッ トの周囲を流れ 下り搬送ュニッ 卜により発生する可能性のある塵埃を含んだ気体を、 吸人ダク ト 2 4 1により吸引し、 導管 2 4 3、 2 4 4及びブロワ一 2 4 2を介してハウ ジング 2 2の外側に排出する。 この場合、 ハウジング 2 2の近くに引かれた排 気管 (図示せず) 内に排出してもよい。
ミニエンバイロメント空間 2 1内に配置されたァライナー 2 5は、 ウェハに 形成されたオリエンテーションフラッ ト (円形のウェハの外周に形成された平 坦部分を言い、 以下においてオリフラと呼ぶ) や、 ウェハの外周縁に形成され た一つ又はそれ以上の V型の切欠きすなわちノツチを光学的に或いは機械的に 検出して
ウェハの軸線 0— Oの周りの回転方向の位置を約土 1度の精度で予め位置決め しておくようになっている。 プリァライナーは請求項に記載された発明の検査 対象の座標を決める機構の一部を構成し、 検査対象の粗位置決めを担当する。 このブリアライナー自体は公知の構造のものでよいので、 その構造、 動作の説 明は省略する。
なお、 図示しないが、 ブリアライナーの下部にも排出装置用の回収ダク トを 設けて、 ブリアライナーから排出された塵埃を含んだ空気を外部に排出するよ うにしてもよい。
図 5及び図 6の (a ) において、 ワーキングチャンパ 3 1を画成する主ハウ ジング 3 0は、 ハウジング本体 3 2を備え、 そのハウジング本体 3 2は、 台フ レーム 3 6上に配置された振動遮断装置すなわち防振装置 3 7の上に載せられ たハウジング支持装置 3 3によって支持されている。 ハウジング支持装置 3 3 は矩形に組まれたフレーム構造体 3 3 1を備えている。 ハウジング本体 3 2は フレーム構造体 3 3 1上に配設固定されていて、 フレーム構造体上に載せられ た底壁 3 2 1 と、 頂壁 3 2 2と、 底壁 3 2 1及び頂壁 3 2 2に接続されて四周 を囲む周壁 3 2 3とを備えていてワーキングチャンバ 3 1を外部から隔離して いる。 底壁 3 2 1は、 この実施の形態では、 上に載置されるステージ装置等の 機器による加重で歪みの発生しないように比較的肉厚の厚い鋼板で構成されて いるが、 その他の構造にしてもよい。
この実施の形態において、 ハウジング本体及びハウジング支持装置 3 3は、 剛構造に組み立てられていて、 台フレーム 3 6が設置されている床からの振動 がこの剛構造に伝達されるのを防振装置 3 7で阻止するようになっている。 ハ ウジング本体 3 2の周壁 3 2 3のうち後述するローダハウジングに隣接する周 壁にはウェハ出し入れ用の出入り口 3 2 5が形成されている。 なお、 防振装置 は、 空気バネ、 磁気軸受け等を有するアクティブ式のものでも、 或いはこれら を有するパッシブ式のもよい。 いずれも公知の構造のものでよいので、 それ自 体の構造及び機能の説明は省略する。 ワーキングチャンバ 3 1は公知の構造の 真空装置 (図示せず) により真空雰囲気に保たれるようになつている。
台フレーム 3 6の下には装置全体の動作を制御する制御装置 2が配置されて おり、 この制御装置 2は主にメインコントローラ、 制御コントローラ、 ステー ジコントローラから構成される。
メインコントローラにはマン一マシンィンターフェ一スが備えられており、 オペレータの操作はここを通して行われる (種々の指示/命令、 レシピなどの 入力、 検査スタートの指示、 自動と手動検査モードの切り替え、 手動検査モー ド時のときの必要な全てのコマンドの入力等) 。 その他、 工場のホストコンビ ユー夕とのコミュニケーション、 真空排気系の制御、 ウェハ等の試料搬送、 位 置合わせの制御、 他の制御コントローラやステージコントローラへのコマンド の伝達や情報の受け取り等もメインコントローラで行われる。 また、 光学顕微 鏡からの画像信号の取得、 ステージの変動信号を電子光学系にフィードバック させて像の悪化を補正するステージ振動補正機能、 試料観察位置の Z方向 (二 次光学系の軸方向) の変位を検出して電子光学系へフィードバックし、 自動的 に焦点を補正する自動焦点補正機能を備えている。 電子光学系へのフィードバ ック信号等の授受およびステージからの信号の授受は、 それぞれ制御コント口 ーラ及びステージコントローラを介して行われる。
制御コントローラは主に電子光学系の制御 (電子銃、 レンズ、 ァライナー、 ウィーンフィル夕などのための高精度電源の制御等) を担う。 具体的には、 倍 率が変わったときにも常に一定の電子電流が照射領域に照射されるようにする こと、 各倍率に対応して各レンズ系ゃァライナ一に印加する電圧を自動設定す る等の、 各オペレーションモードに対応した各レンズ系ゃァライナーへの自動 電圧設定等の制御 (連動制御) を行う。
ステージコントローラは主にステージの移動に関する制御を行い、 精密な X 方向および Y方向の i mオーダーの移動 (± 0 . 5 程度の誤差) を可能に している。 また、 ステージコントローラはステージの回転方向の制御 (Θ制 御) を誤差精度士 0 . 3秒程度以内で行う。
図 5、 図 6の ( a ) 及び図 8において、 ローダハウジング 4 0は、 第 1の口 一ディングチャンバ 4 1 と第 2のローディングチヤンバ 4 2とを画成するハウ ジング本体 4 3を備えている。 ハウジング本体 4 3は底壁 4 3 1と、 頂壁 4 3 2と、 四周を囲む周壁 4 3 3と、 第 1のローデイングチャンバ 4 1 と第 2の口 一ディングチヤンバ 4 2とを仕切る仕切壁 4 3 4とを有していて、 両口一ディ ングチャンバを外部から隔離できるようになっている。 仕切壁 4 3 4には両口 一ディングチヤンバ間でウェハのやり取りを行うための開口すなわち出入り口 4 3 5が形成されている。 また、 周壁 4 3 3のミニエンバイロメント装置及び 主ハウジングに隣接した部分には出入り口 4 3 6及び 4 3 7が形成されている c このローダハウジング 4 0のハウジング本体 4 3は、 ハウジング支持装置 3 3 のフレーム構造体 3 3 1上に載置されてそれによつて支持されている。 したが つて、 このローダハウジング 4 0にも床の振動が伝達されないようになってい る。
ローダハウジング 4 0の出入り口 4 3 6.とミニエンバイロメント装置のハウ ジング 2 2の出入り口 2 2 6とは整合されていて、 そこにはミニエンバイロメ ント空間 2 1と第 1のローディングチャンバ 4 1 との連通を選択的に阻止する シャツ夕装置 2 7が設けられている。 シャツ夕装置 2 7は、 出入り口 2 2 6及 び 4 3 6の周囲を囲んで側壁 4 3 3と密に接蝕して固定されたシール材 2 7 1、 シール材 2 7 1 と協働して出入り口を介しての空気の流通を阻止する扉 2 7 2 と、 その扉を動かす駆動装置 2 7 3とを有している。 また、 ローダハウジング 4 0の出入り口 4 3 7 とハウジング本体 3 2の出入り口 3 2 5とは整合されて いて、 そこには第 2のローディングチャンバ 4 2とワーキンググチャンバ 3 1 との連通を選択的に密封阻止するシャッタ装置 4 5が設けられている。 シャッ 夕装置 4 5は、 出入り口 4 3 7及び 3 2 5の周囲を囲んで側壁 4 3 3及び 3 2 3と密に接触してそれらに固定されたシール材 4 5 1、 シ一ルネオ 4 5 1と協働 して出入り口を介しての空気の流通を阻止する扉 4 5 2と、 その扉を動かす駆 動装置 4 5 3とを有している。 更に、 仕切壁 4 3 4に形成された開口には、 扉 4 6 1によりそれを閉じて第 1及ぴ第 2のローディングチャンバ間の連通を選択的に密封阻止するシャッ夕 装置 4 6が設けられている。 これらのシャツ夕装置 2 7、 4 5及び 4 6は、 閉 じ状態にあるとき各チャンバを気密シールできるようになっている。 これらの シャツ夕装置は公知のものでよいので、 その構造及び動作の詳細,な説明は省略 する。 なお、 ミニエンバイロメント装置 2 0のハウジング 2 2の支持方法と口 ーダハウジングの支持方法が異なり、 ミニエンバイロメント装置を介して床か らの振動が口一ダハウジング 4 0、 主ハウジング 3 0に伝達されるのを防止す るために、 ハウジング 2 2 とローダハウジング 4 0との間には出入り口の周囲 を気密に囲むように防振用のクッション材を配置しておけば良い。
第 1のローデイングチャンパ 4 1内には、 複数 (この実施の形態では 2枚) のウェハを上下に隔てて水平の状態で支持するウェハラック 4 7が配設されて いる。 ウェハラック 4 7は、 図 9に示されるように、 矩形の基板 4 7 1の四隅 に互いに隔てて直立状態で固定された支柱 4 7 2を備え、 各支柱 4 7 2にはそ れぞれ 2段の支持部 4 7 3及び 4 7 4が形成され、 その支持部の上にウェハ W の周縁を載せて保持するようになっている。 そして後述する第 1及び第 2の搬 送ュニッ 卜のアームの先端を隣接する支柱間からウェハに接近させてアームに よりウェハを把持するようになっている。
口一ディングチャンバ 4 1及び 4 2は、 図示しない真空ポンプを含む公知の 構造の真空排気系 (図示せず) によって高真空状態 (真空度としては 1 0一5〜 1 0—6 P a ) に雰囲気制御され得るようになつている。 この場合、 第 1のロー ディングチャンバ 4 1を低真空チャンバとして低真空雰囲気に保ち、 第 2の口 一ディングチヤンバ 4 2を高真空チヤンバとして高真空雰囲気に保ち、 ウェハ の汚染防止を効果的に行うこともできる。 このような構造を採用することによ つて口一ディングチヤンバ内に収容されていて次に欠陥検査されるウェハをヮ 一キングチャンバ内に遅滞なく搬送することができる。 このようなローデイン グチヤンバを採用することによって、 後述するマルチビーム型電子線装置原理 と共に、 欠陥検査のスループッ トを向上させ、 更に保管状態が高真空状態であ ることを要求される電子線源周辺の真空度を可能な限り高真空度状態にするこ とができる。
なお、 真空排気系は真空ポンプ、 真空バルブ、 真空ゲージ、 真空配管等から 構成され、 電子光学系、 検出器部、 試料室、 ロードロック室を所定のシーケン スに従って真空排気を行うものである。 各部において必要な真空度を達成する ように真空バルブが制御される。 このために、 真空度のモニターを常時行い、 異常時には、 ィン夕ーロック機能により隔離バルブ等の緊急制御を行って真空 度を確保する。 真空ポンプとしては、 主排気にはターボ分子ポンプを、 粗引き 用としてはルーツ式のドライポンプを使用する。 検査場所 (電子線照射部) の 圧力は 1 0— 3〜1 0— 5 P a、 好ましくはその 1桁下の 1 0— 4〜: 1 0— 6 P aが 実用的である。 ' · 第 1及び第 2のローディングチャンバ 4 1及び 4 2は、 それぞれ真空排気配 管と不活性ガス (例えば乾燥純窒素) 用のベント配管 (それぞれ図示せず) が 接続されている。 これによつて、 各ローデイングチャンバ内の大気圧状態は不 活性ガスベント (不活性ガスを注入して不活性ガス以外の酸素ガス等が表面に 付着するのを防止する) によって達成される。 このような不活性ガスベントを 行う装置自体は公知の構造のものでよいので、 その詳細な説明は省略する。 なお、 電子線を使用する本発明の検査装置において、 後述する電子光学系の 電子線源として使用される代表的な六硼化ランタン (L a B 6) 等は一度熱電子 線を放出する程度まで高温状態に加熱された場合には、 酸素等に可能な限り接 触させないことがその寿命を縮めないために肝要であるが、 電子光学系が配置 されているワーキングチヤンバにウェハを搬入する前段階で上記のような雰囲 気制御を行うことにより、 より確実に実行できる。
ステージ装置 5 0は、 主ハウジング 3 0の底壁 3 2 1上に配置された固定テ 一プル 5 1と、 固定テーブル上で Y方向 (図 5において紙面に垂直の方向) に 移動する Yテーブル 5 2と、 Yテ一プル上で X方向 (図 3において左右方向) に移動する Xテーブル 5 3と、 Xテープル上で回転可能な回転テーブル 5 4と、 回転テ一ブル 5 4上に配置されたホルダ 5 5とを備えている。 そのホルダ 5 5 のウェハ載置面 5 5 1上にウェハを解放可能に保持する。 ホルダは、 ウェハを 機械的に或いは静電チャック方式で解放可能に把持できる公知の構造のもので よい。
ステージ装置 5 0は、 サーポモータ、 エンコーダ及び各種のセンサ (図示せ ず) を用いて、 上記のような複数のテーブルを動作させることにより、 載置面 5 5 1上でホルダに保持されたウェハを電子光学装置から照射される電子線に 対して X方向、 Y方向及び Z方向 (図 5において上下方向) に、 更にウェハの 支持面に鉛直な軸線の回り方向 (0方向) に高い精度で位置決めできるように なっている。 なお、 Z方向の位置決めは、 例えばホルダ上の載置面の位置を Z 方向に微調整可能にしておけばよい。 この場合、 載置面の基準位置を微細径レ 一ザによる位置測定装置 (干渉計の原理を使用したレーザ干渉測距装置) によ つて検知し、 その位置を図示しないフィードバック回路によって制御したり、 それと共に或いはそれに代えてウェハのノツチ或いはオリフラの位置を測定し てウェハの電子線に対する平面位置、 回転位置を検知し、 回転テーブルを微小 角度制御可能なステツピングモータなどにより回転させて制御する。
ワーキングチャンバ内での塵埃の発生を極力防止するために、 ステージ装置 用のサーボモータ 5 2 1、 5 3 1及びエンコーダ 5 2 2、 5 3 2は、 主ハウジ ング 3 0の外側に配置されている。 なお、 ステージ装置 5 0は、 例えばステツ パー等で使用されている公知の構造のもので良いので、 その構造及び動作の詳 細な説明は省略する。 また、 上記レーザ干渉測距装置も公知の構造のものでよ いので、 その構造、 動作の詳細な説明は省略する。
電子線に対するウェハの回転位置や X、 Y位置を予め後述する信号検出系或 いは画像処理系に入力することで得られる信号の基準化を図ることもできる。 更に、 このホルダに設けられたウェハチャック機構は、 ウェハをチャックする ための電圧を静電チヤックの電極に与えられるようになっていて、 ウェハの外 周部の 3点 (好ましくは周方向に等隔に隔てられた) を押さえて位置決めする ようになつている。 ウェハチャック機構は、 二つの固定位置決めピンと、 一つ の押圧式クランクピンとを備えている。 クランプピンは、 自動チャック及び自 動リリースを実現できるようになつており、 かつ電圧印加の導通箇所を構成し ている。
なお、 この実施の形態では図 6の (a ) で左右方向に移動するテーブルを X テ一ブルとし、 上下方向に移動するテ一ブルを Yテーブルとしたが、 同図で左 右方向に移動するテーブルを Yテ一ブルとし、 上下方向に移動するテーブルを Xテ一ブルとしてもよい。
ローダ一 6 0は、 ミニエンバイロメン卜装置 2 0のハウジング 2 2内に配置 されたロポッ 卜式の第 1の搬送ュニッ ト 6 1 と、 第 2の口一ディングチャンバ 4 2内に配置されたロポット式の第 2の搬送ュニッ ト 6 3とを備えている。 第 1の搬送ュニッ ト 6 1は、 駆動部 6 1 1に関して軸線 — 0 !の回りで回 転可能になっている多節のアーム 6 1 2を有している。 多節のアームとしては 任意の構造のものを使用できるが、 この実施の形態では、 互いに回動可能に取 り付けられた三つの部分を有している。 第 1の搬送ュニット 6 1のアーム 6 1 2の一つの部分すなわち最も駆動部 6 1 1側の第 1の部分は、 駆動部 6 1 1内 に設けられた公知の構造の駆動機構 (図示せず) により回転可能な軸 6 1 3に 取り付けられている。 アーム 6 1 2は、 軸 6 1 3により軸線 — の回りで 回動できると共に、 部分間の相対回転により全体として軸線 — に関して 半径方向に伸縮可能になっている。 ァ一ム 6 1 2の軸 6 1 3から最も離れた第 3の部分の先端には、 には公知の構造の機械式チヤック又は静電チャック等の ウェハを把持する把持装置 6 1 6が設けられている。 駆動部 6 1 1は、 公知の 構造の昇降機構 6 1 5により上下方向に移動可能になっている。
この第 1の搬送ュニット 6 1は、 アーム 6 1 2がカセットホルダに保持され た二つのカセッ ト cの内いずれか一方の方向 M 1又は M 2に向かってアームが 伸び、 カセッ ト c内に収容されたウェハを 1枚アームの上に載せ或いはァ一ム の先端に取り付けたチャック (図示せず) により把持して取り出す。 その後ァ ームが縮み (図 6の (a ) に示す状態) 、 アームがブリアライナ一 2 5の方向 M 3に向かって伸長できる位置まで回転してその位置で停止する。 するとァ一 ムが再び伸びてアームに保持されたウェハをプリァライナー 2 5に載せる。 プ リァライナーから前記と逆にしてウェハを受け取った後はアームは更に回転し 第 2のローデイングチャンバ 4 1に向かって伸長できる位置 (向き M 4 ) で停 止し、 第 2のローデイングチャンパ 4 1内のウェハ受け 4 7にウェハを受け渡 す。
なお、 機械的にウェハを把持する場合にはウェハの周縁部 (周縁から約 5 m mの範囲) を把持する。 これはウェハには周縁部を除いて全面にデバイス (回 路配線) が形成されており、 この部分を把持するとデバイスの破壌、 欠陥の発 生を生じさせるからである。
第 2の搬送ュニッ ト 6 3も第 1の搬送ュニッ卜と構造が基本的に同じであり、 ウェハの搬送をウェハラック 4 7とステージ装置の載置面上との間で行う点で のみ相違するだけであるから、 詳細な説明は省略する。
上記ローダー 6 0では、 第 1及び第 2の搬送ュニッ ト 6 1及び 6 3は、 カセ ッ トホルダに保持されたカセットからワーキングチャンバ 3 1内に配置された ステージ装置 5 0上への及びその逆のウェハの搬送をほぼ水平状態に保ったま まで行い、 搬送ユニッ トのアームが上下動するのは、 単に、 ウェハのカセッ ト からの取り出し及びそれへの揷入、 ウェハのウェハラックへの載置及びそこか らの取り出し及びウェハのステージ装置への載置及びそこからの取り出しのと きるだけである。 したがって、 大型のウェハ、 例えば直径 3 0 c mのウェハの 移動もスムースに行うことができる。
次にカセッ トホルダに支持されたカセッ 卜 cからヮ一キングチャンバ 3 1内 に配置されたステージ装置 5 0までへのウェハの搬送を順を追って説明する。 カセッ トホルダ 1 0は、 前述のように人手によりカセッ トをセッ 卜する場合 にはそれに適した構造のものが、 また自動的にカセッ トをセッ トする場合には それに適した構造のものが使用される。 この実施の形態において、 カセッ ト c がカセッ トホルダ 1 0の昇降テーブル 1 1の上にセッ トされると、 昇降テープ ル 1 1は昇降機構 1 2によって降下されカセッ 卜 cが出入り口 2 2 5に整合さ れる。
カセッ 卜が出入り口 2 2 5に整合されると、 カセッ卜に設けられたカバー (図示せず) が開きまたカセット c とミニエンバイロメントの出入り口 2 2 5 との間には筒状の覆いが配置されてカセッ ト内及びミニエンバイロメント空間 内を外部から遮断する。 これらの構造は公知のものであるから、 その構造及び 動作の詳細な説明は省略する。 なお、 ミニエンバイロメント装置 2 0側に出入 り口 2 2 5を開閉するシャッ夕装置が設けられている場合にはそのシャッタ装 置が動作して出入り口 2 2 5を開く。
一方、 第 1の搬送ュニッ ト 6 1のアーム 6 1 2は方向 M 1又は M 2のいずれ かに向いた状態 (この説明では M 1の方向) で停止しており、 出入り口 2 2 5 が開くとアームが伸びて先端でカセッ 卜内に収容されているウェハのうち 1枚 を受け取る。 なお、 アームと、 カセッ トから取り出されるべきウェハとの上下 方向の位置調整は、 この実施の形態では第 1の搬送ュニッ ト 6 1の駆動部 6 1 1及びアーム 6 1 2の上下移動で行うが、 カセットホルダの昇降テーブルの上 下動行っても或いはその両者で行ってもよい。
アーム 6 1 2によるウェハの受け取りが完了すると、 アームは縮み、 シャツ 夕装置を動作して出入り口を閉じ (シャツ夕装置がある場合) 、 次にアーム 6 1 2は軸線 O i—O ,の回りで回動して方向 M 3に向けて伸長できる状態になる。 すると、 アームは伸びて先端に載せられ或いはチヤックで把持されたウェハを プリアライナー 2 5の上に載せ、 そのブリアライナ一によってウェハの回転方 向の向き (ウェハ平面に垂直な中心軸線の回りの向き) を所定の範囲内に位置 決めする。 位置決めが完了すると搬送ュニッ 卜 6 1はアームの先端にプリァラ イナ一 2 5からウェハを受け取つたのちアームを縮ませ、 方向 M 4に向けてァ —ムを伸長できる姿勢になる。 するとシャッ夕装置 2 7の扉 2 7 2が動いて出 入り口 2 2 6及び 4 3 6を開き、 アーム 6 1 2が伸びてウェハを第 1のローデ ィングチヤンバ 4 1内のウェハラック 4 7の上段側又は下段側に載せる。 なお、 前記のようにシャッタ装置 2 7が開いてウェハラック 4 7にウェハが受け渡さ れる前に、 仕切壁 4 3 4に形成された開口 4 3 5はシャッ夕装置 4 6の扉 4 6 1により気密状態で閉じられている。
上記第 1の搬送ュニッ トによるウェハの搬送過程において、 ミニエンバイ口 メント装置のハウジングの上に設けられた気体供給ュニッ ト 2 3 1からは清浄 空気が層流状に流れ (ダウンフローとして) 、 搬送途中で塵埃がウェハの上面 に付着するのを防止する。 搬送ユニッ ト周辺の空気の一部 (この実施の形態で は供給ユニットから供給される空気の約 2 0 %で主に汚れた空気) は排出装置 2 4の吸入ダク ト 2 4 1から吸引されてハウジング外に排出される。 残りの空 気はハウジングの底部に設けられた回収ダク ト 2 3 2を介して回収され再び気 体供給ュニッ ト 2 3 1に戻される。
ローダハウジング 4 0の第 1のローディングチヤンバ 4 1内のウェハラック 4 7内に第 1の搬送ュニッ ト 6 1によりウェハが載せられると、 シャッタ装置 2 7が閉じて、 ローデイングチャンバ 4 1内を密閉する。 すると、 第 1のロー ディングチャンバ 4 1内には不活性ガスが充填されて空気が追い出された後、 その不活性ガスも排出されてそのローディングチャンバ 4 1内は真空雰囲気に される。 この第 1のローデイングチャンパの真空雰囲気は低真空度でよい。 口 一ディングチャンパ 4 1内の真空度がある程度得られると、 シャッ夕装置 4 6 が動作して扉 4 6 1で密閉していた出入り口 4 3 4を開き、 第 2の搬送ュニッ ト 6 3のアーム 6 3 2が伸びて先端の把持装置でウェハ受け 4 7から 1枚のゥ ェハを受け取る (先端の上に載せて或いは先端に取り付けられたチヤックで把 持して) 。 ウェハの受け取りが完了するとアームが縮み、 シャツ夕装置 4 6が 再び動作して扉 4 6 1で出入り口 4 3 5を閉じる。
なお、 シャツ夕装置 4 6が開く前にアーム 6 3 2は予めウェハラック 4 7の 方向 N 1に向けて悴長できる姿勢になる。 また、 前記のようにシャツ夕装置 4 6が開く前にシャッタ装置 4 5の扉 4 5 2で出入り口 4 3 7、 3 2 5を閉じて いて、 第 2の口一ディングチヤンバ 4 2内とワーキングチヤンバ 3 1内との連 通を気密状態で阻止しており、 第 2のローディングチャンバ 4 2内は真空排気 される。
シャッタ装置 4 6が出入り口 4 3 5を閉じると、 第 2のローディングチャン バ内は再度真空排気され、 第 1のローディングチャンバ内よりも高真空度で真 空にされる。 その間に、 第 2の搬送ュニット 6 1のアームはワーキングチヤン バ 3 1内のステージ装置 5 0の方向に向いて伸長できる位置に回転される。 一 方ワーキングチャンバ 3 1内のステージ装置では、 Yテーブル 5 2が、 Xテ一 ブル 5 3の中心線 X G— X。が第 2の搬送ュニッ ト 6 3の回動軸線 0 2— 0 2を通る X軸線 X ,— X ,とほぼ一致する位置まで、 図 6の ( a ) で上方に移動し、 また、 Xテーブル 5 3は図 6の (a ) で最も左側の位置に接近する位置まで移動し、 この状態で待機している。
第 2のローディングチャンバがワーキングチャンパの真空状態と略同じにな ると、 シャツタ装置 4 5の扉 4 5 2が動いて出入り口 4 3 7、 3 2 5を開き、 アームが伸びてウェハを保持したアームの先端がワーキングチャンバ 3 1内の ステージ装置に接近する。 そしてステージ装置 5 0の載置面 5 5 1上にウェハ を載置する。 ウェハの載置が完了するとアームが縮み、 シャツ夕装置 4 5が出 入り口 4 3 7、 3 2 5を閉じる。
以上は、 カセッ ト c内のウェハをステージ装置上に搬送するまでの動作に付 いて説明したが、 ステージ装置に載せられて処理が完了したウェハをステージ 装置からカセッ ト c内に戻すには前述と逆の動作を行って戻す。 また、 ウェハ ラック 4 7に複数のウェハを載置しておくため、 第 2の搬送ュニッ 卜でウェハ ラックとステージ装置との間でウェハの搬送を行う間に、 第 1の搬送ュニッ ト でカセッ 卜とウェハラックとの間でウェハの搬送を行うことができ、 検査処理 を効率良く行うことができる。
具体的には、 第 2の搬送ユニッ トのウェハラック 4 7に、 既に処理済みのゥ ェハ Aと未処理のウェハ Bとがある場合、 まず、 ステージ装置 5 0に未処理の ウェハ Bを移動して処理を開始する。 この処理中に、 処理済みウェハ Aをァー ムによりステージ装置 5 0からウェハラック 4 7に移動し、 未処理のウェハ C を同じくアームによりウェハラック 4 7から抜き出し、 プリァライナで位置決 めした後、 ローデイングチャンバ 4 1のウェハラック 4 7に移動する。 このよ うにすることで、 ウェハラック 4 7の中は、 ウェハ Bを処理している期間に、 処理済みのウェハ Aを未処理のウェハ Cに置き換えることができる。
また、 検査や評価を行うこのような装置の利用の仕方によっては、 ステージ 装置 5 0を複数台並列に置き、 それぞれの装置に一つのウェハラック 4 7から ウェハを移動することにより、 複数枚のウェハを同じに処理することができる, 図 1 0は、 主ハウジングの支持方法の変形例がで示されている。 図 1 0の [ A ] に示された変形例では、 ハウジング支持装置 3 3 aを厚肉で矩形の鋼板 3 3 1 aで構成し、 その鋼板の上にハウジング本体 3 2 aが載せられている。 したがって、 ハウジング本体 3 2 aの底壁 3 2 1 aは、 前記実施の形態の底壁 に比較して薄い構造になっている。
図 1 0の [ B ] に示された変形例では、 ハウジング支持装置 3 3 bのフレー ム構造体 3 3 6 bによりハウジング本体 3 2 b及びローダハウジング 4 0 bを 吊り下げて状態で支持するようになっている。 フレーム構造体 3 3 6 bに固定 された複数の縦フレーム 3 3 7 bの下端は、 ハウジング本体 3. 2 bの底壁 3 2 1 bの四隅に固定され、 その底壁により周壁及び頂壁を支持するようになって いる。 そして防振装置 3 7 bは、 フレーム構造体 3 3 6 bと台フレーム 3 6 b との間に配置されている。 また、 ローダハウジング 4 0もフレーム構造体 3 3 6に固定された吊り下げ部材 4 9 bによって吊り下げられている。 ハウジング 本体 3 2 bのこの図 1 0の [ B ] に示された変形例では、 吊り下げ式に支える ので主ハウジング及びその中に設けられた各種機器全体の低重心化が可能であ る。 上記変形例を含めた主ハウジング及びローダハウジングの支持方法では主 ハウジング及ぴ口一ダ八ウジングに床からの振動が伝わらないようになってい る。
図示しない別の変形例では、 主ハウジングのハウジング本外のみがハゥジン グ支持装置によって下から支えられ、 ローダハウジングは隣接するミニエンバ イロメント装置と同じ方法で床上に配置され得る。 また、 図示しない更に別の 変形例では、 主ハウジングのハウジング本体のみがフレーム構造体に吊り下げ 式で支持され、 ローダハウジングは隣接するミニエンバイロメント装置と同じ 方法で床上に配置され得る。
電子光学装置 7 0は、 ハウジング本体 3 2に固定された鏡筒 7 1を備え、 そ の中には、 図 1 1に概略図示するような、 一次電子光学系 (以下単に一次光学 系) 7 2と、 二次電子光学系 (以下単に二次光学系) 7 4とを備える電子光学 系と、 検出系 7 6とが設けられている。 一次光学系 7 2は、 電子線を検査対象 であるウェハ Wの表面に照射する光学系で、 電子線を放出する電子線源 7 2 1 と、 電子線源 7 2 1から放出された一次電子線を集束する静電レンズからなる レンズ系 7 2 2と、 ウィーンフィルタ (すなわち E X B分離器又は E X Bフィ ル夕) 7 2 3と、 対物レンズ系 7 2 4と、 を備え、 それらは、 図 1 1に示され るように電子線源 7 2 1を最上部にして順に配置されている。 この実施の形態 の対物レンズ系 7 2 4を構成するレンズは減速電界型対物レンズである。 この 実施の形態では、 電子線源 7 2 1から放出される一次電子線の光軸は、 検査対 象であるウェハ Wに照射される照射光軸 (ウェハの表面に垂直になっている) に関して斜めになつている。 対物レンズ系 7 2 4と検査対象であるウェハ Wと の間には電極 7 2 5が配置されている。 この電極 7 2 5は一次電子線の照射光 軸に関して軸対称の形状になっていて、 電源 7 2 6によって電圧制御されるよ うになつている。
二次光学系 7 4は、 ウイ一ンフィルタ 7 2 3により一次光学系から分離され た二次電子線を通す静電レンズから成るレンズ系 7 4 1を備えている。 このレ ンズ系 7 4 1は二次電子線像を拡大する拡大レンズとして機能する。
検出系 7 6は、 レンズ系 Ί 4 1の結像面に配置された検出器 7 6 1及び画像 処理部 7 6 3を備えている。
次に、 上記構成の電子光学装置 7 0の動作に付いて説明する。
電子線源 7 2 1から放出された一次電子線は、 レンズ系 7 2 2によって集束 される。 収束された一次電子線は E X B型偏向器 7 2 3に入射され、 ウェハ W の表面に垂直に照射されるように偏向され、 対物レンズ系 7 2 4によってゥェ ハ Wの表面上に結像される。
一次電子線の照射によってウェハから放出された二次電子線は、 対物レンズ 系 7 2 4によって加速され、 E X B型偏向器 7 2 3に入射し、 その偏向器を直 進して二次光学系のレンズ系 7 4 1により検出器 7 6 1に導かれる。 そして、 その検出器 7 6 1によって検出され、 その検出信号が画像処理部 7 6 3に送ら れる。
なお、 この実施の形態において、 対物レンズ系 7 2 4は、 1 0ないし 2 0 k Vの高電圧が印加され、 ウェハは設置されているものとする。
ここで、 ウェハ Wにビア bがある場合に、 電極 7 2 5に与える電圧を一 2 0 0 Vとすると、 ウェハの電子線照射面の電界は、 0〜一 0 . l V Zmm (—は ウェハ W側が高電位であることを示す) となった。 この状態で、 '対物レンズ系 7 2 4とウェハ Wとの間に放電は発生せずに、 ウェハ Wの欠陥検査は行えたが、 二次電子線の検出効率が若干下がってしまう。 したがって、 電子線線を照射し 二次電子線を検出する一連の動作を、 例えば 4回行い、 得られた 4回分の検出 結果を累積加算や平均化等の処理を施して所定の検出感度を得た。
また、 ウェハにビア bがない場合に、 電極 7 2 5に与える電圧を + 3 5 0 V としても、 対物レンズ系 7 2 4とウェハとの間に放電は発生せずに、 ウェハ W の欠陥検査は行えた。 この場合、 電極 7 2 5に与えた電圧によって二次電子線 が集束され、 対物レンズ 7 2 4によっても更に集束されるので、 検出器 7 6 1 における二次電子線の検出効率は向上した。 よって、 ウェハ欠陥装置としての 処理も高速となり、 高いスループッ トで検査が行えた。
プレチャージユニッ ト 8 1は、 図 5に示すように、 ワーキングチャンバ 3 1 内で電子光学装置 7 0の鏡筒 7 1に隣接して配設されている。 本検査装置では 検査対象である基板すなわちウェハに電子線を走査して照射することによりゥ ェハ表面に形成されたデバイスパターン等を検査する形式の装置であるから、 電子線の照射により生じる二次電子線等の情報をウェハ表面の情報とするが、 ウェハ材料、 照射電子線のエネルギ等の条件によってウェハ表面が帯電 (チヤ ージアップ) することがある。 更に、 ウェハ表面でも強く帯電する箇所、 弱い 帯電箇所が生じる可能性がある。 ウェハ表面の帯電量にむらがあると二次電子 線情報もむらを生じ、 正確な情報を得ることができない。
そこで、 この実施の形態では、 このむらを防止するために、 電子線照射部 8 1 1を有するプレチャージュニッ ト 8 1が設けられている。 検査するウェハの 所定の箇所に検査電子線を照射する前に、 帯電むらをなくすためにこのプレチ ヤージュニットの電子線照射部 8 1 1から電子線を照射して帯電のむらを無く す。 このウェハ表面のチャージアツプは予め検出対称であるウェハ面の画像を 形成し、 その画像を評価することで検出し、 その検出に基づいてプレチャージ ユニッ ト 8 1を動作させる。 なお、 このプレチャージユニッ トでは一次電子線 をぼかして照射してもよい。
図 1 2において、 電位印加機構 8 3は、 ウェハから放出される二次電子線情 報 (二次電子線発生率) が、 ウェハの電位に依存すると言う事実に基づいて、 ウェハを載置するステージの設置台に士数 Vの電位を印加することにより二次 電子線の発生を制御するものである。 また、 この電位印加機構は、 照射電子線 が当初有しているエネルギーを減速し、 ウェハに 1 0 0〜 5 0 0 e V程度の照 射電子線エネルギーとするための用途も果たす。
電位印加機構 8 3は、 図 1 2に示すように、 ステージ装置 5 0の載置面 5 4 1 と電気的に接続された電圧印加装置 8 3 1と、 チャージアップ調査及び電圧 決定システム (以下調査及び決定システム) 8 3 2とを備えている。 調査及び 決定システム 8 3 2は、 電子光学装置 7 0の検出系 7 6の画像形成部 7 6 3に 電気的に接続されたモニター 8 3 3と、 モニター 8 3 3に接続されたオペレー 夕 8 3 4と、 オペレータ 8 3 4に接続された C P U 8 3 5とを備えている。 C P U 8 3 5は、 前記電圧印加装置 8 3 1に信号を供給するようになっている。 上記電位印加機構は、 検査対象であるウェハが帯電し難い電位を探し、 その 電位を印加するように設計されている。
図 1 3において、 電子線キャリブレーション機構 8 5は、 前記回転テーブル 上でウェハの載置面 5 4 1の側部の複数箇所に設置された、 ビーム電流測定用 のそれぞれ複数のファラデー力ップ 8 5 1及び 8 5 2を備えている。 ファラデ 一カップ 8 5 1は細いビーム用 (約 φ 2 m ) で、 ファラデーカップ 8 5 2太 いビーム用 (約 φ 3 0 μ πι ) である。 細いビーム用のファラデー力ップ 8 5 1 では回転テーブルをステップ送りすることで、 ビームプロフィルを測定し。 太 いビーム用のファラデーカップ 8 5 2ではビームの総電流量を計測する。 ファ ラデー力ップ 8 5 1及び 8 5 2は、 上表面が載置面 5 4 1上に載せられたゥェ ハ wの上表面と同じレベルになるように配置されている。 このようにして電子 線源から放出される一次電子線を常時監視する。 これは、 電子線源が常時一定 の電子線を放出できるわけでなく、 使用しているうちにその放出量が変化する ためである。
ァライメント制御装置 8 7は、 ステージ装置 5 0を用いてウェハ Wを電子光 学装置 7 0に対して位置決めさせる装置であって、 ウェハを光学顕微鏡 8 7 1 を用いた広視野観察による概略合わせ (電子光学系によるよりも倍率が低い測 定) 、 電子光学装置 7 0の電子光学系を用いた高倍率合わせ、 焦点調整、 検査 領域設定、 パターンァライメント等の制御を行うようになっている。 このよう に光学系を用いて低倍率でウェハを検査するのは、 ウェハのパターンの検査を 自動的に行うためには、 電子線を用いた狭視野でゥェ八のパターンを観察して ウェハァライメントを行う時に、 電子線によりァライメントマークを容易に検 出する必要があるからである。
光学顕微鏡 8 7 1はハウジング内 7 1に設けられ (ハウジング内で移動可能 に設けられていてもよい) 、 光学顕微鏡を動作させるための光源 (図示せず) もハウジング内に設けられている。 また高倍率の観察を行う電子光学系は電子 光学装置 7 0の電子光学系 (一次光学系 7 2及び二次光学系 7 4 ) を共用する ものである。 その構成を概略図示すれば、 図 1 2に示されるようになる。 ゥェ ハ上の被観察点を低倍率で観察するには、 ステージ装置 5 0の Xステージ 5 3 を X方向に動かすことによってウェハの被観察点を光学顕微鏡の視野内に移動 させる。 光学顕微鏡 8 7 1で広視野でウェハを視認してそのウェハ上の観察す べき位置を C C D 8 7 2を介してモニタ 8 7 3に表示させ、 観察位置をおおよ そ決定する。 この場合光学顕微鏡の倍率を低倍率から高倍率に変化させていつ てもよい。
次に、 ステージ装置 5 0を電子光学装置 7 0の光軸と光学顕微鏡 8 7 1の光 軸との間隔 δ に相当する距離だけ移動させて光学顕微鏡で予め決めたウェハ 上の被観察点を電子光学装置の視野位置に移動させる。 この場合、 電子光学装 置の軸線 0 3— 0 3と光学顕微鏡 8 7 1の光軸〇4一〇4との間の距離 (この実施の 形態では X軸線に沿った方向にのみ両者は位置ずれしているものとするが、 Y 軸方向及び Y軸方向に位置ずれしていてもよい) δ Xは予めわかっているので その値 δ Xだけ移動させれば被観察点を視認位置に移動させることができる。 電子光学装置の視認位置への被観察点の移動が完了した後、 電子光学系により 高倍率で被観察点を S Ε Μ撮像して画像を記憶したり又は C C D 7 6 1を介し てモニタ 7 6 5に表示させる。
このようにして電子光学系による高倍率でウェハの観察点をモニタに表示さ せた後、 公知の方法によりステージ装置 5 0の回転テーブル 5 4の回転中心に 関するウェハの回転方向の位置ずれすなわち電子光学系の光軸 0 3—〇3に対す るウェハの回転方向のずれ δ Θを検出し、 また電子光学装置に関する所定のパ ターの X軸及び Υ軸方向の位置ずれを検出する。 そしてその検出値並びに別途 得られたウェハに設けられた検査マークのデータ或いはウェハのパターンの形 状等に関するデ一夕に基づいてステージ装置 5 0の動作を制御してウェハのァ ライメントを行う。
以上、 図 5〜図 1 4を用いて説明した検査装置は、 図 3および図 4の ( a ) 、 ( b ) を参照して説明したデバイス製造方法における (G ) のウェハ検査工程 に使用すると、 微細なパターンを有する半導体デバイスであっても、 スループ ッ 卜良く検査を行うことができるので、 全数検査も可能になり、 製品の歩留ま りを向上させ、 欠陥製品の出荷を防止することができる。 これに関しては、 図 3および図 4の (a ) 、 ( b ) に関する説明を援用することにし、 ここでの説 明は省略する。
鏡筒に関する実施の形態 (第 3の実施の形態)
ここで、 図 1 5を用いて、 本発明に係る電子線装置の第 3の実施の形態であ る電子線装置を説明する。 この電子線装置は、 最小線幅が 0 . 1ミクロン以下 のパターンを有するウェハ、 マスク等の試料の評価や検査を高スル一プッ ト、 高信頼性で行うのに適しており、 デバイス製造に用いることができる。
マルチビームを使用する電子線装置は既に公知である。 例えば、 電子線源か ら所定の径に収束された 1個以上の電子線を放出させて被検査試料の表面に結 像させ、 被検査試料を移動させることによって該被検査試料の表面を電子線で 走査し、 被検査試料から放出される二次電子線や反射電子線を複数の検出素子 で検出して、 それらの検出素子の出力を同時に又は並列的に処理することによ り、 微細パターンの評価時間を短縮するようにした電子線装置は公知である。 また、 複数の電子線源から放出される電子線のスポッ ト形状のバラツキを解 消して微細パターンの評価精度を高めるために、 複数の一次電子線で被検査試 料を照射し、 その結果放出された二次電子線や反射電子線を一次電子線毎に検 出して、 一次電子線毎に電極電圧又は励磁電流を調節するようにした微細パタ ーン評価装置も公知である。
こうしたマルチビーム型の電子線装置にあっては、 電子線源の部分とレン ズ *偏向系とで、 必要とされる真空度に相違がある。 例えば、 マルチェミッタ 一型の又は熱電界放出型の電子線源においては、 電子線源のカソードの近傍は、
1 0— 8 t o r rよりも良好な真空度にしないと安全に動作しないのに対して、 レンズ ·偏向系は、 静電レンズゃ静電偏向器を用いた場合であっても、 1 0一6 t o r r程度の真空度が達成されれば充分動作可能である。 したがって、 電子 線装置の構成要素毎に、 所定の真空度を維持しなければならないという問題が あった。
また、 電子光学鏡筒内の電子線の光軸上に極めて多くのイオンが存在するた め、 正イオンが電子線源の力ソードに衝突してカソードに穴を開けてしまうと いう問題もある。 更に、 マルチビーム且つマルチコラムの電子線装置を製造す る場合、 それぞれのコラムをどのように固定すべきかという問題に、 明確な解 答が出されていないのが実状である。
図 1 5に示す電子線装置は、 上記の問題を解決するために提案されたもので あり、 レンズ ·偏向系の真空度が低いときにも電子線源部の真空度を高く保持 し、 もって、 電子線源の力ソードの破損を防止し、 振動に強いという特徴を有 する。
図 1 5において、 電子線装置はマルチビーム ·マルチカラムの構造を有して おり、 鏡筒 (図示せず) に両端が固定された厚い仕切板 Sによって電子線源部 Xと電子光学系 Yとに分離される。 電子線源部 Xは、 それぞれが円筒形をなし 且つべローズ 9 0 2によって相互に結合されている複数の電子線源室 9 0 1を 備え、 それぞれの電子線源室 9 0 1には、 T F E力ソード 9 0 3及びショッ ト キ一シールド 9 0 4を備えた熱電界放出型の電子線源 9 0 5が設けられる。 各 電子線源 9 0 5は高圧ケーブル 9 0 6によって給電されて T F Eカソ一ド 9 0 3から電子線を放出する。 なお、 T F Eはサーマル · フィールド · エミッショ ン (thermal f i e l d emi ss ion) の略称である。
各電子線源室 9 0 1はネジ 9 0 7によって仕切板 Sに固定される。 このため、 仕切板 Sは充分な剛性を持つように所定の厚みを持つことが必要であり、 仕切 板 Sの剛性が充分でない場合には、 隣り合う電子線源室 9 0 1の間に補強用の リブを配置することが望ましい。 なお、 各電子線源室 9 0 1は排気のためィォ ンポンプ (図示せず) と接続されている。
それぞれの電子線源 9 0 5の T F E力ソード 3のタングステン 〈 3 0 1〉 又 は 〈 1 0 0〉 方位の面から放出された電子線が仕切板 Sを全て通過することが できるよう、 仕切板 Sには、 各電子線源室 9 0 1の電子線源 9 0 5の光軸を中 心とする円周上に所定の個数の、 例えば 4個の穴 9 0 8が形成される。 これら の穴 9 0 8のそれぞれは、 電子線源室の真空度を悪化させないよう大きなァス ぺクト比 (穴の長さに対する穴の径の比) を有し、 T F E力ソード 9 0 3から 離れるにしたがって、 径が大きくなるよう形成される。 なお、 それぞれの穴 9 0 8は、 下へ行くにしたがって光軸から離れる方へ斜めに形成されるのでもよ い。 なお、 通常は、 アスペクト比は 1 0以上がよい。
一方、 電子光学系 Yは、 複数の電子線源室 9 0 1から放出された複数の電子 線でウェハ等の試料 Wを照射するよう各電子線の形状を整形するために、 各電 子線源室 9 0 1に対応して設置されたレンズ ·偏向系 9 1 0を有する。 それぞ れのレンズ ·偏向系 9 1 0は、 対応する電子線源 9 0 5からの電子線を通過さ せる例えば 4個の穴 9 0 8を囲むように仕切板 Sにネジ 9 1 1により固定され た細長いパイプ 9 0 9を備え、 それぞれのパイプ 9 0 9の内部に、 所要のレン ズ及び偏向器が配置され、 仕切板 Sの例えば 4個の穴 9 0 8を通過した電子線 を整形して試料 wに垂直に入射させる。 こうして、 マルチカラムの電子光学系 Yが構成される。
このため、 個々のレンズ ·偏向系 9 1 0は、 パイプ 9 0 9内に、 コンデン ザ - レンズ 9 1 2、 マルチ開口板 9 1 3、 縮小レンズ 9 1 4、 偏向器 9 1 5及 び対物レンズ 9 1 6を順に設けるようにしている。 コンデンサ · レンズ 9 1 2 は、 仕切板 Sに形成された穴 9 0 8のそれぞれを通過した電子線を収束する。 マルチ開口板 9 1 3は、 コンデンサ · レンズ 9 1 2によって収束された電子線 を通過させるよう、 パイプ 9 0 9によって囲まれた仕切板 Sの部分の穴 9 0 8 と同数の小孔を備えている。 縮小レンズ 9 1 4は、 マルチ開口板 9 1 3を通過 した電子線のビーム寸法と間隔を縮小して偏向器 9 1 5を通過させる。 偏向器 9 1 5は、 縮小レンズ 9 1 4によって縮小された電子線が試料上を走査するよ う、 電子線の進行方向を変更する。 対物レンズ 9 1 6は、 偏向器 9 1 5を通過 した電子線を試料 Wに合焦させる。
それぞれのパイプ 9 0 9には排気穴 9 1 7が設けられ、 これによつて、 仕切 板 Sと電子線源部 Xと電子光学系 Yとを収納する鏡筒 (図示せず) の内部を真 空に保っためのポンプによって各パイプ 9 0 9の内部も真空に保たれる。 また、 コンデンサ · レンズ 9 1 2、 マルチ開口板 9 1 3、 縮小レンズ 9 1 4、 偏向器 9 1 5及び対物レンズ 9 1 6には、 図 1 5に点線で例示するリード線を介して、 所要の電圧が印加される。 必要に応じて、 コンデンサ · レンズ 9 1 2、 マルチ 開口板 9 1 3、 縮小レンズ 9 1 4、 偏向器 9 1 5及び対物レンズ 9 1 6は、 絶 縁スぺーサ 9 1 8を介してパイプ 9 0 9の内壁に取り付けられる。
図 1 5に示す電子線装置は、 欠陥検査、 線幅測定、 合わせ精度測定、 電位コ ントラスト測定、 欠陥レビュー又はストロボ S E Mのための評価装置として、 プロセス途中のウェハの評価を行うために、 図 3および図 4の (a ) 、 ( b ) を参照して説明した検査工程 (G ) において使用することができる。 これに関 しては、 図 3および図 4の (a ) 、 ( b ) に関する説明を援用することにし、 ここでの説明は省略する。
電極の構造に関する実施の形態 (第 4の荬施の形態) 本発明の第 4の実施の形態は、 電子線を試料に照射する静電レンズを用いた 電子光学系において絶縁破壊を防止する電極構造を備える電子線装置、 および 該装置を用いてたデバイス製造方法に関する。
これまで、 光学的に検査するだけでは十分な感度や解像度が得られないよう な微細な試料の表面状態を検査する為に、 電子線を利用した高感度、 高解像度 な電子線装置を用いるための検討がなされている。
このような電子線装置は、 電子線源によって電子線を放出し、 静電レンズ等 の静電光学系によって、 この放出された電子線を加速したり収束したりするな どして検査対象である試料に入射させる。 次に、 電子線の入射によって試料か ら放出される二次電子線を検出することによって、 検出された二次電子線に対 応する信号を発生させ、 この信号により例えば、 試料のデータを形成する。 こ の形成されたデータにより、 試料の表面状態を検査する。
こうした電子線装置に用いられる静電レンズ等の静電レンズを用いた電子光 学系には、 電子線を加速したり収束するための電界を生成する電極が、 電子線 の光軸方向に多段に配設されている。 これら電極には各々所定の電圧が印加さ れ、 こうして電極の電位差によって生ずる電界によって、 電子線を.加速したり、 光軸上の所定の点に収束させるようにしている。
従来の電子線装置においては、 電子線源から放出した電子線の一部が、 静電 レンズを用いた電子光学系における電界に係わらず電極に衝突する場合がある。 この場合に、 電子線が電極に衝突することにより、 電極自体から二次電子線が 放出される。 この電極から放出される二次電子線の量は、 電極の材料、 又は、 電極をコ一ティングしている材料によって変化する。 この電極から放出される 二次電子線が多くなると、 この二次電子線は電極の電界によって加速され、 装 置内の残留ガスをイオン化し、 このイオンが電極に衝突することによって、 更 に、 電極から二次電子線が放出される。 したがって、 二次電子線が大量に放出 されると、 電極間において放電が生じ易くなり、 電極間で絶縁破壊を起こす確 率が増加してしまう。
例えば、 電極がアルミニウムでコーティングされている場合と、 金でコーテ イングされている場合とで、 絶縁破壊の確率を比較すると、 アルミニウムの場 負の方が電極間の絶縁破壊の確率が若干高かった。 アルミニウムは、 仕事関数 が 4 . 2 [ e V ] であり、 金は、 仕事関数は 4 . 9 [ e V ] である。 ここで、 仕事関数とは、 金属にある 1個の電子線を真空中に取り出すのに必要な最小の エネルギーである (単位: e V ) .。
また、 電極が金でコーティングされている場合であって、 更に、 .電子線装置 の試料が半導体ウェハである場合は、 コーティングした金に電子線が衝突する ことによって金がスパッ夕され、 半導体ウェハの表面に金が付着してしまう場 合がある。 半導体表面に金が付着すると、 後の熱工程で金がシリコン結晶中に 拡散されトランジスタの性能を劣化させる。 よってこの場合に、 電子線装置は 半導体ウェハの検査に適さない。
一方、 静電レンズを用いた電子光学系の例えば、 静電レンズにおいては、 電 極間距離を短くすることによって焦点距離の短い静電レンズが得られる。 焦点 距離が短いと、 静電レンズの収差係数は小さくなり低収差となるので、 静電レ ンズは高分解能となり、 評価装置の分解能が向上する。
また、 静電レンズの電極間に与える電位差を大きくすることによつても、 焦 点距離の短い静電レンズとすることができる。 よって、 電極間距離を短くする 場合と同様に、 静電レンズは低収差で高分解能となり、 電子線装置の分解能が 向上する。 したがって、 電極間距離を短くして電極間の電位差大きくしてやれ ば、 相乗的に静電レンズは低収差で高分解能とすることができる。 しかし、 電 極間距離を短くして電極間の電位差大きくすると、 電極間において放電が生じ 易くなり、 電極間で絶縁破壊を起こす確率が増加してしまうという問題がある。 従来、 電極間の絶縁は、 電極間に絶縁材料を挿入し、 この絶縁材料によって 電極を支持することによって、 電極間の絶縁を保持していた。 また、 電極間の 絶縁材料の最短沿面距離 (絶縁表面長さ) を長くすることによって、 絶縁材料 表面の絶縁性能を高めていた。 例えば、 絶縁材料の表面を電極間方向のヒダ形 状とすることによって、 電極間における最短沿面距離を長くしていた。
しかしながら、 一般に、 絶縁材料表面の加工は、 金属の加工に比べると加工 が困難で、 加工費用が高価になってしまう。 また、 絶縁材料表面をヒダ形状等 とすると、 絶縁材料の表面積が広くなつてしまうので、 電子線装置内が真空の 場合は、 絶縁材料からの放出ガスが多くなる場合がある。 よって、 真空度の劣 化を招き、 かえって電極間の耐圧が下がってしまう場合が多かった。
本発明の第 4の実施の形態はこのような問題を解決するために提案されたも のであり、 以下、 第 4の実施の形態に係る、 静電光学系の電極間の絶縁破壊を 防止し得る電子線装置を、 静電光学系を有する写像投影型評価装置に適用した 場合について、 その写像投影型評価装置の構成、 動作おょぴ該装置を用いたデ バイス製造方法を説明する。
図 1 6において、 写像投影型評価装置 1 0 0 0は、 試料を照射する電子線が 所定の放射面を持っており、 電子線の照射によって試料から放射される二次電 子線も所定の放射面を持っている。 電子線源 1 0 0 1からは、 二次元領域、 例 えば矩形の放射面をもつ電子線が放射され、 静電レンズ系 1 0 0 2によって所 定方向に偏向される。 偏向された電子線は、 斜め上方から E X B型偏向器 1 0 0 3に入射され、 E X B型偏向器 1 0 0 3の電界と磁界が直交する場により、 試料である半導体ウェハ 1 0 0 6の方向に偏向される (図 1 6の実線) 。
E X B型偏向器 1 0 0 3によって半導体ウェハ 1 0 0 6の方へ偏向された電 子線は、 静電対物レンス系 1 0 0 5内の電極に印加された電圧によって発生し た電界により減速され、 静電対物レンズ系 1 0 0 5によって半導体ウェハ 1 0 0 6に結像される。
次に、 半導体ゥェ八 1 0 0 6への電子線の照射によって発生した二次電子線 は、 静電対物レンズ系 1 0 0 5の電界によって検出器 1 0 0 8の方向に加速さ れ (図 1 6の点線) 、 E X B型偏向器 1 0 0 3に入射される。 E X B型偏向器 1 0 0 3は、 加速された二次電子線を静電中間レンズ系 1 0 0 7方向に向かわ せ、 次に、 静電中間レンズ系 1 0 0 7によって二次電子線を検出器 1 0 0 8に 入射させることによって二次電子線が検出される。 検出器 1 0 0 8によって検 出された二次電子線はデータに変換されて表示装置 1 0 0 9に送信され、 表示 装置 1 0 0 9に二次電子線の画橡を表示し、 半導体ウェハ 1 0 0 6のパターン を検査する。
次に、 写像投影型評価装置 1 0 0 0における静電レンズ系 1 0 0 2、 静電対 物レンズ系 1 0 0 5、 静電中間レンズ系 1 0 0 7および E X B型偏向器 1 0 0
3の構成について詳細に説明する。 電子線が通過する静電レンズ系 1 0 0 2、 静電対物レンズ系 1 0 0 5や、 二次電子線が通過する静電中間レンズ系 1 0 0
7は、 所定の電界を発生させる為の複数の電極を含んでいる。 また、 これら全 ての電極の表面には、 白金がコーティングされている。 更に、 E X B型偏向器
1 0 0 3の電極 1 0 0 4の表面も白金でコ一ティングされている。
ここで、 図 1 7を参照して、 電極をコーティングする金属別における絶縁破 壌発生確率について説明する。 尚、 絶縁破壌発生率は、 金属毎に相対的な大小 関係で表している。 また、 写像投影型評価装置において、 電極をコーティング する金属の種類を除く他の検査条件は同一とした。
まず、 電極をコーティングした金属がアルミニウムの場合と、 金の場合にお いて、 絶縁破壌が発生する確率を比較すると、 金の場合の方が電極の絶縁破壌 の発生確率が若干低かった。 よって、 金の場合の方が絶縁破壊の防止について は効果があった。 また更に、 電極をコーティングした金属が金の場合と、 白金 の場合において、 絶緣破壌が発生する確率を比較すると、 白金の場合の方が電 極の絶縁破壌の発生確率が更に低かった。
こヒで、 各金属の仕事関数は、 アルミニウムが 4 . 2 [ e V〕 であり、 金が 4 9 [ e V〕 、 白金は 5 . 3 [ e V ] である。 金属の仕事関数とは、 金属にあ る 1個の電子線を真空中に取り出すのに必要な最小のエネルギーである (単 位: e V ) 。 即ち、 仕事関数の値が大きい程、 電子線が取り出しにくいことに なる。
よって、 写像投影型評価装置 1 0 0 0において、 電子線源 1 0 0 1から放射 された電子線が電極に衝突した場合に、 仕事関数の値が大きい金属 (仕事関数 の値が大きい金属を主材料とする合金をも含む) が電極にコーティングされて いれば、 電極から放出される二次電子線は少なくなるので、 電極の絶縁破壌の 発生確率も低下する。 そのため、 仕事関数が大きい金属であれば、 或る程度良 い。 具体的には、 電極にコーティングされる金属の仕事関数が 5 [ e V ) であ れば、 電極の絶縁破壊の発生確率は低く抑えることができる。
また、 この実施の形態のように、 検査対象となる試料が半導体ウェハ 1 0 0 6であり、 更に、 電極にコーティングされる金属が金の場合であると、 電子線 が金に衝突することによって、 半導体ウェハ 1 0 0 6のパターン上に金が付着 してしまうことがあった。 よって、 この実施の形態においては、 電極にコーテ イングされる金属が白金であると、 半導体ウェハ 1 0 0 6のパターン上に白金 が付着することなく、 また、 白金が付着することがあってもデバイス性能を劣 化させることもない。 更に、 電極の絶縁破壊の発生確率も低くすることができ、 より好ましい。
次に、 図 1 8と図 1 9を参照して、 電極の形状と構成の一例を説明する。 図 1 8において、 電極 1 0 1 0とは、 静電レンズ系 1 0 0 2、 静電対物レンズ系 1 0 0 5および静電中間レンズ系 1 0 0 7に含まれる静電レンズの電極である。 電極 1 0 1 0は、 電子線や二次電子線が通過することができる通過孔が略中 央部にある円盤形状になっており、 この実施の形態の写像投影型評価装置 1 0 0 0において、 電極 1 0 1 0には、 図示しない電源装置により所定の電圧が印 加されている。
図 1 9は電極 1 0 1 0の表面部の一部断面図である。 なお、 E X B型偏向器 1 0 0 3の電極 1 0 0 4の表面も電極 1 0 1 0の表面と同等の構成としてもよ い。 電極 1 0 1 0の材料は、 ケィ素銅 (シリコンブロンズ) 1 0 1 0 aから構 成され、 必要な寸法形状に加工されたゲイ素銅 1 0 1 0 a上にチタン 1 0 1 0 bを 5 0 n mの厚さになるようにスパッ夕 · コーティングし、 更に、 チタン 1 0 1 0 b上に白金 1 0 1 0 cを 2 0 0 n mの厚さになるようにスパッ夕 · コ一 ティングを行って電極 1 0 1 0が形成される。
ここで、 図 2 0および図 2 1を参照して、 この実施の形態において、 電極間 の電位差が大きい場合の電極間の絶縁破壊を防止する電極構成について詳細に 説明する。 図 2 0の電極 1 0 2 0、 1 0 2 2は、 例えば、 静電対物レンズ系 1 0 0 5に含まれている電極であり、 上記のように電極には白金がコーティング されている。 また、 電極 1 0 2 0、 1 0 2 2には、 図示しない電源装置により 所定の電圧が印加されている。 この実施の形態では、 半導体ウェハ 1 0 0 6側 の電極 1 0 2 2には高電圧、 例えば 1 5 k Vの電圧が印加され、 電極 1 0 2 0 には 5 k Vの電圧が印加されている。
電子線や二次電子線が通過する通過孔 1 0 2 4は、 電極 1 0 2 0、 1 0 2 2 の中央部にあり) 通過孔 1 0 2 4内は電極 1 0 2 0、 1 0 2 2の電位差によつ て電界が形成されている。 この電界によって、 電子線は減速し、 且つ収束され て、 半導体ウェハ 1 0 0 6に照射される。 この時、 電極間の電位差が大きいの で、 静電対物レンズ系 1 0 0 5は焦点距離の短い静電対物レンズとすることが できる。 よって、 静電対物レンズ系 1 0 0 5は低収差で高分解能となる。
電極 1 0 2 0と 1 0 2 2との間には、 絶縁スぺーサ 1 0 2 6が挿入されてお り、 絶緣スぺーサ 1 0 2 6は、 電極 1 0 2 0、 1 0 2 2を略垂直に支持してい る。 絶縁スぺーサ 1 0 2 6の電極間における最短沿面距離は、 支持された電極 部分における電極間距離と略同じ長さである。 即ち、 電極間の絶緣スぺーサ 1 0 2 6の表面は、 電極間方向においてヒダ状等になっておらず、 ほぼ直線にな つている。
電極 1 0 2 2は電極間において最短距離となっている第 1の電極面 1 0 2 2 と、 この第 1の電極面 1 0 2 2 bよりも電極間距離が長い第 2の電極面 1 0 2 2 cと、 第 1の電極面 1 0 2 2 bと第 2の電極面 1 0 2 2 cとの間にこれら 2つの電極間方向の段差 1 0 2 2 d (図 2 1 ) を有している。 絶縁スぺ一サ 1 0 2 6は電極 1 0 2 2を第 2の電極面 1 0 2 2 cで支持している。
電極 1 0 2 2をこのような形状にしたので、 電極間の最短距離を所定の距離 に保ちつつ、 絶緣スぺーサ 1 0 2 6の表面を電極間方向においてヒダ状等に加 ェする.ことなく、 絶縁スぺーサ 1 0 2 6の最短沿面距離を電極間の最短距離よ り長くすることが可能となる。 また、 絶縁スぺーサ 1 0 2 6の表面には、 大き い電界が加わらないので、 沿面放電も起こり難い構造とすることができる。 したがって、 静電対物レンズ系 1 0 0 5を焦点距離の短い静電対物レンズと し、 且つ低収差で高分解能にすることができ、 しかも、 絶縁スぺーサ 1 0 2 6 の電極間の絶縁性能が低下しないので、 電極間の絶縁破壊が防止できる。 また、 金属である電極 1 0 2 2に段差 1 0 2 2 dを設けるように加工したので、 絶縁 スぺ一サ 1 0 2 6を加工するより加工費用が安価になる。 加えて、 電極間方向 における絶縁スぺーサ 1 0 2 6の表面にはほとんど凹凸部分が無く、 絶縁スぺ ーサ 1 0 2 6からの放出ガスが多くなることも無い。 更に、 電極 1 0 2 0の通 過孔 1 0 2 4の開口端部 1 0 2 0 aと、 電極 1 0 2 2の通過孔 1 0 2 4の開口 端部 1 0 2 2 aとのコーナー部に曲率を持たせたので、 両コーナー部に電界が 集中することがなくなり、 電極間の絶縁破壊がより防止できる。 また更に、 電 極 1 0 2 2の段差 1 0 2 2 dの電極間側のコーナー部に曲率を持たせたので、 コーナ一部に電界が集中することがなく、 電極間の絶縁破壞がより防止できる。 なお、 この第 4の実施の形態では、 電極 1 0 2 2に段差 1 0 2 2 dを設けた が、 電極 1 0 2 0にも電極 1 0 2 2方向に段差を設けるように加工してもよい し、 電極 1 0 2 2に代えて、 電極 1 0 2 0にのみ電極 1 0 2 2方向に段差を設 けるように加工してもよい。 また、 静電対物レンズ系 1 0 0 5において、 絶縁 スぺーサ 1 0 2 6が揷入された電極を説明したが、 他の静電レンズ系において、 電位差の大きい電極がある場合は、 その静電レンズ系に適用することによって、 電極間の絶縁破壌を防止することができる。
図 1 6〜図 2 1を用いて説明した第 4の実施の形態は、 すでに図 3および図 4の (a ) 、 ( b ) を参照して説明したデバイス製造方法における検査工程 ( G ) に用いることによって、 静電レンズ系の電極間で絶縁破壊が生じること なく半導体ウェハの評価を行うことが可能となる。 これに関しては、 図 3およ び図 4の ( a ) 、 ( b ) に関する説明を援用することにし、 ここでの説明は省 略する。
偏向に関する実施の形態 (第 5の実施の形態)
本発明の第 5の実施の形態は、 最小線幅が 0 . 2マイクロメ一トル以下のパ ターンの欠陥検査、 鎵幅測定、 合わせ精度測定、 つなぎ測定及ぴ高時間分解能 電位コントラスト測定等を高スループッ卜、 高信頼性で行なうことができる電 子線装置および該装置を用いたデバイス製造方法に関する。 ウィーンフィルタを用いて、 一次電子線と、 それによつて照射された試料か ら放出された二次電子線とを分離するようにした電子線装置は公知である。 例 えば、 試料に垂直な光軸に対して所定の角度をなすように電子線源から一次電 子線を放出し、 この一次電子線の進行方向をウィーンフィルタにより光軸に沿 うよう偏向して一次電子線を試料に垂直に入射させ、 試料から放出された二次 電子線をウィーンフィル夕によって一次電子線から分離して光軸に沿って進行 させ、 検出器に入射させる電子線装置が知られている。 また、 一次電子線を試 料に対して垂直に入射させ、 それによつて試料から放出される二次電子線を E X B分離器によって一次電子線から分離して検出器に入力する電子線装置が知 られている。
こうした従来の電子線装置においては、 一次電子線のエネルギー幅が大きい と、 一次電子線の持つエネルギーの大小に応じて、 E X B分離器によって偏向 される角度が相違し、 それが原因で一次電子線に色収差が発生するため、 一次 電子線からなるビームを細く絞ることができないという問題があった。 また、 色収差の問題は、 一次電子線の照射により試料から放出された二次電子線を光 軸に沿って直線的に進行させて検出器に入射させる電子線装置においても生じ る。 試料から放出された二次電子線のエネルギー幅が広いと、 二次電子線が二 次光学系を通過する際に色収差を発生することになり、 二次電子線の正確な検 出に悪影響を及ぽしていた。
本発明の第 5の実施の形態は、 こうした従来の電子線装置の課題を解決する ために提案されたものであり、 一次電子線を細く絞って試料を走査する電子線 装置において、 ウィーンフィル夕の色収差の影響を大幅に低減し、 或いは、 二 次電子線の像を写像投影して検出する電子線装置において、 二次電子線のエネ ルギ一幅に起因する色収差を大幅に低減する手段を提供する。
また、 本発明の第 5の実施の形態は、 このような色収差を低減した電子線装 置を用いた欠陥検査装置等に好適である。 更に、 こうした欠陥検査装置等をデ バイス製造方法に用いてプロセス途中のゥェ八の検査を行なうことができる。 図 2 2において、 試料に垂直な光軸 Xに対して、 電子線源を含む一次系と検 出器を含む二次系とが互いに反対側に所定の角度をなして配置される。 図 2 2 において、 電子線源 1 1 0 1から放出された一次電子線 1 1 0 2は開口 (図示 せず) により長方形に整形され、 レンズ 1 1 0 3、 1 1 0 4によって縮小され てウィーンフィルタ 1 1 0 5に入射する。 このとき、 一次電子線 1 1 0 2と光 軸 Xとのなす角度は 3 であるとする。 即ち、 ウィーンフィルタ 1 1 0 5は静 電偏向のための電場を作る電極 1 1 06と電磁偏向のための磁場を作る磁石 1 1 0 7とを備えており、 ウイ一ンフィル夕 1 1 0 5は、 そこに入射した一次電 子線 1 1 0 2を電極 1 1 0 6の静電偏向作用によって左へ、 即ち光軸 Xに近づ くように角度 αだけ偏向させ、 磁石 1 1 0 7の電磁偏向作用によって左へ角度 2 ひだけ偏向させ、 合計して角度 3 だけ左に偏向させて試料 1 1 0 8に垂直 な光軸 Xに沿って進行させる。 その後、 一次電子線 1 1 0 2はレンズ系 1 1 0 9、 1 1 1 0を経て試料 1 1 0 8に入射し、 試料 1 1 0 8を照射する。 角度 α は例えば 1 0度である。
一次電子線 1 1 02の照射によって試料 1 1 0 8から放出された二次電子線 1 1 1 1はレンズ系 1 1 0 9、 1 1 1 0によって拡大された後、 ウィーンフィ ルタ 1 1 0 5に入射し、 その電極 1 1 0 6及ぴ磁石 1 1 0 7の作用によって光 軸 Xから α度だけ右へ、 即ち光軸 Xから遠ざかるように偏向される。 ウィーン フィルタ 1 1 0 5によって一次電子線から分離された二次電子線 1 1 1 1はレ ンズ系 1 1 1 2、 1 1 1 3を含む二次光学系によって拡大され、 検出器 1 1 1 4上に結像する。 検出器 1 1 1 4の出力は画像処理装置 1 1 1 5で適宜の処理 をされ、 画像メモリ 1 1 1 6に蓄積される。
この第 5の実施の形態においては、 ウィーンフィルタ 1 1 0 5により生じる 色収差は、 二次電子線 1 1 1 1を処理する二次光学系においての方が問題とな る。 そこで、 ウィーンフィルタ 1 1 0 5による色収差が二次電子線 1 1 1 1に 対して及ぼす影響を解消するため、 ウィーンフィルタ 1 1 0 5の静電偏向作用 と電磁偏向作用が二次電子線を互いに逆の方向へ偏向させ、 且つ、 静電偏向作 用が二次電子線を偏向する角度と電磁偏向作用が二次電子線を偏向させる角度 との間に所定の関係を持たせるようにする。 これにより、 試料 1 1 0 8から放 出されて光軸 Xに沿って進行してきた二次電子線 1 1 1 1は、 光軸 Xに関して 一次電子線 1 1 0 2とは反対の方向に所定の角度だけ偏向され、 しかも、 その ときには二次電子線 1 1 1 1の持つエネルギー幅による色収差を無視できる大 きさにすることができる。
そこで、 二次電子線 1 1 1 1がウィーンフィルタ 1 1 0 5に入射したとき、 ウィーンフィルタ 1 1 0 5の電極 1 1 0 6は静電偏向作用により二次電子線 1 1 1 1を左へ、 即ち光軸 Xに近づくように角度ひだけ偏向させ、 磁石 1 1 0 7 は右へ、 即ち光軸 Xから遠ざかるように角度 2 αだけ偏向させる。 このとき、 ウィーンフィルタ 1 1 0 5へ入射する二次電子線のビームエネルギーを V oと すると、 V 0よりも だけ小さいエネルギーを持つ二次電子線は、 電極 1 1 0 6によって角度 ' ,.
α/ ( 1 -Δν/V ο) = β
だけ、 光軸 Xから左へ、 即ち光軸 Xに近づくように偏向されることになり、 同 時に、 V οよりも だけ小さいエネルギーを持つ二次電子線 1 1 1 1は磁石 1 1 0 7によって角度
2 / { 1― (Δ V/ V o ) } 1 /2 = r
だけ、 光軸 Xに関して右へ、 即ち光軸 Xから遠ざかるように偏向される。 第一 次近似では、
( 1— AV/ V o ) 1 = ( 1 + Δ V / V ο )
であり、
2 { 1 ― (Δ V/V ο ) } -ι/2 = 2 { 1 + ( 1Z2) (Δ V/V ο ) } であるから、
Τ - β = 2 a { 1 + ( 1 Z 2 ) (Δ V/V o ) } ― a ( 1 +AV/V o ) = a
が成り立つ。 換言すると、 ウィーンフィルタ 1 1 0 5の静電偏向作用と電磁偏 向作用との相殺により二次電子線のエネルギ幅に関する項が消去され、 二次電 子線 1 1 1 1はウイーンフィル夕 1 1 0 5によって光軸 Xに関して右へ、 即ち 光軸 Xから遠ざかるように角度 αだけ偏向されるのみであるので、 ウィーンフ ィル夕 1 1 0 5による色収差を無視することができる。
図 2 3は、 本発明の第 5の実施の形態の構成を詳細に示す図である。 同図に おいて、 電子線源 1 1 0 1から放出された一次電子線電子線 1 1 0 2はコンデ ンサレンズ 1 1 2 0によってブランキング開口板 1 1 2 1の開口に収束される c 一次電子線 1 1 0 2は開口板 1 1 2 1へ進行する前に多数の開口を有する開口 板 1 1 2 2を通過し、 これによつて、 所望の本数の細いビームを有するマルチ ビームとされる。 マルチビーム化された一次電子線 1 1 0 2は、 開口板 1 1 2 1を通過した後、 縮小レンズ 1 1 2 3、 1 1 24によって所定寸法のビームへ 縮小されて縮小像 1 1 2 2 ' を形成した後、 ウィーンフィルタ 1 1 0 5に入る。 このとき、 一次電子線 1 1 0 2と光軸 Xとのなす角度は aである。 一次電子線 1 1 0 2はウィーンフィルタ 1 1 0 5によって角度 αだけ曲げられて試料 1 1 0 8に垂直な光軸 Xに沿って進み、 更に対物レンズ 1 1 2 5及び対称電極 1 1 26によって縮小されてから試料 1 1 0 8を照射する。
ウィーンフィル夕 1 1 0 5から出た一次電子線 1 1 0 2によって試料 1 1 0 8を、 開口板 1 1 2 2の開口の配列方向とは直角の方向 (図 4において、 紙面 に垂直な方向) に走査するため、 一次電子線 1 1 0 2の光路に沿って走查用電 極 1 1 2 7、 1 1 2 8が配置され、 また、 ブランキング時に一次電子線 1 1 0 2の進行方向を正常な進行方向から逸らせて光路 1 1 2 9に沿って進行させる ため、 プランキング偏向器 1 1 3 0、 1 1 1 3 1が設けられる。
試料 1 1 0 8は、 一次電子線 1 1 0 2を構成する複数の細いビームのそれぞ れによって照射された各個所から二次電子線 1 1 1 1を放出する。 こうして放 出されたマルチビームの二次電子線 1 1 1 1はウィーンフィルタ 1 1 0 5によ つて一次電子線から分離され、 結像電子光学系 1 1 3 2、 1 1 3 3によって拡 大され、 開口板 1 1 2 2の開口と対応する開口を有する開口板 1 1 3 4を通過 してマルチ検出器 1 1 3 5に入射する。 ここで、 開口板 1 1 2 2と開口板 1 1 34は光軸の回りに 9 0° 回転させた図を表示している。
この場合にも、 ウィーンフィルタ 1 1 0 5に起因する色収差が一次電子線及 び二次電子線について問題となるが、 二次光学系で発生する色収差は、 マルチ ビームを構成する複数のビームの相互間隔を広げることにより影響を小さくす ることができる。
一方、 ウィーンフィルタ 1 1 0 5による色収差が一次電子線 1 1 0 2に対し て及ぼす影響を解消するため、 図 2 3においては、 ウィーンフィルタ 1 1 0 5 の静電偏向作用と電磁偏向作用が一次電子線を互いに逆の方向へ偏向させ、 且 つ、 静電偏向作用が一次電子線を偏向する角度と電磁偏向作用が一次電子線を 偏向させる角度との間に所定の関係を持たせるようにする。 これにより、 電子 線源 1 1 0 1から放出されて光軸 Xに対して斜めに進行してきた一次電子線 1 1 0 2は、 光軸 Xに関して左へ、 即ち光軸 Xに近づくように所定の角度だけ偏 向され、 しかも、 そのときには一次電子線 1 1 0 2の持つエネルギー幅の影響 を無視することができる。
これを具体的に説明すると、 ウィーンフィル夕 1 1 0 5は、 一次電子線 1 1 0 2を電極 1 1 0 6の静電偏向作用により角度 だけ右へ、 即ち、,光軸から遠 ざかるように偏向し、 磁石 1 1 0 7の電磁偏向作用により角度 2 αだけ左へ、 即ち、 光軸 Xに近づくように偏向する。 その結果、 ウィーンフィルタ 1 1 0 5 に入射した一次電子線 1 1 0 2は、 全体として左へ角度 αだけ偏向される。 こ の場合には、 一次電子線 1 1 0 2の持つエネルギー幅の影響を無視することが できる。 具体的には、 一次電子線 1 1 0 2のエネルギー幅の広がりに起因する 色収差は解消される。
数学的に説明すると、 ウィーンフィル夕 1 1 0 5へ入射する二次電子線のビ ームエネルギーを V οとしたとき、 V οよりも だけ小さいエネルギーを持 つ一次電子線は、 電極 1 1 0 6によって角度
α / ( 1— Δ V / V ο ) = δ
だけ偏向される。 この値は αより大きいので、 余分に右へ、 即ち光軸 Xから遠 ざかるように偏向されることになり、 同時に、 V οよりも Δ Vだけ小さいエネ ルギ一を持つ一次電子線は磁石 1 1 0 7によって角度
2 α ( 1— Δ ν / V ο ) - 1 / 2 = θ
だけ偏向される。 この値は 2 より大きいので、 余分に左へ、 即ち光軸 Xに近 づくように偏向される。 そこで、 これらの角度の差として、
θ - δ = 2 a ( 1 -AV/V ο ) - 1 / 2 - ( 1— Δ V/ V ο ) 一 1 が求まる。 は V οに比べて極めて小さいので、 第一次近似として、
( 1— AVXV ο ) -1 /2= ( 1 + Δ V / 2 V ο )
が成り立つので、 結局、
θ ~ δ = 2 ( 1— Δ V/ 2 V ο ) - ( 1—AV/V o )
= a
が成立する。 こうして、 一次電子線 1 1 0 2がウィーンフィルタ 1 1 0 5によ つて光軸 Xに近づくように角度《だけ偏向されるとき、 一次電子線の持つエネ ルギ一幅を無視することができるので、 ウィーンフィル夕 1 1 0 5による色収 差を解消することができる。
なお、 二次光学系で発生する色収差は、 一次電子線 1 1 0 2を構成する複数 のビームを一つの列上に配列し、 これらのビームの配列方向と直角の方向にゥ ィ一ンフィルタ 1 1 0 5において偏向する場合には、 ビームの配列方向とは直 角な方向に生じるので、 色収差によって複数のビーム間のクロストークが増す ことはない。
図 2 2及び図 2 3を参照して説明した電子線装置は、 欠陥検査装置、 合わせ 精度測定装置、 線幅測定装置、 高時間分解能電位コントラスト測定装置、 欠陥 レビュー装置、 ストロボ S EM装置等の各種の装置に適用することができる。 また、 第 5の実施の形態の電子線装置は、 プロセス途中のウェハの評価を行う ために、 図 3および図 4の ( a) 、 (b) において説明したデバイス製造方法 における検査工程 (G) において使用することができる。 これに関しては、 図 3および図 4の (a) 、 (b) に関する説明を援用することにし、 ここでの説 明は省略する。
以上、 本発明の第 5の実施の形態を説明したが、 本発明はこうした実施の形 態に限定されるものではない。 例えば、 試料 1 1 0 8の異なる位置を同時に照 射できるよう、 電子線源、 一次光学系、 二次光学系及び検出器からなる電子線 照射 ·検出系を複数系統設け、 複数の電子線源から出た複数の一次電子線で試 料を照射し、 試料から放出された複数の二次電子線を複数の検出器で受け取る ようにしてもよい。 これにより、 検査や測定に要する時間を大幅に短縮するこ とができる。
対物レンズの駆動に関する実施の形態 (第 6の実施の形態)
本発明の第 6の実施の形態は、 0 . 1ミクロン以下の線幅を持つパターンの 欠陥検査、 C D測定、 合わせ精度測定、 高時間分解能での電位測定等の諸評価 を高スループッ ト、 高精度且つ高信頼性で行うことができる電子線装置および 該装置を用いたデバイス製造方法に関する。
半導体ウェハ等の試料の表面に形成されたパターンの評価を、 電子線による 走査の結果を用いて高精度で行う場合、 試料の高さの変化を考慮することが必 要である。 これは、 試料の高さにより、 該試料の表面上のパターンと該パター ンに電子線を集束させる対物レンズとの間の距離が変化して、 合焦条件はずれ により解像度が低下してしまい、 正確な評価ができないためである。
これを解消するため、 試料面に対して斜めに光を入射させ、 その反射光を利 用して試料の高さを測定し、 その測定結果を、 電子線を試料に集束させるため の電子光学系に帰還させて、 電子光学系の構成要素に供給する電流や電圧を制 御することにより、 電子光学系の焦点合わせを行う電子線装置は公知である。
しかし、 試料に対して斜めに光を入射させる方式においては、 試料面と電子 光学系の下面との間のスペースに、 入射光を反射させるための、 絶縁物を主体 とする光学部品を配置しなければならない。 このためには、 試料面と電子光学 系の下面との間の間隔を必要以上に大きく取る必要があるが、 そうすると電子 光学系の収差等の問題が無視し得なくなる。 しかしながら、 電子光学系の焦点 合わせと電子光学系の収差等の問題の解消とを同時に行う手段がないのが実状 であった。
また、 電子光学系の焦点合わせは、 試料面と電子光学系の下面との間の距離 ばかりでなく、 試料面上の帯電状態や、 電子線の空間電荷効果をも考慮して行 う必要があるので、 電子光学系の焦点合わせに関係するパラメ一夕を電子光学 的に測定しないならば誤差が発生しかねない。 更に、 電子光学系に含まれる磁気レンズの励磁電流を調整して焦点合わせを 行う場合、 この励磁電流を所定値に設定してから電子光学系の焦点距離が安定 的に定まるまでの時間、 即ち整定時間を長く取ることが必要であるため、 高速 で焦点合わせを行うことが困難であるという問題もあった。 また、 静電レンズ の励起電圧を変えて電子光学系の焦点合わせを行う場合、 静電レンズに印加さ れた高電圧を変化させなければならないので、 同様に、 整定時間が長くかかる という問題があった。
本発明の第 6の実施の形態は、 上記の問題点を解決するために、 電子光学的 に且つ短時間で電子光学系の焦点合わせを行うことができる電子線装置及び該 装置を用いたデバイス製造方法を提供する。
図 2 4は、 本発明の第 6の実施の形態の構成を概略的に示している。 同図に おいて、 電子線源 1 2 0 1はアノード 1 2 0 2を備え、 放出された一次電子線 はアノード 1 2 0 2によって加速されて軸合わせ用偏向器 1 2 0 3、 1 2 0 4 及び非点補正レンズ 1 2 0 5を経て開口板 1 2 0 6の小孔 1 2 0 7を通る。 開 口板 1 2 0 6を通過した一次電子線はコンデンサ · レンズ 1 2 0 8によって集 束され、 偏向器 1 2 0 9、 1 2 1 0を経てウイーン · フィルタ 1 2 1 1を通過 した後、 対物レンズ 1 2 1 2によって縮小され、 軸対称電極 1 2 1 3を経て、 ステージ S上に載置された試料 1 2 1 4の表面に形成された例えば矩形の複数 の回路パターンの一つの上に結像される。 軸対称電極 1 2 1 3は、 試料 1 2 1 4との間に殆どスペースがないよう配置される。 ステージ Sは、 一次電子線が 偏向される第 1の方向に対して直角な第 2の方向に移動可能であり、 このため、 一次電子線の偏向とステージ Sの移動とにより回路パターンの走査が行われる。
—次電子線による走査の結果、 試料 1 2 1 4上の一つの回路パターンから放 出された二次電子線は、 対物レンズ 1 2 1 2の電界によって引かれて加速され、 ウイーン ' フィルタ 1 2 1 1によって光軸 Lから逸れるように偏向されて一次 電子線から分離される。 こうして二次電子線は二次電子線検出部 1 0 8 5によ つて検出される。 二次電子線検出部 1 2 1 5は、 入射した二次電子線の強度を 表す電気信号を出力する。 この電気信号は、 その対応の増幅器 (図示せず) に よって増幅された後、 画像処理される。
コンデンサ · レンズ 1 2 0 8によって一次電子線を縮小するため、 コンデン ザ - レンズ 1 2 0 8を構成する電極のそれぞれに対して、 第 1の電源 1 2 1 7 から所要の電圧が印加される、 一方、 対物レンズ 1 2 1 2はュニポテンシャ ル - レンズであり、 一次電子線を試料 1 2 1 4の表面に集束させるため、 対物 レンズ 1 2 1 2の中央電極には第 2の電源 1 2 1 8から正の高電圧 V oボル卜 が印加され、 対物レンズ 1 2 1 2の上側電極及び下側電極には第 3の電源 1 2 1 9から小さい電圧土 AV oボル卜が印加される。
電子線源 1 2 0 1、 アノード 1 2 0 2、 軸合わせ用偏向器 1 2 0 3、 1 2 0 4、 非点補正レンズ 1 2 0 5、 開口板 1 2 0 6、 コンデンサ · レンズ 1 2 0 8、 偏向器 1 2 0 9、 1 2 1 0、 ウイーン · フィルタ 1 2 1 1、 対物レンズ 1 2 1 2、 軸対称電極 1 2 1 3及び二次電子線検出部 1 2 1 5は、 適宜のサイズの鏡 筒 1 2 1 6内に収納されて一つの電子線走査 ·検出系 1 2 2 0を構成する。 な お、 電子線走查 ·検出系 1 2 2 0の初期焦点合わせは、 電圧士 AV oを例えば - 1 0ポルトに固定しておき、 正電圧 V oを変化させることによって行い得る。
上で説明したように、 電子線走査 ·検出系 1 2 2 0は、 試料 1 2 1 4上のチ ップパターンの一つを走査し、 走査の結果として試料 1 2 1 4から放出された 二次電子線を検出して、 その強度を表す電気信号を出力する。 実際には、 試料 1 2 1 の表面に複数のチップパターンが形成されているので、 電子線走査 · 検出系 1 2 2 0と同様の構成の電子線走査 ·検出系 (図示せず) が、 電子線走 査 ·検出系 1 2 2 0と並列する形で、 互いの距離が試料 1 2 1 4上のチップ寸 法の整数倍の距離になるよう配置される。
電子線走査 ·検出系 1 2 2 0について更に説明すると、 二次電子線検出部 1 2 1 5から出力された電気信号は 2値化情報へ変換され、 この 2値化情報を画 像データに変換する。 この結果、 試料 1 2 1 4の表面に形成された回路パター ンの画像データが得られ、 得られた画像データは適宜の記憶手段に蓄積される と共に、 基準の回路パターンと比較される。 これにより、 試料 1 2 1 4上に形 成された回路パターンの欠陥を検出することができる。 なお、 試料 1 2 1 4上の或る回路パターンを表す画像データとの比較のため の基準の回路パターンは種々のものを使用することができ、 例えば、 当該画像 データを生じる走査が行われた回路パ夕一ンを作製するための C A Dデータか ら得られた画像データを用いることができる。
図 2 4に示す電子線装置において、 対物レンズ 1 2 1 2の上側電極及び下側 電極に印加すべき電圧士 oの値は、 C P U等の制御装置 (図示せず) の制 御下で以下のようにして決定される。 まず、 試料 1 2 1 4の表面 ίこ形成された 任意の一つの回路パターン上に、 一次電子線が偏向される第 1の方向に平行な パターン ·エッジと、 この第 1の方向に直角な第 2の方向に平行なパターン · エッジとが存在する場所を、 例えばパターン · デ一夕から読み出す。
次いで、 偏向器 1 2 0 9、 1 2 1 0及びウィーン ' フィルタ 1 2 1 1を用い て、 一次電子線により、 上記第 1の方向に平行なパターン ·エッジを上記第 2 の方向に走査し、 その結果放出された二次電子線の強度を表す電気信号を二次 電子線検出部 1 2 1 5から取り出し、 その電気信号の立上がり幅 (単位: ミク ロン) を測定する。 同様に、 上記第 2の方向に平行なパターン ·エッジについ ても、 偏向器 1 2 0 9、 1 2 1 0及びウイ一ン · フィルタ 1 2 1 1を用いて一 次電子線により上記第 1の方向に走査し、 その結果放出された二次電子線の強 度を表す電気信号を二次電子線検出部 1 2 1 5から取り出し、 その電気信号の 立上り幅を測定する。 この操作を電圧士 οの値を変える毎に行う。 こうし て、 図 2 5の ( a ) に示すグラフ A及び Bが求まる。
上記の 「電気信号の立上がり幅」 は、 図 2 5の (b ) に示すように、 電圧士 △ V o 'を或る値に固定した状態で、 第 1の方向に平行なパターン ·エツジを第 2の方向に走査したときに測定される電気信号が、 その最大値の 1 2 %から 8 8 %まで変化するのに要する第 2の方向での走査距離 (単位ミクロン) である。 図 2 5の (a ) において、 グラフ Aは電圧△ V oがー△ V o ( x ) で最小で ある、 即ち、 立上りがもっとも鋭いことを示しており、 同様に、 グラフ Bは電 圧 A V oが + A V o ( y ) で最小である、 即ち、 立上りが最も鋭いことを示し ている。 したがって、 対物レンズ 1 2 1 2の焦点条件、 即ち、 上側電極及び下 側電極に印加する電圧士 oの値は、 {— AV o (X) +AV o (y ) } / 2に設定することが好ましい。
実際には、 電圧士 0は 0〜士 2 0ボル卜までしか変化しないので、 1 0 マイクロ秒という高速で対物レンズ 1 2 1 2の整定を行うことができ、 図 2 5 の (a) のグラフ A及び Bを取得するのに 1 5 0マイクロ秒しか要しなかった, また、 曲線 A、 Bを測定するのに、 このように多くの測定を行う必要はなく . 図 2 5の ( a) に示すように、 土 AV oの値として一 AV ( 1 ) 、 +Δ V ( 2 ) 、 +AV ( 3 ) を設定して立ち上がり幅を測定し、 双曲線で近似してグ ラフ Α、 Βを求め、 立上りの最小値 + AV o (y ) 、 -Δ V o (x) を求めて もよい。 その場合には、 4 5マイクロ秒程度で測定を行うことができる。
図 2 5の ( a) の A、 Bは双曲線の形状をしている。 立ち上がり幅を!) (m m) 、 対物レンズ電圧士 Δ V oを Q (ポルト) とすると、 曲線 A、: Bは a、 b 及び cを定数として、
( 1〕 2 Z a 2 ) 一 — c ) 2/b 2= 1
と表せる。' そこで、 3つの qの値 q 2、 q 3とそれらに対応する pの値 p i p 2、 p 3を上式に代入すると、 次の 3つの式が得られる。
(p ! 2/ a 2) 一 ( a , - c ) 2Zb 2= 1 ( 1 )
(p 2 2/ a 2) ― (q 2- c ) 2/b 2= 1 ( 2 )
(p 3 2/ a 2) 一 (q 3- c ) 2/b 2= 1 ( 3 )
これらの式 ( 1 ) 〜 (3 ) から a, b及び cの値が算出され、 q = cのとき、 最小値となる。 即ち、 立ち上がり幅が最小となる対物レンズ電圧一 o (x) を 3つのレンズ条件で求めることができたことになる。 全く同様にして、 + AV o (y ) を求めることができる。
図 2 5の ( a) のグラフ A、 Bのように立上り幅がパターン 'エッジを第 1 の方向に走査したときと第 2の方向に走査したときとで異なる場合が一般的で ある。 こうした場合には、 例えば 8極の非点補正レンズ 1 2 0 5に印加する電 圧を調整して、 第 1の方向及びそれに直角な第 2の方向においてパターン ·ェ ッジを走査したときの二次電子線検出部 1 2 1 5からの電気信号の立上りが更 に小さくなるよう非点補正を行うことが必要である。
前述のとおり、 電子線走査■検出系 1 2 2 0と同様の構成の電子線走査 ·検 出系 (図示せず) が、 電子線走査 ·検出系 1 2 2 0と並列する形で、 互いの距 離が試料 1 2 1 4上のチップサイズの整数倍の距離になるよう配置され、 それ ぞれの電子線走査 ·検出系において一次電子線が試料 1 2 1 4上に合焦するよ う焦点合わせを行う必要があるが、 こうした焦点合わせは略同時に行うことが 可能であるので、 スループッ ト ·バジェッ トは僅かな値でしかない。
この方法では、 光学的な Zセンサではなく、 電子光学的な手段で合焦条件を 求めているため、 試料が帯電している場合にも正しい合焦条件が求められると いう利点がある。
以上説明したように電子線走査 ·検出系における焦点合わせを行ってから、 試料 1 2 1 4の評価を行うプロセスに移行する。 本発明の第 6の実施の形態を 用いた欠陥検査装置を、 図 3および図 4の ( a ) 、 ( b ) を参照して説明した デバイス製造方法における検査工程 (G ) に対して用いることにより、 微細な パターンを有する半導体デバイスをも、 スルプッ 卜よく検査することができる ので、 全数検査が可能となり、 製品の歩留りを向上させ、 欠陥製品の出荷防止 が可能となる。 これに関しては、 図 3および図 4の (a ) 、 ( b ) に関する説 明を援用することにし、 ここでの説明は省略する。
制振装置に関する実施の形態 (第 7の実施の形態)
本発明の第 7の実施の形態は、 電子線を物質の目標位置に照射することによ り、 当該物質の加工、 製造、 観測及ぴ検査のうち少なくともいずれかを実行す る電子線装置に係り、 より詳しくは、 電子線を位置決めする機械構造体に生じ る不要な機械的振動を減少させた電子線装置、 その制振方法および該装置を用 いた半導体デパイスの加工、 製造、 観測及び検査のうち少なくともいずれかを 実行する工程を備えた半導体製造プロセスに関する。
一般に、 電子線を用いて物質の微細な構造を観測する手法に、 ウェハ等に形 成されたパターンの欠陥を検査する検査装置や走査型電子線顕微鏡 (S E M ) 等が有るが、 観測分解能が m〜数十 n mであるため、 外部からの振動を十分 に除振して観測を行う必要がある。 また、 電子線を用いて露光を行う装置にお いても、 電子線を偏向させ、 目標位置に正確にビーム照射するためには、 外部 からの振動を十分に除振するための除振装置を用い、 且つ、 鏡筒部分の構造か ら生じる機械的共振によるふらつきを出来るだけ小さくするために、 剛性を上 げる必要がある。 構造体の剛性を上げるためには、 電子光学系による物理的な 寸法制約があることから、 小型化による剛性の向上は取りづらく、 従って鏡筒 部分の肉厚化、 大型化等により剛性向上が成されることが多かった。 しかし、 この方法による剛性向上は、 装置の重量化、 形状制限、 除振台の大型化などを 含む設計上の自由度の拘束、 並びに、 経済的な面を含めて不利な面が多々有つ た。
本発明の第 7の実施の形態は、 上記事実に鑑み、 ビームを位置決めする機械 構造体の共振による不要な振動を、 必ずしも機械構造体の剛性を向上させなく ても、 ビームの位置決めを高精度に維持できるよう適切に減衰可能とすること により、 設計上の制約の緩和、 装置の小型軽量化、 経済性の向上を実現させた 電子線装置、 および、 該装置を半導体デバイスの製造工程に用いて効率良く製 造、 検査、 加工、 観測等を可能とする半導体製造プロセスを提供する。
図 2 6は、 本発明の第 7の実施の形態を、 電子線を用いて半導体ウェハの欠 陥の検査を行う電子線検査装置に適用した場合の構成を示す。 同図に示す電子 線検査装置 1 3 0 1はいわゆる写像投影型であり、 Aブロック及ぴこの Aブロ ックから斜め上方に突出する Bプロックの機械構造体を有する。 Bプロック内 には一次電子線を照射する一次電子線照射手段が配置され、 Aプロック内には 二次電子線を写像投影するための写像投影光学系と、 二次電子線の強度を検出 する撮像手段とが含まれる。 Aプロックは、 最下の固定台 1 3 3 0に連結され る。
Bブロック内に配置された一次電子線照射手段は、 一次電子線を放出、 加速 するため力ソード及びアノードから構成された電子線源 1 3 0 1 a、 一次電子 線を長方形に整形する長方形開口 1 3 0 2 a , 及び、 一次電子線を縮小結像さ せる 4極子レンズ 1 3 0 2 bを備える。 Aプロックの下部には、 縮小された一 次電子線を電場 E及び磁場 Bの直交する場で半導体ウェハ 1 3 0 5に略垂直に 当たるように偏向させる E X B偏向器 1 3 0 6、 開口ァパ一チヤ (NA) 1 3 0 7、 及び、 該開口アパーチャを通過した一次電子線をウェハ 1 3 0 5上に結 像させる対物レンズ 1 3 0 8が配置される。
ここで、 4極子レンズ 1 3 0 2 bによって縮小された一次電子線は、 E XB 偏向器 1 3 0 6の偏向主面に例えば 5 0 0 ^mX 2 5 0 mの像を形成すると 同時に開口アパーチャ 1 3 0 7に電子線源 1 3 0 1 aのクロスオーバー像を形 成し、 ケーラ一証明条件が満たされるようにしている。 対物レンズ 1 3 0 8に よって、 ウェハ 1 3 0 5上には例えば 1 0 0 mX 5 0 /mの像が形成され、 当該領域が照明される。
ウェハ 1 3 0 5は、 真空に排気可能な図示しない試料室内に配置され、 且つ、 X— Y水平面内を移動可能なステージ 1 3 04の上に配置されている。 ここで、 Aブロック及び Bブロックと、 X Y Z直交座標系との関係を図 2 7 ( a) に示 す。 X—Y水平面にウェハ面があり、 Z軸は写像投影光学系の光軸に略平行と なる。 ステージ 1 3 0 4がウェハ 1 3 0 5を載置した状態で X— Y水平面内を 移動することによって、 ウェハ 1 3 0 5の検査面が一次電子線によって順次走 査される。 なお、 ステージ 1 3 0 4は固定台 1 3 3 0の上に載置される。
Aブロックの上部に配置された写像投影光学系は、 中間静電レンズ 1 3 0 9 及び投影静電レンズ 1 3 1 1 と、 これらレンズの中間に配置された絞り 1 3 1 0と、 を備える。 一次電子線の照射によりウェハ 1 3 0 5から放出された二次 電子線、 反射電子線及び散乱電子線は、 この写像投影光学系によって、 所定の 倍率 (例えば 2 0 0〜 3 0 0倍) で拡大投影され、 後述するマルチチャンネル プレート 1 3 2 1の下面に結像される。
Aプロックの最上部に配置された撮像手段は、 マルチチヤンネルプレート 1 3 2 1と、 蛍光スクリーン 1 3 2 2と、 リレーレンズ 1 3 2 3と、 撮像部 1 3 2 4とを備える。 マルチチャンネルプレート 1 3 2 1は、 プレート内に多数の チャンネルを備えており、 静電レンズ 1 3 0 9及び 1 3 1 1によって結像され た二次電子線が該チャンネル内を通過する間に、 更に多数の電子線を生成させ る。 即ち、 二次電子線を増幅させる。 蛍光スクリーン 1 3 2 2は、 増幅された 二次電子線が照射されることにより、 二次電子線の強度に応じた強さの蛍光を 発する。 即ち、 二次電子線の強度が光の強度に変換される。 リレーレンズ 1 3
2 3がこの蛍光を撮像部 1 3 2 4に導くように配置される。 撮像部 1 3 2 4は、 リレーレンズ 1 3 2 3により導かれた光を電気信号に変換するための多数の C C D撮像素子から構成される。 検出信号の S Z N比を向上させるため、 いわゆ る T D I (T ime De l ay Int egral) 検出器を用いるのが好ましい。 なお、 一次電 子線の照射によって、 二次電子線だけでなく散乱電子線や反射電子線も発生す るが、 ここでは一括して二次電子線と称することにする。
ところで、 Aプロック及びこれに連結された Bプロックの機械構造体からな る鏡筒 1 3 4 6は、 通常、 一つ又はそれ以上の固有振動モードを有する。 各固 有振動モードの共振周波数及び共振方向は、 形状、 質量分布、 サイズ、 内部の 機械の配置形態等によって決定される。 例えば、 図 2 7 ( b ) に示すように、 鏡筒 1 3 4 6は、 固有振動 1 3 8 8のモード 1を少なくとも有する。 このモー ド 1において、 鏡筒 1 3 4 6は、 例えば略 Y方向に沿って 1 5 0 H zの周波数 で揺れる。 この場合の鏡筒の伝達関数の一例を図 2 9に示す。 図 2 9では、 横 軸が周波数、 縦軸が振動振幅 Aの対数である。 この伝達関数では、 共振周波数 1 5 0 H zにおいて共振倍率 3 0 d B (約 3 0倍) のゲインを有する。 従って、 外部から微少な振動が加わった場合でも、 その振動に 1 5 0 H z近傍の周波数 成分が含まれていると、 その周波数成分はこの例では約 3 0倍に増幅されて鏡 筒を振動させる。 この結果、 写像のボケ等の有害な事象を発生させる。
従来技術では、 これを防止するため、 鏡筒全体を除振台の上に載せて外部か らの振動を除振するか、 及び/又は、 鏡筒の肉厚や構造を見直し、 共振倍率を 下げる等の大掛かりな対策を行っていた。
本発明の第 7の実施の形態では、 これを避けるため、 図 2 7 ( c ) に示すよ うに、 振動 1 3 8 8を打ち消すように鏡筒に対し圧力振動 1 3 9 0を加えるァ クチユエ一夕 1 3 2 5を Aプロックの基部に設置する。 このァクチユエ一夕 1
3 2 5は、 図 3に示すように、 振動減衰用回路 1 3 2 7に電気的に接続されて いる。 ■ - ァクチユエ一夕 1 3 2 5及び振動減衰用回路 1 3 2 7の概略構成を図 2 8に 示す。 同図に示すように、 ァクチユエ一夕 1 3 2 5は、 圧電効果を有する誘電 体 1 3 5 1を電極 1 3 5 2 a及び 1 3 5 2 bで挟んでなる圧電素子 1 3 5 0 と、 該圧電素子 1 3 5 0を電極 1 3 5 2 b側から支持するため固定台 1 3 3 0に固 定された支持台 1 3 54とを有する。 圧電素子 1 3 5 0は、 鏡筒 1 3 4 6の A プロックと支持台 1 3 5 4との間に挟まれており、 電極 1 3 5 2 aは、 Aブロ ックの外壁に、 電極 1 3 5 2 bは支持台 1 3 54に接着されている。 これによ り、 圧電素子 1 3 5 0は、 往復振動 1 3 8 8により、 鏡筒 1 346が向かって くるときは正の圧力、 鏡筒 1 3 46が遠ざかるときは負の圧力を受ける。 圧電 素子 1 3 5 0は、 鏡筒 1 3 4 6の振動 1 3 8 8を抑制するため効果的な位置に 設置される。 例えば、 振動 1 3 8 8の方向が、 電極 1 3 5 2 a及び 1 3 5 2 b と直交するように配置されるのが好ましい。
振動減衰用回路 1 3 2 7は、 圧電素子 1 3 5 0の両電極 1 3 5 2 a、 1 3 5 2 bの間を直列に接続された可変ィンダクタンス 1 3 5 8及び抵抗 1 3 5 6か ら構成される。 可変ィンダクタンス 1 3 5 8はインダクタンス1_ 抵抗 1 3 5 6は抵抗値 圧電素子 1 3 5 0は電気容量 Cを有するため、 直列接続された 圧電素子 1 3 5 0及ぴ振動減衰用回路 1 3 2 7は、 参照番号 1 3 6 0により示 される直列共振回路と等価となる。 この直列共振回路の共振周波数 f 。' は、 f o ' = 1 / { 2 π (L C) 1/2}
で表される。 本発明では、 直列共振回路の共振周波数 f 。' が鏡筒 1 3 4 6の共 振周波数 f flに略一致するように、 各パラメータが設定される。 即ち、 与えられ た压電素子 1 3 5 0の電気容量 Cに対して、
f o = 1 X { 2 % (L C) 1/2}
が成立するように、 可変インダクタンス 1 3 5 8のインダクタンス Lが調整さ れる。 実際には、 圧電素子 1 3 5 0の容量 Cは、 機械的共振周波数に合わせて 共振回路を形成する上では小さく、 このため非常に大きなィンダクタンス Lを 必要とする場合が多いが、 この場合には演算増幅器等を用いて等価的に大きな インダクタンスを形成することで共振回路を実現することができる。
また、 直列共振回路の共振周波数成分の Q値が、 図 2 9に示す伝達関数にお いてピークを持つ共振成分の Q値に略一致するように、 抵抗 1 3 5 6の値 R Dが 選択される。 このようにして作られた直列共振回路 1 3 6 0は、 図 2 9の参照 番号 1 3 8 4により示される電気的周波数特性を有する。
図 2 6に示す電子線検査装置 1 3 0 1は、 制御部 1 3 1 6によって制御 ·管 理される。 制御部 1 3 1 6は、 図 2 6に例示されたように、 汎用的なパーソナ ルコンピュータ等から構成することができる。 このコンピュータは、 所定のプ ログラムに従って各種制御、 演算処理を実行する制御部本体 1 3 1 4と、 本体 1 3 1 4の処理結果を表示する C R T 1 3 1 5と、 オペレータが命令を入力す るためのキーボードやマウス等の入力部 1 3 1 8とを備える、 勿論、 電子線検 査装置専用のハードウェア、 或いは、 ワークステーションなどから制御部 1 3 1 6を構成してもよい。
制御部本体 1 3 1 4は、 図示しない C P U、 R A M , R O M、 ハードデイス ク、 ビデオ基板等の各種制御基板等から構成される。 R A M若しくはハードデ イスクなどのメモリ上には、 撮像部 1 3 2 4から受信した電気信号即ちウェハ 1 3 0 5の二次電子線画像のデジタル画像データを記憶するための二次電子線 画像記憶領域 1 3 2 0が割り当てられている。 また、 ハードディスク上には、 予め欠陥の存在しないウェハの基準画像デ一夕を記憶しておく基準画像記憶部 1 3 1 3が存在する。 更に、 ハードディスク上には、 電子線検査装置全体を制 御する制御プログラムの他、 欠陥検出プログラム 1 3 1 9が格納されている。 この欠陥検出プログラム 1 3 1 9は、 ステージ 1 3 0 4の X Y平面内の移動を 制御すると共に、 この間に撮像部 1 3 2 4から受信したデジタル画像データに 関して加算等の各種演算処理を行い、 その結果得られたデータから記憶領域 1 3 2 0上で二次電子線画像を再構成する機能を有する。 更に、 この欠陥検出プ ログラム 1 3 1 9は、 記憶領域 1 3 2 0上で構成された二次電子線画像データ を読み出し、 該画像データに基づき所定のアルゴリズムに従ってウェハ 1 3 0 5の欠陥を自動的に検出する。 次に、 この実施の形態の作用を説明する。 電子線源 1 3 0 1 aから一次電子 線を放出し、 長方形開口 1 3 0 2 a、 4極子レンズ 1 3 0 2 b、 E X B偏向器 1 3 0 6及び対物レンズ 1 3 0 8を通して、 セッ 卜されたウェハ 1 3 0 5表面 上に照射する。 上述のように、 ウェハ 1 3 0 5上で例えば 1 0 0 zmX 5 0 μ mの被検査領域が照明され、 二次電子線が放出される。 この二次電子線は、 中 間静電レンズ 1 3 0 9及び投影静電レンズ 1 3 1 1によってマルチチャンネル プレート 1 3 2 1 の下面に拡大投影され、 撮像部 1 3 2 4により撮像され、 ゥ ェハ 1 3 0 5上の投影された領域の二次電子線画像が得られる。 ステージ 1 3 0 4を駆動してウェハ 1 3 0 5を所定幅毎に X— Y水平面内で逐次移動して上 記手順を実行することにより、 検査面全体の画像を得ることができる。
拡大された二次電子線画像を撮像している間に、 鏡筒 1 3 4 6に共振周波数 f 0 ( 1 5 0 H z ) の振動成分を含む外力が加わると、 鏡筒 1 3 4 6は、 その伝 達関数で定まる共振倍率 ( 3 0 d B) でこの振動成分を増幅させて固有振動す' る。 この振動 1 3 8 8は、 圧電素子 1 3 5 0に正負の圧力を印加する。 圧電素 子 1 3 5 0は、 鏡筒 1 3 4 6の振動エネルギーを一旦電気エネルギーに変換し て出力する。 圧電素子 1 3 5 0の両電極 1 3 5 2 a、 1 3 5 2 bには、 ィンダ クタンス 1 3 5 8 (L) 及び抵抗 1 3 5 6 (RD) が直列接続されて共振回路を 形成しているため、 共振周波数 において、 圧電素子 1 3 5 0の容量性インピ 一ダンスと、 ィンダクタンス 1 3 5 8の誘導性ィンピーダンス Lとは相殺され、 共振回路のインピーダンスは、 事実上、 抵抗 2 0 5 6 (RD) のみとなる。 従つ て、 共振時には、 圧電素子 1 3 5 0から出力される電気エネルギーは、 抵抗 1 3 5 6 (RD) によりほぼ全部消費される。
かくして、 鏡筒 1 3 4 6から圧電素子 1 3 5 0に加わる外力を相殺するよう に圧電素子 1 3 5 0は力を発生することになり、 機械共振により発生する振動 1 3 8 8を相殺し、 共振倍率を下げることができる。 二次電子線は拡大写像さ れるため、 振動による写像の揺らぎは更に大きなものとなるが、 この実施の形 態では、 このような揺らぎに起因した写像のぼけを未然に防止することができ る。 図 3 0に示すように、 機械構造体としての鏡筒 1 3 4 6の伝達関数 1 3 8 2 (図 2 9に相当) の共振成分は、 電気的周波数特性 1 3 8 4を持った直列共振 回路 1 3 6 0の共振成分により相殺され、 鏡筒 1 3 4 6は、 全体として共振倍 率の低い総合伝達関数 1 3 8 6を持つようになる。
以上のように、 写像のぼけの無い良好な二次電子線画像が得られると、 この 実施の形態の電子線検査装置 1 3 0 1は、 当該画像からウェハ 1 3 0 5の欠陥 を検査する処理を行う。 この欠陥検査処理として、 いわゆるパターンマツチン グ法などを用いることができる。 この方法では、 基準画像記憶部 1 3 1 3から 読み出した基準画像と、 実際に検出された二次電子線画像とのマッチングを取 つて両者の類似度を表す距離値を演算する。 この距離値が所定の閾値より小さ い場合、 類似度が高いと判断して 「欠陥無し」 と判定する。 これに対し、 該距 離値が所定の閾値以上の場合、 類似度が低いと判断して 「欠陥有り」 と判定す る。 欠陥有りと判定した場合、 オペレータに警告表示してもよい。 このとき、 C R T 1 3 1 5の表示部に二次電子線画像 1 3 1 7を表示するようにしてもよ い b なお、 二次電子線画像の部分領域毎に上記パターンマッチング法を用いて もよい。
パターンマッチング法以外でも、 例えば図 3 1 ( a ) 〜 (c ) に示す欠陥検 査方法がある。 図 3 1 ( a ) には、 1番目に検出されたダイの画像 1 3 3 1及 び 2番目に検出された他のダイの画像 1 3 3 2が示されている。 3番目に検出 された別のダイの画像が 1番目の画像 1 3 3 1と同じか又は類似と判断されれ ば、 2番目のダイ画像 1 3 3 2の 1 3 3 3の部分が欠陥を有すると判定され、 欠陥部分を検出できる。
図 3 1 ( b ) には、 ウェハ上に形成されたパターンの線幅を測定する例が示 されている。 ウェハ上の実際のパターン 1 3 3 4を 1 3 3 5の方向に走査した ときの実際の二次電子線の強度信号が 1 3 3 6であり、 この信号が予め較正し て定められたスレツショールドレベル 1 3 3 7を連続的に超える部分の幅 1 3 3 8をパターン 1 3 3 4の線幅として測定することができる。 このように測定 された線幅が所定の範囲内にない場合、 当該パターンが欠陥を有すると判定す ることができる。
図 3 1 ( c ) には、 ウェハ上に形成されたパターンの電位コントラストを測 定する例が示されている。 図 2 6に示す構成において、 ウェハ 1 3 0 5の上方 に軸対称の電極 1 3 3 9を設け、 例えばウェハ電位 0 Vに対して一 1 0 Vの電 位を与えておく。 このときの一 2 Vの等電位面は 1 3 4 0で示されるような形 状とする。 ここで、 ウェハに形成されたパターン 1 3 4 1及び 1 3 4 2は、 夫々一 4 Vと 0 Vの電位であるとする。 この場合、 パターン 1 3 4 1から放出 された二次電子線は一 2 V等電位面 1 3 4 0で 2 e Vの運動エネルギーに相当 する上向きの速度を持っているので、 このポテンシャル障壁 1 3 4 0を越え、 軌道 1 3 4 3に示すように電極 1 3 3 9から脱出して検出器で検出される。 一 方、 パターン 1 3 4 2から放出された二次電子線は— 2 Vの電位障壁を越えら れず、 軌道 1 3 4 4に示すようにウェハ面に追い戻されるので、 検出されない。 従って、 パターン 1 3 4 1の検出画像は明るく、 パターン 1 3 4 2の検出画像 は暗くなる。 かくして、 電位コントラストが得られる。 検出画像の明るさと電 位とを予め較正しておけば、 検出画像からパターンの電位を測定することがで きる。 そして、 この電位分布からパターンの欠陥部分を評価することができる。 以上説明したように、 本発明の第 7の実施の形態により得られた写像ぼけの 無い良好な二次電子線画像に対して上記のような各測定を行うことにより、 よ り高精度の欠陥検査が実現できる。
本発明の第 7の実施の形態としてこれまで説明した電子線検査装置を、 図 3 および図 4の ( a ) 、 ( b ) を用いて説明したデバイス製造方法におけるゥェ ハ検査工程 (G ) に用いた場合には、 機械構造体の振動による検出画像の劣化 を未然に防止できるので、 効率良く高精度の検査が可能となり、 欠陥製品の出 荷防止が実現できる。 これに関しては、 図 3および図 4の ( a ) 、 (b ) に関 する説明を援用することにし、 ここでの説明は省略する。
なお、 本発明の第 7の実施の形態は、 上で説明したもののみに限定されるも のではなく本発明の要旨の範囲内で任意好適に変更可能である。 例えば、 機械 的な共振周波数とモードは、 必ずしも一つではなく、 一般には複数発生するの で、 その場合には、 必要個数のァクチユエ一夕 1 3 2 5を鏡筒の各要所に設置 することで対応が可能となる。 例えば、 図 2 7 .( b ) に示す機械構造体プロッ ク Aが Y方向の振動 1 3 8 8だけではなく X方向の振動を有する場合、 別個の ァクチユエ一夕を X方向の振動を相殺するように設置することができる。 更に、 Bブロックや Dブロックにも独立した固有振動がある場合、 これらのブロック にもァクチユエ一夕を設置してもよい。
振動減衰用回路 1 3 2 7は、 直列共振回路 1 3 6 0と等価である必要はなく、 機械的固有振動が同一振動方向に複数の共振周波数を持つ場合、 当該回路の電 気的周波数特性が複数の共振周波数を持つもので対抗することができる。
ァクチユエ一夕の設置箇所は、 鏡筒だけではなく、 ビーム位置を正確に位置 付けるために必要な部品、 例えば X— Yステージ 1 3 0 4、 或いは、 各種光学 器械の光学部品に適用することも可能'である。
第 7の実施の形態の電子線検査装置の被検査試料として半導体ウェハ 1 3 0 5を例に掲げたが、 被検査試料はこれに限定されず、 電子線によって欠陥を検 出することができる任意のものが選択可能である。 例えばウェハへの露光用パ ターンが形成されたマスク等をその検査対象とすることもできる。
更に、 第 7の実施の形態は、 ビームを物質の目標位置に照射する電子線応用 装置全般に適用することができる。 この場合、 当該物質の検査のみならず、 そ の加工、 製造及び観測の少なくともいずれかを行う装置にも適用範囲を広げる ことができる。 当然、 ここでいう物質の概念は、 ウェハや上記した スクのみ ならず、 ビームにより、 その検査、 加工、 製造及び観測のうち少なくともいず れかが可能となる任意の対象物である。 デバイス製造方法も、 同様に、 半導体 デパイスの製造工程中の検査のみならず、 半導体デバイスをビームで製造する プロセス自体にも適用することができる。
なお、 第 7の実施の形態の電子線検査装置として、 図 2 6に示す構成を示し たが、 電子光学系等は任意好適に変更可能である。 例えば、 電子線検査装置 1 3 0 1の電子線照射手段は、 ウェハ 1 3 0 5の表面に対して垂直上方から一次 電子線を入射させる形式であるが、 E X B偏向器 1 3 0 6を省略し、 一次電子 線をウェハ 1 3 0 5の表面に斜めに入射させるようにしてもよい。
ゥェ八の保持に関する実施の形態 (第 8の実施の形態)
本発明の第 8の実施の形態は、 電子線装置においてゥェ八を静電的に吸着保 持する静電チャック、 ウェハと静電チャックとの組合わせ、 特に減速電界対物 レンズを用いた電子線装置で使用可能な静電チヤックとウェハとの組合わせ、 ならびに、 静電チャックとウェハとの組合わせを備える電子線装置を使用する デバイス製造方法に関する。
ウェハを静電的に吸着固定する公知の静電チヤックにおいては、 基板に配置 される電極層を複数の互いに絶縁された電極により形成し、 一方の電極から他 方の電極へ向かって順次電圧を印可する電源装置を備える。 また減速電界対物 レンズを用いる電子線装置が公知である。
プロセス途中のウェハを減速電界対物レンズを用いる電子線装置で評価する 場合、 ウェハに負の高電圧を印加することが必要である。 この場合、 急激に負 の高電圧を印加するとプロセス途中のデバィスが破壌されるおそれがあるので、 電圧を徐々に印加することが必要である。
一方、 大部分のウェハは、 ウェハの側面及び裏面に S i 02又は窒化膜等の絶 縁膜を付着されるので、 ウェハに 0電位又は低い電位を与えようとするとき、 電圧が印加されない問題があった。 更に静電チャック側へ中央が凸に歪んだゥ ェハは、 比較的容易に吸着固定できるが、 チャック側に中央が凹に歪んだゥェ ハは、 単極の静電チャックでは、 周辺部のみがチャックされ、 中央部はチヤッ クされないまま保持される問題があった。
本発明の第 8の実施の形態は、 上記の問題点を解決するため、 減速電界対物 レンズで使用でき、 側面及び裏面が絶縁膜で被覆され、 チャック側に向かって 中央が凹に歪んだウェハをチヤック可能な静電チヤック、 ならびにウェハと静 電チャックとの組合わせを提供するとともに、 このような静電チヤック又はゥ ェハと静電チヤックとの組合わせを用いてプロセス途中のウェハの評価を行う デバイス製造方法を提供する。 ,
図 3 2は、 本発明の第 8の実施の形態における静電チヤック 1 4 1 0の平面 図であり、 ウェハを取り除いて電極板 1 4 1 2を見たものである。 図 3 3は、 図 3 2の静電チヤックの線 M— Mに沿う垂直方向の概略断面図であり、 ウェハ が載置され電圧が印加されない状態を示すものである。 静電チヤック 1 4 1 0 は、 図 3 3に示すように、 基板 1 4 0 5、 電極板 1 4 1 2、 絶縁層 1 4 0 4か ら成る積層構造を有する。 電極板 1 4 1 2は、 第 1電極 1 4 0 1及び第 2電極 1 4 0 2を含む。 第 1電極 1 4 0 1及び第 2電極 1 4 0 2は、 別々に電圧が印 加できるように分離され、 磁場中で渦電流を発生しないで高速で移動可能であ るように、 薄膜で形成される。
第 1電極 1 4 0 1は、 平面図において円形の電極板 1 4 1 2の中央部分及ぴ 周辺部分の一部から成り、 第 2電極 1 4 0 2は、 電極板の残りの馬蹄形周辺部 分から成る。 電極板 1 4 1 2の上方に絶縁層 1 4 0 4が配置される。 絶縁層 1 4 0 4は、 厚さ 1 mmのサファイア基板により形成される。 サファイアは、 ァ ルミナの単結晶であり、 アルミナセラミックスの如き小孔が全くないので、 絶 縁破壊電圧が大きい。 例えば、 1 mm厚のサファイア基板は、 1 0 4V以上の電 位差に十分耐えることができる。
ウェハ 1 4 0 3に対する電圧の印加は、 ナイフエツジ状の金属部分を有する 接触子 1 4 0 6を介してなされる。 図 3 3に示すように、 2個の接触子 1 4 0 6がウェハ 1 4 0 3の側面に接触される。 2個の接触子 1 4 0 6を用いる理由 は、 1個の接触子だけの場合、 導通が取れなくなる恐れがあること、 及ぴゥェ ハ 1 4 0 3を片側へ押す力が生じるのを嫌ったからである。 絶縁層 1 4 0 4を 破壞して導通を取るのであるが、 放電する時に粒子を飛散させる恐れがあるの で、 接触子 1 4 0 6は, 抵抗 1 4 1 4を介して電源 1 4 1 6に接続し大きい放 電を生じないようにした。 この抵抗 1 4 1 4は、 大き過ぎると導通穴が形成さ れず、 小さ過ぎると大きい放電が起り、 パーティクルを飛散させるので、 絶縁 層 1 4 0 4毎に抵抗の許容値を決めた。
図 3 4の (a ) は電圧印加のタイムチャートを示す。 第 1電極には、 線 Aで 示すように、 時刻 t = 0において、 4 k Vが印加される。 ウェハの中央部及び 周辺部が共にチャックされた時刻 t = t。において、 第 2電極に、 線 Bで示すよ うに 4 kVが印加される。 時刻 t = t ,でウェハの電圧 Cが少しずつ深くされ (下げられ) 、 時刻 t = t 2で _ 4 k Vに達するように制御される。 第 1電極及 び第 2電極は、 時刻 t = t ,から時刻 t = t 2において、 少しずつ電圧が下げら れ、 時刻 t = t 2で、 0 Vにされる。
チヤックに吸着保持されたウェハの評価が終了した時刻 t = t3でウェハの電 圧 Cが 0 Vにされ、 ウェハが外部へ取出される。
静電チャックが 4 k Vの電位差がなくても 2 k Vの電位差でもウェハを吸着 保持する場合には、 図 3 4に 1点鎖線で示すように、 第 1電極及び第 2電極に それぞれに 2 k Vの電圧 A' 、 B ' が印加される。 ウェハに— 4 k Vが印加さ れるとき、 第 1電極及び第 2電極にそれぞに、 一 2 k Vが印加される。 このよ うにして、 電圧印加によって必要以上に電圧を絶縁層 2 1 0 4に印加しないよ うにできるので、 絶縁層の破壊を防止できる。
図 3 5は、 上で説明した静電チャックを備える電子線装置を示すブロック図 である。 電子線源 1 43 1から放出された電子線は、 開口アパーチャ (NA) を決めるアノード 1 43 2の開口で不要なビームを除去され、 コンデンサレン ズ 1 4 3 7と対物レンズ 1 44 3で縮小され、 一 4 k Vを印加されたウェハ 1 40 3に結像されると共に、 偏向器 1 4 3 8及び 1 44 2によりウェハ 1 4 0 3上を走査する。 ウェハ 1 4 0 3から放出される二次電子線は、 対物レンズ 1 443で集められ、 E XB分離器 1 442で 3 5 ° 程度、 右側へ曲げられ、 二 次電子線検出器 1 440で検出され、 ウェハ上の S EM像が得られる。 図 3 5 の電子線装置において、 符号 1 43 3、 1 4 3 5は軸合わせ器具、 1 4 3 4は 非点補正器具、 1 4 3 6は開口板、 1 44 1はシールド、 1 444は電極であ る。 ウェハ 1 4 0 3の下方に、 図 3 3および図 3 4で説明した静電チャックが 配置される。
本発明の第 8の実施の形態を、 図 3および図 4の ( a) 、 (b) で説明した デバイス製造方法における検査工程 (G) に用いることにより、 微細なパター ンを有する半導体デバイスでもスループッ トよく検査でき、 全数検査が可能に なり、 製品の歩留まり向上、 欠陥製品の出荷防止が可能である。 これに関して は、 図 3および図 4の (a ) 、 ( b ) に関する説明を援用することにし、 ここ での説明は省略する。
なお、 静電チャックに対して印加する電圧の増大又は減少の仕方は、 図 3 4 の (a ) に示すものに限られる訳ではない。 例えば、 図 3 4の (b ) に示すよ うに指数関数的に変化する電圧であっても良い。 要は、 所定の電圧まで時間内 に到達する電圧であれば、 どのようなものであってもよい。
試料載置用のステージに関する実施の形態 (第 9の実施の形態)
本発明の第 9の実施の形態は、 X Yステージ上に載置された試料に電子線を 照射する装置、 その装置を利用した欠陥検査装置又は露光装置、 および、 それ らの装置を使用したデバイス製造方法に関する。
半導体ゥェ八等の試料表面等に電子線を照射することによって、 その試料表 面上を半導体回路等のパターンで露光し若しくは試料表面上に形成されたパタ ーンを検査する装置、 或いは電子線を照射することによって試料に対して超精 密加工を施す装置においては、 試料を真空中で精度良く位置決めするステージ が使用されている。
かかるステージに対して非常に高精度な位置決めが要求される場合には、 ス テージを静圧軸受けによって非接触支持する構造が採用されている。 この場合、 静圧軸受けから供給される高圧ガスが直接真空チヤンパに排気されないように、 高圧ガスを排気する差動排気機構を静圧軸受けの範囲に形成することによって、 真空チャンバの真空度を維持している。
かかる従来技術によるステージの一例が図 3 6に示されている。 同図の構造 において、 真空チャンバ Cを構成するハウジング 1 5 0 8に、 電子線を発生し 試料に照射する電子線装置の鏡筒 1 5 0 1の先端部すなわち電子線照射部 1 5 0 2が取り付けられている。 鏡筒内部は真空配管 1 5 1 0によって真空排気さ れており、 チヤンバ Cは真空配管 1 5 1 1によって真空排気されている。 そし て、 電子線は鏡筒 1 5 0 1の先端部 1 5 0 2から、 その下に置かれたウェハ等 の試料 Sに対して照射される。
試料 Sは試料台 1 5 0 4に公知の方法により取り外し可能に保持されており、 試料台 1 5 04は X Yステージ (以下単にステージ) 1 5 0 3の Υ方向可動部 1 50 5の上面に取り付けられている。 Υ方向可動部 1 5 0 5には、 ステージ 1 5 0 3の X方向可動部 1 5 06のガイ ド面 1 50 6 aと向かい合う面 (図 3 6の [A] において左右両面及び下面) に静圧軸受け 1 5 0 9が複数取り付け られており、 この静圧軸受け 1 50 9の作用によりガイ ド面との間に微小隙間 を維持しながら Y方向 (図 3 6の [B] で左右方向) に移動できる。 さらに静 圧軸受けの周りには、 静圧軸受けに供給される高圧ガスが真空チヤンバ Cの内 部にリークしないように差動排気機構が設けられている。 この様子を図 3 7に 示す。 静圧軸受け 1 5 0 9の周囲に二重に溝 1 5 1 8と 1 5 1 7が構成されて おり、 これらの溝は図示されていない真空配管と真空ポンプにより常時真空排 気されている。 このような構造により、 Y方向可動部 1 50 5は真空中を非接 触状態で支持され Y方向に自在に移動することができるようになつている。 こ れらの二重の溝 1 5 1 8と 1 51 7は可動部 1 50 5の静圧軸受け 1 5 0 9が 設けられている面にその静圧軸受けを囲むようにして形成されている。 なお、 静圧軸受けの構造は公知のもので良いので、 その詳細な説明は省略する。
この Y方向可動部 1 50 5を搭載している X方向可動部 1 506は、 図 3 6 からも明らかなように、 上方に開口している凹形の形状を有していて、 その X 方向可動部 1 5 06にもまったく同様の静圧軸受け及び溝が設けられていて、 ステージ台 1 5 0 7に対して非接触で支持されており、 X方向に自在に移動す ることができる。
これらの Y方向可動部 1 50 5と X方向可動部 1 50 6の移動を組み合わせ ることによって、 試料 Sを鏡筒の先端部すなわち電子線照射部 1 5 0 2に関し て水平方向任意の位置に移動させ、 試料の所望の位置に電子線を照射すること ができる。
上記の静圧軸受けと差動排気機構を組み合わせたステージでは、 ステージが 移動する際に、 静圧軸受け 1 50 9に対向するガイ ド面 1 506 aや 1 5 0 7 aは、 静圧軸受け部の高圧ガス雰囲気とチャンバ内の真空環境の間を往復運動 する。 この時ガイ ド面では、 高圧ガス雰囲気に曝されている間にガスが吸着し、 真空環境に露出されると吸着していたガスが放出されるという状態が繰り返さ れる。 このためステージが移動する度に、 チャンバ C内の真空度が悪化すると いう現象が起こり、 上述した電子線による露光や検査や加工等の処理が安定に 行えなかった、 試料が汚染されてしまうという問題があった。
こうした課題を解決するために、 本発明の第 9の実施の形態は、
真空度の低下を防止して電子線による検査や加工等の処理を安定して行える 電子線装置、
静圧軸受けによる非接触支持機構と差動排気による真空シール機構を有し、 電子線の照射領域と静圧軸受けの支持部との間に圧力差を発生させるようにし た電子線装置、
静圧軸受けに面する部品表面から放出するガスを低減した電子線装置、 上記の電子線装置を用いて試料表面を検査する欠陥検査装置、 或いは試料の 表面にパターンを描画する露光装置、
上記のような電子線装置を用いて半導体デバイスを製造する半導体製造方法、 を提供する。
以下、 図を用いて、 本発明の第 9の実施の形態を説明する。 図 3 8において、 ステージ 1 5 0 3の Y方向可動部 1 5 0 5の上面には + Y方向と— Y方向 (図 3 8の [ B ] で左右方向) に大きくほぼ水平に張り出した仕切り板 1 5 1 4が 取り付けられ、 X方向可動部 1 5 0 6の上面との間に常にコンダクタンスが小 さい絞り部 1 5 5 0が構成されるようになっている。 また、 X方向可動部 6の 上面にも同様の仕切り板 1 5 1 2が ± X方向 (図 3 8の [ A ] で左右方向) に 張り出すように構成されており、 ステージ台 1 5 0 7の上面との間に常に絞り 部 1 5 5 1が形成されるようになっている。 ステージ台 1 5 0 7は、 ハウジン グ 1 5 0 8内において底壁の上に公知の方法で固定されている。
このため、 試料台 1 5 0 4がどの位置に移動しても常に絞り部 1 5 5 0と 1 5 5 1が形成されるので、 可動部 1 5 0 5及び 1 5 0 6の移動時にガイ ド面 1 5 0 6 aや 1 5 0 7 aからガスが放出されても、 絞り部 1 5 5 0と 1 5 5 1に よって放出ガスの移動が妨げられるため、 電子線が照射される試料近傍の空間 1 5 2 4の圧力上昇を非常に小さく押さえることができる。
ステージの可動部 1 5 0 5の側面及び下面並びに可動部 1 5 0 6の下面には- 静圧軸受け 1 5 0 9の周囲に、 図 3 7に示されるような差動排気用の溝が形成 されていてこの溝によって真空排気されるため、 絞り部 1 5 5 0、 1 5 5 1が 形成されている場合は、 ガイ ド面からの放出ガスはこれらの差動排気部によつ て主に排気されることになる。 このため、 ステージ内部の空間 1 5 1 3や 1 5 1 5の圧力は、 チャンバ C内の圧力よりも高い状態になっている。 したがって、 空間 1 5 1 3や 1 5 1 5を、 差動排気溝 1 5 1 7や 1 5 1 8で排気するだけで なく、 真空排気する箇所を別に設ければ空間 1 5 1 3や 1 5 1 5の圧力を下げ ることができ、 試料近傍 1 5 2 4の圧力上昇を更に小さくすることができる。 このための真空排気通路 1 5 1 1— 1 と 1 5 1 1— 2とが設けられている。 排 気通路はステージ台 1 5 0 7およびハウジング 1 5 0 8を貫通して八ウジング 1 5 0 8の外部に通じている。 また、 排気通路 1 5 1 1— 2は X方向可動部 1 5 0 6に形成され、 X方向可動部 1 5 0 6の下面に開口している。
また、 仕切り板 1 5 1 2及び 1 5 1 4を設置すると、 チャンバ Cと仕切り板 が干渉しないようにチヤンバを大きくする必要が生じるが、 仕切り板を伸縮可 能な材料や構造にすることによってこの点を改善することが可能である。 この 実施の形態においては、 仕切り板をゴムで構成したり蛇腹状にして、 その移動 方向の端部を、 仕切り板 1 5 1 4の場合は X方向可動部 1 5 0 6に、 仕切り板 1 5 1 2の場合はハウジング 1 5 0 8の内壁にそれぞれ固定する構成とする。 図 3 9は、 本発明の第 9の実施の形態における第 1の変形例を示している。 この例においては、 鏡筒の先端部すなわち電子線照射部 1 5 0 2の周囲に、 試 料 Sの上面との間に絞り部ができるように円筒状の仕切り 1 5 1 6が構成され る。 このような構成では、 X Yステージからガスが放出されてチャンバ C内の 圧力が上昇しても、 仕切りの内部 1 5 2 4は仕切り 1 5 1 6で仕切られており 真空配管 1 5 1 0で排気されているので、 チャンパ C内と仕切りの内部 1 5 2 4との間に圧力差が生じ、 仕切り内部の空間 1 5 2 4の圧力上昇を低く抑えら れる。 仕切り 1 5 1 6と試料面との隙間は、 チャンバ C内と照射部 1 5 0 2周 辺の圧力をどの程度に維持するかによって変わるが、 凡そ数十 mないし数 m m程度が適当である。 なお、 仕切り 1 5 1 6内と真空配管とは公知の方法によ り連通されている。
また、 電子線装置では、 試料 Sに数 k V程度の高電圧を印加することがあり、 導電性の材料を試料の近傍に設置すると放電を起こす恐れがある。 この場合に は、 仕切り 1 5 1 6の材質をセラミックス等の絶縁物で構成すれば、 試料 Sと 仕切り 1 5 1 6との間で放電を起こすことがなくなる。
なお、 試料 S (ウェハ) の周囲に配置したリング部材 1 5 0 4 _ 1は試料台 1 5 0 4に固定された板状の調整部品であり、 ウェハのような試料の端部に電 子線を照射する場合であっても、 仕切り 1 5 1 6の先端部全周に亘つて微小隙 間 1 5 5 2が形成されるように、 ウェハと同一の高さに設定されている。 これ によって、 試料 Sのどの位置に電子線が照射しても、 仕切り 1 5 1 6の先端部 には常に一定の微小隙間 1 5 5 2が形成され、 鏡筒先端部周囲の空間 1 5 2 4 の圧力を安定に保つことができる。
図 4 0は、 本発明の第 9の実施の形態における第 2の変形例を示している。 鏡筒 1 5 0 1の電子線照射部 2の周囲に差動排気構造を内蔵した仕切り 1 5 1 9が設けられている。 仕切り 1 5 1 9は円筒状の形状をしており、 その内部に 円周溝 1 5 2 0が形成され、 その円周溝からは上方に排気通路 1 5 2 1が延び ている。 その排気通路は内部空間 1 5 2 2を経由して真空配管 1 5 2 3に繋が れている。 仕切り 1 5 1 9の下端は試料 Sの上面との間に数十 z mないし数 m m程度の微小隙間を形成している。
このような構成では、 ステージの移動に伴ってステージからガスが放出され てチャンバ C内の圧力が上昇し先端部すなわち電子線照射部 1 5 0 2にガスが 流入しょうとしても、 仕切り 1 5 1 9が試料 Sとの隙間を絞ってコンダクタン スを非常に小さくしているためガスは流入を邪魔され流入量は減少する。 更に、 流入したガスは、 円周溝 1 5 2 0から真空配管 1 5 2 3へ排気されるため、 電 子線照射部 1 5 0 2の周囲の空間 1 5 2 4へ流入するガスはほとんどなくなり、 電子線照射部 1 5 0 2の圧力を所望の高真空のまま維持することができる。 図 4 1は、 本発明の第 9の実施の形態における第 3の変形例を示している。 チャンバ Cと電子線照射部 1 5 0 2の周囲には仕切り 1 5 2 6が設けられ、 電 子線照射部 1 5 0 2をチャンバ Cから隔てている。 この仕切り 1 5 2 6は、 銅 やアルミ二ユウム等の熱伝導性の良い材料からなる支持部材 1 5 2 9を介して 冷凍機 1 5 3 0に連結されており、 ― 1 0 0 °Cないし— 2 0 0 °C程度に冷却さ 'れている。 部材 1 5 2 7は冷却されている仕切り 1 5 2 6と鏡筒の間の熱伝導 を阻害するためのものであり、 セラミックスや樹脂材等の熱伝導性の悪い材料 から成っている。 また、 部材 1 5 2 8はセラミックス等の非絶縁体から成り、 仕切り 1 5 2 6の下端に形成されて試料 Sと仕切り 1 5 2 6が放電することを 防ぐ役割を持っている。
このような構成により、 チャンバ C内から電子線照射部に流入しょうとする ガス分子は、 仕切り 1 5 2 6で流入を阻害される上、 流入しても仕切り 1 5 2 6の表面に凍結捕集されてしまうため、 電子線照射部 1 5 0 2のある空間 1 5 2 4の圧力を低く保つことができる。
なお、 冷凍機としては、 液体窒素による冷却や、 H e冷凍機、 パルスチュー ブ式冷凍機等の様様な冷凍機が使用できる。 ' 図 4 2は、 本発明の第 9の実施の形態における第 4の変形例を示している。 ステージ 1 5 0 3の両可動部には、 図 3 8に示したのと同様に仕切り板 1 5 1 2、 1 5 1 4が設けられており、 試料台 1 5 0 4が任意の位置に移動しても、 これらの仕切りによってステージ内の空間 1 5 1 3 とチヤンバ C内とが絞り 1 5 5 0、 1 5 5 1を介して仕切られる。 更に、 電子線照射部 1 5 0 2の周りに は図 3 9に示したのと同様の仕切り 1 5 1 6が形成されており、 チャンバ C内 と電子線照射部 1 5 0 2のある空間 1 5 2 4が絞り 1 5 5 2を介して仕切られ ている。 このため、 ステージ移動時、 ステージに吸着しているガスが空間 1 5 1 3に放出されてこの部分の圧力を上昇させても、 チャンバ Cの圧力上昇は低 く抑えられ、 空間 1 5 2 4の圧力上昇は更に低く抑えられる。 これにより、 電 子線照射空間 1 5 2 4の圧力を低い状態に保つことができる。 また、 仕切り 1 5 1 6に示したように差動排気機構を内蔵した仕切り 1 5 1 9としたり、 図 4 0に示したように冷凍機で冷却された仕切り 1 5 2 6とすることによって、 空 間 1 5 2 4を更に低い圧力で安定に維持することができるようになる。
図 4 3は、 第 9の実施の形態による電子線装置の光学系及び検出系が模式的 に示されている。 光学系は鏡筒 1 5 0 1内に設けられるが、 この光学系及び検 出器はあくまでも例示であり、 必要に応じて任意の光学系、 検出器を使用でき る。 電子線装置の光学系 1 5 6 0は、 電子線をステージ 1 5 0 3上に載置され た試料 Sに照射する一次光学系 1 5 6 1 と、 試料から放出された二次電子線が 投入される二次光学系 1 5 7 1とを備えている。 一次光学系 1 5 6 1は、 電子 線を放出する電子線源 1 5 6 2と、 電子線源 1 5 6 2から放出された電子線を 集束する 2段の静電レンズからなるレンズ系 1 5 6 3、 1 5 6 4と、 偏向器 1
5 6 5と、 電子線をその光軸が対象の面に垂直になるように偏向するウィーン フィルタ 1 5 6 6と、 2段の静電レンズからなるレンズ系 1 5 6 7、 1 5 6 8 とを備え、 それらは、 図 3 6に示されるように電子線源 1 5 6 2を最上部にし て順に、'電子線の光軸が試料 Sの表面 (試料面) に鉛直な線に対して傾斜して 配置されている。 ウィーンフィルタ 1 5 6 6は電極 1 5 6 6— 1及び磁石 1 5
6 6 — 2を備えている。
二次光学系 1 5 7 1は試料 Sから放出された二次電子線が投入される光学系 で、 一次光学系のウイーンフィルタ 1 5 6 6の上側に配置された 2段の静電レ ンズからなるレンズ系 1 5 7 2、 1 5 7 3を備えている。 検出器 1 5 8 0は、 二次光学系 1 5 7 1を介して送られた二次電子線を検出する。 上記光学系 1 5 6 0及び検出器 1 5 8 0の各構成要素の構造及び機能は従来のものと同じであ るから、 それらについての詳細な説明は省略する。
電子線源 1 5 6 2から放出された電子線は、 電子線源の正方形開口で整形さ れ、 2段のレンズ系 1 5 6 3及び 1 5 6 4によって縮小され、 偏光器 1 5 6 5 で光軸を調整されてウイ一ンフィルタ 1 5 6 6の偏向中心面に一辺が 1 . 2 5 mmの正方形に結像される。 ウィーンフィルタ 1 5 6 6は、 試料の法線に垂直 な平面内において、 電界と磁界とを直交させた構造となっており、 電界、 磁界、 電子線のエネルギの関係が一定の条件を満たす時には電子線を直進させ、 それ 以外の時にはこれら電界、 磁界及び電界のエネルギの相互の関係により所定方 向に偏向されるようになっている。 図 2 3においては、 電子線源からの電子線 を試料 Sに垂直に入射させ、 また試料から放出された二次電子線を検出器 2 2 8 0の方向に直進させるように設定されている。 ウィーンフィルタ 1 5 6 6で 偏向された成形ビームはレンズ系 1 5 6 7、 1 5 6 8で 1ノ 5に縮小されて試 料 Sに投影される。 試料 Sから放出されたパターン画像の情報を持った二次電 子線はレンズ系 1 5 6 7、 1 5 6 8及び 1 5 7 2、 1 5 7 3で拡大され、 検出 器 1 5 8 0で二次電子線画像を形成する。 この 4段の拡大レンズは、 レンズ系 1 5 6 7及び 1 5 6 8が対称タブレツ トレンズを形成し、 レンズ系 1 5 7 2及 び 1 5 7 3もやはり対称夕プレツトレンズを形成しているので無歪みレンズと なっている。
本発明の第 9の実施の形態は、 図 3および図 4の (a ) 、 ( b ) を参照して 説明したデバイス製造方法における検査工程 (G ) 又は露光工程 (c ) に用い ることにより、 微細なパターンを高精度で安定して検査又は露光ができるので、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。 これに関しては、 図 3および図 4の (a ) 、 ( b ) に関する説明を援用することにし、 ここでの 説明は省略する。
試料載置用のステージに関する実施の形態 (第 1 0の実施の形態)
本発明の第 1 0の実施の形態は、 X Yステージ上に載置された試料に電子線 を照射する装置に関し、 更に詳しくは、 X Yステージに差動排気機構を設ける ことなく鏡筒周りに差動排気機構を設けた電子線装置、 その装置を利用した欠 陥検査装置又は露光装置、 および、 それらの装置を使用したデバイス製造方法 に関する。
図 3 6および図 3 7を用いて既に説明したとおり、 静圧軸受けと差動排気機 構とを組み合わせた従来の X Yステージにおいては、 差動排気機構を設けたた め、 大気中で使用される静圧軸受け式ステージに比べて構造が複雑で大型にな り、 ステージとしての信頼性が低く、 高コストになるという問題もある。 なお、 この実施の形態においても、 従来技術の説明として、 図 3 6、 図 3 7およびそ れらに関する既述を援用する。
本発明の第 1 0の実施の形態は、 上記の問題を解決するため、
XYステージの差動排気機構をなくして構造が簡単でコンパク卜化が可能な 電子線装置、
XYステージを収容しているハウジング内を真空排気すると共に該試料面上 の電子線が照射される領域を排気する差動排気機構を設けた電子線装置、 上記の電子線装置を用いて試料表面を検査する欠陥検査装置、 或いは試料の 表面にパターンを描画する露光装置、
上記のような電子線装置を用いて半導体デバイスを製造する半導体製造方法, を提供する。
なお、 この第 1 0の実施の形態において、 用語 「真空」 は、 当該技術分野に おける通常の意味で使用される。
以下、 図を用いて本発明の第 1 0の実施の形態を説明する。 図 44において、 電子線を試料に向かって照射する鏡筒 1 6 0 1の先端部すなわち電子線照射部 1 6 0 2が真空チャンバ Cを画成するハウジング 1 6 14に取り付けられてい る。 鏡筒 1 6 0 1の直下には、 XYステージ 1 6 0 3の X方向 (図 44におい て左右方向) の可動テーブル上に載置されている試料 Sが配置されるようにな つている。 この試料 Sは高精度な XYステージ 1 6 0 3によって、 その試料面 上の任意の位置に対して正確に電子線を照射させることができる。
X Yステージ 1 6 0 3の台座 1 6 06はハウジング 1 6 14の底壁に固定さ れ、 Y方向 (図 24において紙面に垂直の方向) に移動する Yテーブル 1 60 5が台座 1 6 0 6の上に載っている。 Yテーブル 1 6 05の両側面 (図 24に おいて左右側面) には、 台座 1 6 06に載置された一対の Y方向ガイ ド 1 6 0 7 a及び 1 6 0 7 bの Yテーブルに面した側に形成された凹溝内に突出する突 部が形成されている。 その凹溝は Y方向ガイ ドのほぼ全長に亘つて Y方向に伸 ぴている。 凹溝内に突出する突部の上、 下面及び側面には公知の構造の静圧軸 受け 1 6 1 1 a、 1 60 9 a、 1 6 1 1 b、 1 6 0 9 bがそれぞれ設けられ、 これらの静圧軸受けを介して高圧ガスを吹き出すことにより、 Yテーブル 1 6 0 5は Y方向ガイ ド 1 60 7 a、 1 6 0 7 bに対して非接触で支持され、 Y方 向に円滑に往復運動できるようになつている。 また、 台座 1 6 0 6と Υテープ ル 1 6 0 5との間には、 公知の構造のリニァモータ 1 6 1 2が配置されており , Υ方向の駆動をそのリニアモ一夕で行うようになっている。 Υテーブルには、 高圧ガス供給用のフレキシブル配管 1 622によって高圧ガスが供給され、 Υ テーブル内に形成されたガス通路 (図示せず) を通じて上記静圧軸受け 1 6 0 9 aないし 1 6 1 1 a及び 1 6 0 9 bないし 1 6 1 1 bに対して高圧ガスが供 給される。 静圧軸受けに供給された高圧ガスは、 Y方向ガイ ドの対向する案内 面との間に形成された数ミクロンから数十ミクロンの隙間に噴出して Yテープ ルを案内面に対して X方向と Z方向 (図 44において上下方向) に正確に位置 決めする役割を果たす。
Yテーブル上には Xテーブル 1 6 04が X方向 (図 44において左右方向) に移動可能に載置されている。 Yテーブル 1 6 0 5上には Yテーブル用の Y方 向ガイ ド 1 60 7 a、 1 60 7 bと同じ構造の一対の X方向ガイ ド 1 6 0 8 a、
1 6 0 8 b ( 1 6 08 aのみ図示) が Xテーブル 1 6 04を間に挟んで設けら れている。 X方向ガイ ドの Xテーブルに面した側にも凹溝が形成され、 Xテー ブルの側部 (X方向ガイ ドに面した側鄧) には凹溝内に突出する突部が形成さ れている。 その凹溝は X方向ガイ ドのほぼ全長に亘つて伸びている。 凹溝内に 突出する X方向テーブル 4の突部の上、 下面及び側面には前記静圧軸受け 1 6 1 1 a、 1 60 9 a、 1 6 1 0 a、 1 6 1 1 b、 1 6 0 9 b、 1 6 1 0 bと同 様の静圧軸受け (図示せず) が同様の配置で設けられている。 Yテーブル 1 6 0 5と Xテーブル 1 604との間には、 公知の構造のリニアモータ 1 6 1 3が 配置されており、 Xテーブルの X方向の駆動をそのリニアモータで行うように している。 そして、 Xテ一ブル 1 6 04にはフレキシブル配管 1 6 2 1によつ て高圧ガスが供給され、 静圧軸受けに高圧ガスを供給するようになっている。 この高圧ガスが静圧軸受けから X方向ガイ ドの案内面に対して噴出されること によって、 Xテーブル 1 604が Y方向ガイ ドに対して高精度に非接触で支持 されている。 真空チャンバ Cは公知の構造の真空ポンプ等に接続された真空配 管 1 6 1 9、 1 6 2 0 a、 1 6 2 0 bによって排気されている。 配管 1 6 2 0 a、 1 6 2 0 bの入口側 (真空チャンバ内側) は台座 1 6 0 6を貫通してその 上面において、 X Yステージ 1 6 0 3から高圧ガスが排出される位置の近くで 開口しており、 真空チヤンバ内の圧力が静圧軸受けから噴出される高圧ガスに より上昇するのを極力防止している。
鏡筒 1 6 0 1の先端部すなわち電子線照射部 1 6 0 2の周囲には、 差動排気 機構 1 6 2 5が設けられ、 真空チャンバ C内の圧力が高くても電子線照射空間 1 6 3 0の圧力が十分低くなるようにしてある。 すなわち、 電子線照射部 1 6 0 2周囲に取り付けられた差動排気機構 1 6 2 5の環状部材 1 6 2 6は、 その 下面 (試料 S側の面) と試料との間で微少隙間 (数ミクロンから数百ミクロ ン) 1 6 4 0が形成されるように、 ハウジング 1 6 1 4に対して位置決めされ ており、 その下面には環状溝 1 6 2 7が形成されている。 環状溝 1 6 2 7は排 気管 1 6 2 8により図示しない真空ポンプ等に接続されている。 したがって、 微少隙間 1 6 4 0は環状溝 1 6 2 7及び排気口 1 6 2 8を介して排気され、 真 空チヤンバ Cから環状部材 1 6 2 6によって囲まれた空間 1 6 3 0内にガス分 子が侵入しょうとしても、 排気されてしまう。 これにより、 電子線照射空間 1 6 3 0内の圧力を低く保つことができ、 電子線を問題なく照射することができ る。
この環状溝は、 チャンバ内の圧力、 電子線照射空間 1 6 3 0内の圧力によつ ては、 二重構造或いは三重構造にしてもよい。
静圧軸受けに供給する高圧ガスは、 一般にドライ窒素が使用される。 しかし ながら、 可能ならば、 更に高純度の不活性ガスにすることが好ましい。 これは、 水分や油分等の不純物がガス中に含まれると、 これらの不純物分子が真空チヤ ンパを画成するハウジングの内面やステージ構成部品の表面に付着して真空度 を悪化させたり、 試料表面に付着して電子線照射空間の真空度を悪化させてし まうからである。
なお、 以上の説明において、 試料 Sは通常 Xテーブル上に直接載置されるの でなく、 試料を取り外し可能に保持したり X Yステージ 1 6 0 3に対して微少 な位置変更を行うなどの機能を持たせた試料台の上に載置されているが、 試料 台の有無及びその構造は本願発明の要旨には関係ないので、 説明を簡素化する ために省略されている。
以上に説明した電子線装置では、 大気中で用いられる静圧軸受けのステージ 機構をほぼそのまま使用できるので、 露光装置等で用いられる大気用の高精度 ステージと同等の高精度の; Yステージを、 ほぼ同等のコスト及ぴ大きさで電 子線装置用の X Yステージに対して実現できる。
なお、 以上説明した静圧ガイ ドの構造や配置及びァクチユエ一夕 (リニアモ 一夕) はあくまでも一実施例であり、 大気中で使用可能な静圧ガイ ドゃァクチ ユエ一夕ならば何でも適用できる。
次に、 差動排気機構の環状部材 1 6 2 6及びそれに形成される環状溝の大き さの数値例を図 4 5に示す。 なお、 この例では環状溝は 1 6 2 7 a及び 1 6 2 7 bの二重構造を有しており、 それらは半径方向に隔てられている。 静圧軸受 けに供給される高圧ガスの流量は、 通常おおよそ 2 0 L Zm i n (大気圧換 算) 程度である。 真空チャンバ Cを、 内径 5 0 mmで長さ 2 mの真空配管を介 して 2 0 0 0 0 L /m i nの排気速度を有するドライポンプで排気すると仮定 すると、 真空チャンパ内の圧力は、 約 1 6 0 P a (約 1 . 2 T o r r ) となる。 この時、 差動排気機構の環状部材 1 6 2 6及び環状溝等の寸法を、 図 4 5に示 すようにすれば、 電子線照射空間 1 6 3 0内の圧力を 1 0— 4 P a ( 1 0 "S T o r r ) にすることができる。
なお、 差動排気機構は、 電子線照射空間 1 6 3 0内の圧力を所定圧力に維持 できるならば、 その形状もこの実施の形態のような同心円上に限られず、 矩形 や多角形でもよい。 さらに、 全周ではなく周囲の一部に設けられてもよい。 図 4 6は、 図 4 4に示す装置に対する配管系を示している。 ハウジング 1 6 1 4によって画成された真空チャンバ Cには、 真空配管 1 6 7 4、 1 6 7 5を 介してドライ真空ポンプ 1 6 5 3が接続されている。 また、 差動排気機構 1 6 2 5の環状溝 1 6 2 7は排気口 1 6 2 8に接続された真空配管 1 6 7 0を,介し て超高真空ポンプである夕—ポ分子ポンプ 1 6 5 1が接続されている。 更に、 鏡筒 1 6 0 1の内部は、 排気口 1 6 1 8に接続された真空配管 1 6 7 1を介し て、 ターボ分子ポンプ 1 6 5 2が接続されている。 これらの夕一ポ分子ポンプ 1 6 5 1、 1 6 5 2は、 真空配管 1 6 7 2、 1 6 7 3によってドライ真空ボン プ 1 6 5 3に接続されている。 (本図では、 ターボ分子ポンプの粗引きポンプ と真空チヤンバの真空排気用ポンプを 1台のドライ真空ポンプで兼用したが、 XYステージの静圧軸受けに供給する高圧ガスの流量、 真空チャンバの容積や 内表面積、 真空配管の内径や長さに応じて、 それらを別系統のドライ真空ボン プで排気する場合も考えられる。 )
XYステージ 1 6 0 3の静圧軸受けには、 フレキシブル配管 1 6 2 1、 1 6 2 2を通して高純度の不活性ガス (N 2ガス、 A rガス等) が供給される。 静 圧軸受けから噴出したこれらのガス分子は真空チヤンバ内に拡散し、 排気口 1 6 1 9、 1 6 2 0 a, 1 6 2 0 bを通してドライ真空ポンプ 2 3 5 3によって 排気される。 また、 差動排気機構や電子線照射空間に侵入したこれらのガス分 子は環状溝 1 6 2 7或いは鏡筒 1 6 0 1の先端部から吸引され、 排気口 1 6 2 8及び 1 6 1 8を通ってターボ分子ポンプ 1 6 5 1及び 1 6 52によって排気 され、 ターボ分子ポンプから排出された後ドライ真空ポンプ 1 6 5 3によって 排気される。
このように、 静圧軸受けに供給された高純度不活性ガスはドライ真空ポンプ に集められて排出される。
一方、 ドライ真空ポンプ 1 6 5 3の排気口は、 配管 1 67 6を介して圧縮機 1 6 5 4に接続され、 圧縮機 2 3 1 6の排気口は配管 1 6 7 7、 1 6 7 8、 1 6 7 9及びレギユレ一夕 1 6 6 1、 1 6 6 2を介してフレキシブル配管 1 6 2 1、 1 6 2 2に接続されている。 このため、 ドライ真空ポンプ 1 6 5 3から排 出された高純度不活性ガスは、 圧縮機 1 6 54によって再び加圧されレギユレ 一夕 1 6 6 1、 1 6 6 2で適正な圧力に調整された後、 再び XYテーブルの静 圧軸受けに供給される。
なお、 静圧軸受けに供給されるガスは上述したようにできるだけ高純度にし、 水分や油分が極力含まれないようにする必要があるため、 ターボ分子ポ ドライポンプ及び圧縮機は、 ガス流路に水分や油分が混入しないような構造で あることが求められる。 また、 圧縮機の排出側配管 1 6 7 7の途中にコールド トラップやフィルタ等 ( 1 6 6 0 ) を設け、 循環するガス中に混入した水分や 油分等の不純物質をトラップして静圧軸受けに供給されないようにすることも 有効である。
これによつて、 高純度不活性ガスを循環させて再利用できるので、 高純度不 活性ガスを節約でき、 また、 本装置が設置された部屋に不活性ガスをたれ流さ ないので、 不活性ガスによる窒息等の事故が発生する恐れもなくすことができ る。
なお、 循環配管系には高純度不活性ガス供給系 1 6 6 3が接続されており、 ガスの循環を始める際に、 真空チャンバ Cや真空配管 1 6 7 0〜 1 6 7 5及び 加圧側配管 1 6 7 6 ~ 1 6 8 0を含む全ての循環系に高純度不活性ガスを満た す役割と、 何らかの原因で循環するガスの流量が減少した際に不足分を供給す る役割とを担っている。
また、 ドライ真空ポンプ 1 6 5 3に大気圧以上まで圧縮する機能を持たせる ことによって、 ドライ真空ポンプ 1 6 5 3 と圧縮機 1 6 5 4を 1台のポンプで 兼ねさせることも可能である。
更に、 鏡筒の排気に用いる超高真空ポンプには、 ターボ分子ポンプの代わり にイオンポンプやゲッ夕ポンプ等のポンプを使用することも可能である。 ただ し、 これらの溜込み式ポンプを用いた場合は、
この部分には循環配管系を構築することはできないことになる。 また、 ドライ 真空ポンプの代わりに、 ダイヤフラム式ドライポンプ等、 他方式のドライボン プを使用することももちろん可能である。
なお、 図 4 4〜図 4 6により説明した第 1 0の実施の形態は、 第 9の実施の 形態と同様に、 図 4 3において説明した光学系および検出系を備えている。 こ の第 1 0の実施の形態においては、 図 4 3に関する記述を援用することにする。 また、 第 9の実施の形態において説明したとおり、 本発明の第 1 0の実施の形 態も、 図 3および図 4の ( a ) 、 ( b ) を参照して説明したデバイス製造方法 における検査工程 (G ) 又は露光工程 (c ) に用いることにより、 微細なパ夕 ーンを高精度で安定して検査又は露光ができるので、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。 これに関しては、 図 3および図 4の (a ) . ( b ) に関する説明を援用することにし、 ここでの説明は省略する。
光学系のレンズに関する実施の形態 (第 1 1の実施の形態)
本発明の第 1 1の実施の形態は、 試料の表面に形成されたパターン等を評価 する電子線装置及びその電子線装置を用いてプロセス途中又は終了後の試料の 評価を行うデバイス製造方法に関し、 詳しくは、 最小線幅 0 . 1 m以下のパ 夕一ンを有する、 試料上のデバイス等の欠陥検査、 C D測定、 電位コントラス トの測定、 高時間分解電位測定等の評価を高いスループッ トで且つ高い信頼性 のもとで行える電子線装置及びそのような電子線装置を用いてプロセス途中又 は終了後の試料の評価を行うデバイス製造方法に関するものである。
絶縁材料を含む試料を観察、 評価する装置には種々の技術が報告されている このような技術の中で、 走査電子線顕微鏡について言えば、 一次ビームのビー ム電流、 試料への吸収電流、 照射装置からの反射電子線量、 二次電子線放出量 等を測定してチャージアツプ状態を評価するチャージアツプ検知機能を有する 装置が公知である。
しかしながら、 上記のごとき従来の走査電子線顕微鏡では細い電子線すなわ ちビームで試料表面を走査するため、 大面積を有する試料を評価すると、 スル 一プッ トが大幅に低下するという問題があった。 また、 前記公知のチャージァ ップ検知機能では、 各種電流を高い時間分解能で測定する必要があり、 チヤ一 ジアップの状態をかならずしも正しく検出できなかった。
本発明の第 1 1の実施の形態は、 上記の問題点を解決するために、 光学系の レンズの構造を改良することによって光学系のコンパク ト化を図った電子線装 置、 スループットを向上させると共に、 チャージアップ検知機能を向上させて 評価の信頼性を向上した電子線装置、 および、 上記のような電子線装置を用い てプロセス途中又は後の試料の評価を、 高い製造歩留まりで行えるデバイスの 製造方法を提供する。 以下、 図面を参照して、 本発明に係る電子線装置の第 1 1の実施の形態につ いて説明する。 図 47において、 電子線装置 1 7 0 1は、 一次電子光学系 (以 下、 単に一次光学系という) 1 7 1 0と、 二次電子光学系 (以下、 単に二次光 学系という) 1 7 2 0と、 検出系 1 7 3 0とを備えている。 一次光学系 1 7 1 0は、 電子線をウェハ等の評価対象 (以下試料と呼ぶ) Sの表面に照射する光 学系であり、 電子線すなわち電子線を放出する電子線源 1 7 1 1と、 電子線源 1 7 1 1から放出された一次電子線を集束するコンデンサレンズ 1 7 1 2 と、 ウィーンフィルタ 1 7 1 5と、 対物レンズ 1 7 1 6 とを備え、 それらは、 図 4 8に示されるように配置されている。 なお、 参照数字 1 7 1 4及び 1 7 1 7は 一次電子線を軸合わせする軸合わせ装置、 1 7 1 8は一次電子線を走査する偏 向器であり、 1 7 1 9は軸対称電極である。
二次光学系 1 7 2 0は一次光学系の光軸に関して傾斜した光軸に沿って配置 される。 二次光学系は、 図 4 7には示していないが、 少なくとも一つのレンズ を備えていてもよい。 検出系 1 7 3 ◦は、 検出器 1 7 3 1と、 検出器 1 7 3 1 に増幅器 1 7 3 2を介して接続された画像形成部 1 7 3 3とを備える。
試料 Sは、 X Yステージ 1 74 0上のホルダ 1 7 4 1に公知の方法により着 脱可能に支持され、 その XYステージ 1 Ί 4 0により直交 2軸方向 (図 4 7で 左右方向及び紙面に垂直の方向) に移動可能に支持されている。
電子線装置 1 7 0 1は、 更に、 ホルダ 1 7 4 1 と電気的に接続されたリタ一 デイング電圧印加装置 (以下、 印加装置という) 1 7 5 0と、 チャージアップ 調査及びリターデイング電圧決定システム (以下、 調査及び決定システムとい う) 1 7 6 0とを備えている。 調査及び決定システム 1 7 6 0は、 画像形成部 1 7 3 3に電気的に接続されたモニタ 1 7 6 1 と、 モニタ 1 7 6 1に接続され たオペレータ 1 7 6 2と、 オペレータ 1 7 6 2に接続された C PU 1 7 6 3と を備えている。 C PU 1 7 6 3は、 印加装置 1 7 5 0並びに偏向器 1 7 1 7に 信号を供給する。
この第 1 1の実施の形態において、 コンデンサレンズ 1 7 1 2と対物レンズ 1 7 1 6は実質的に同じ構造になっているので、 コンデンサレンズ 1 7 1 2を 例に取って詳しく説明する。 静電軸対称レンズであるコンデンサレンズ 1 7 1 2は、 一体のセラミックスを削って軸方向断面が図 47に示されるような形状 に形成されている。 すなわち、 コンデンサレンズ 1 7 1 2は、 セラミックス製 の本体 1 7 1 2— 1を備えている。 この本体 1 7 1 2— 1は中心部に円形穴 1 7 1 2— 2を画成するように平面形状が環状に形成され、 内周側が、 図 47に おいて上下方向 (光軸に沿う方向) に隔てられた三つの板状部分 1 7 1 2— 3 ないし 1 7 1 2— 5に仕切られている。 セラミックス製本体 1 7 1 2— 1の外 周、 特に、 板状部分 1 7 1 2— 3ないし 1 7 1 2— 5の周囲には金属のコーテ ィング膜 1 7 1 2— 6ないし 1 7 1 2— 8が施されている。 これらのコーティ ング膜 1 7 1 2— 6ないし 1 7 1 2— 8はそれぞれ電極 (上電極 1 7 1 2— 6、 中間電極 1 7 1 2— 7及び下電極 1 7 1 2— 8 ) として機能し、 コーティング 膜すなわち上及び下電極 1 7 1 2— 6及び 1 7 1 2— 8には接地側に近い電圧 が印加され、 真ん中のコーティング膜すなわち中間電極 1 7 1 2— 7には絶対 値の大きい正又は負の高電圧が本体 1 7 1 2— 1に設けられた電極金具 1 7 1 2 - 9によって印加され、 それによつてレンズの作用を行うようになっている。 このようなレンズは、 一体のセラミックスを削って同時に加工を行うため加工 精度が良く、 レンズ外径寸法を小さくできる。
この実施の形態における電子線装置では、 レンズの外径寸法を小さくするこ とが可能になるので、 電子線装置を収納している鏡筒の外径寸法も小さくでき る。 したがって径の大きなウェハのような試料に対しては、 一枚の試料に対し て複数の鏡筒を配置することが可能になる。 例えば、 レンズ外径 (直径) を 4 0mmにしたとすると、 図 48に示されるように、 X方向に 4個の鏡筒 1 7 0 2を並べたものを Y方向に 2列、 合計で 8個の鏡筒 1 70 2を一枚の試料に対 して配置できる。 そして、 資料 Sを保持しているステージ (図示せず) を Y方 向に連続移動させ、 X方向に各鏡筒で走査して評価を行えば、 1電子線のみを 使用して評価する場合の 7ないし 8倍のスループッ トが得られる。
上記の電子線装置において、 一次光学系 1 7 1 0の電子線源 1 7 1 1のカソ ード 1 7 1 1— 1から放出された一次電子線すなわちビームは、 アノード 1 7 1 1 一 2によって加速される。 一次電子線によって作られる電子線源のクロス オーバ像は、 コンデンサレンズ 1 7 1 2及ぴ対物レンズ 1 7 1 6 とで縮小され て 5 0 n m程度の細いビームにして試料 S上に走査して照射される。 この一次 電子線による照射により試料から放出された二次電子線は軸対称電極 1 7 1 9 に引かれて対物レンズ側に引かれるが、 その軸対称電極 1 7 1 9より二次電子 線を対物レンズ 1 7 1 6側に通したり或いは試料側に戻したりすることにより 試料パターンの電位コントラス トを得ることができる。
対物レンズを通過した二次電子線はウィーンフィル夕 1 7 1 5により一次光 学系 1 7 1 0から分離されて二次電子光学系 (以下単に二次光学系) 1 7 2 0 に導入され、 検出系 1 7 3 0の検出器 1 7 3 1によって検出される。 検出器 1 7 3 1は、 検出した二次電子線像をその強度を表す電気信号に変換する。 こう して各検出器から出力された電気信号は対応する増幅器 1 7 3 2により増幅さ れた後、 画像形成部 1 7 3 3入力され、 この画像形成部で画像データに変換さ れる。. 画像形成部 1 7 3 3には、 一次電子線を偏向させるための走査信号が更 に供給されるので、 画像形成部は試料 Sの面を表す画像を表示する。 この画像 を基準パターンと比較することにより、 試料 Sの欠陥を検出するこどができる。 なお、 この実施の形態では単一の電子線を使用しているが、 複数のビームを使 用することにより、 スループッ トの向上という点では単ビームより良い場合が あな。
画像形成部 1 7 3 3で変換された画像データは、 調査及び決定装置 1 7 6 0 の表示装置 1 7 6 1により画像として表示され、 オペレータ 1 7 6 2により画 像を評価する。 オペレータ 1 7 6 2はこの実施の形態ではチャージアップ調査 機能を実行する。 またオペレータ 1 7 6 2は画像に基づいてチャージアップ状 態を調査することができる。 そして、 その結果を C P U 1 7 6 3に入力し、 リ ターデイング電圧を最適な値に設定する。 C P U 1 7 6 3は、 この実施の形態 では、 リターデイング電圧決定装置を構成する。
より具体的には、 被評価試料のチャージアップの影響を受け易い場所すなわ ち図 4 9の [A ] に示されるように、 試料としてのウェハの表面に形成された チップ 1 77 0のメモリーセル 1 7 7 1のコーナ部を評価した。 すなわち、 (1) コーナ部でのメモリーセル境界 1 7 7 2のパターン歪み量 1 7 7 3、 1 7 74を測定するか、 或いは、 (2) メモリーセルのコーナ部においてパター ンを横切るように (矢印 A 1及び A 2で示すように) 走査した時に得た信号強 度のコントラストを、 図 49の [B] において実線 1 7 7 5及び 1 7 7 7で表 示して、 チップの中心部においてパ夕一ンを矢印 A 3、 A 4に走査したときに 得た信号強度のコントラスト 1 7 7 6及ぴ 1 7 7 8 (いずれも図 4.9の [B] において破線で図示) と比較してもよい。
リタ一ディング電圧印加装置 1 7 50に複数の値の電圧を与え、 その都度、 歪み量 1 7 7 3及び 1 7 74或いはコントラスト 1 7 7 5、 1 77 7及び 1 7 7 6、 1 7 7 8を測定し、 歪み量 1 77 3及び 1 7 74が小さい方がチャージ アップの影響は小さいと評価した。 また、 コーナ部でのコントラストの値 1 7 7 5、 1 7 7 7が中心部でのコントラス卜の値に近い方がチャージアップの影 響が小さいと評価した。
チャージアップの状態の良好なリターディング電圧が見出されたら、 その値 を CPU 1 7 6 3を介して印加装置 1 7 5 0に与え、 或いは最適なビーム電流 の値が見出されたらそれらの値で試料すなわちウェハの評価を行う。
本発明の第 1 1の実施の形態は、 図 3およぴ図 4の (a) 、 (b) を参照し て説明したデバイス製造方法における検査工程 (G) に用いることができる。 これにより、 微細なパターンを有する半導体デバイスでも、 スループッ ト良く 検査することができるので、 全数検査が可能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。 これに関しては、 図 3および図 4の (a) 、 (b) に関する説明を援用することにし、 ここでの説明は省略する。
欠陥検出に関する実施の形態 (第 1 2の実施の形態)
本発明の第 1 2の実施の形態は、 半導体ウェハ等の試料の画像を予め用意さ れた基準画像と比較することにより該試料の欠陥を検査するための欠陥検査装 置及びこのような欠陥検査装置を用いて半導体デバイスを製造する半導体デパ イス製造方法に関するものである。 従来、 半導体ウェハ等の試料に一次電子線を照射することにより'発生した二 次電子線を検出することによって当該試料の欠陥を検査するための欠陥検査装 置が、 半導体製造プロセス等で利用されている。 このような欠陥検査装置には, 画像認識技術を応用して欠陥検査の自動化及び効率化を図った技術がある。 こ の技術では、 二次電子を検出することによって取得した試料表面の被検査領域 のパターン画像データと、 予め記憶した試料表面の基準画像データとをコンピ ユー夕によってマッチング演算し、 その演算結果に基づいて、 試料の欠陥の有 無を自動的に判定する。
昨今では、 特に半導体製造分野においては、 パターンの高精細化が進み、 微 細な欠陥を検出する必要が高まってきている。 このような状況下では、 上記の ような画像認識技術を応用した欠陥検査装置においても、 認識精度の更なる向 上が求められている。
しかしながら、 上記従来技術では、 一次電子線を試料表面の被検査領域に照 射して取得した二次電子線の画像と、 予め用意された基準画像との間に位置ず れが発生し、 欠陥検出の精度を低下させるという問題があった。 この位置ずれ は、 一次電子線の照射領域がウェハに対してずれ、 検査パターンの一部が二次 電子線の検出画像内から欠落するとき、 特に大きな問題となり、 単にマツチン グ領域を検出画像内で最適化する技術 (特公平 6 - 9 5 3 4 0号公報参照) だ けでは対処できない。 これは、 特に、 高精細パターンの検査では致命的欠点と なり得る。
本発明の第 1 2の実施の形態は、 こうした問題点を解決するために、 被検査 画像と基準画像'との位置ずれによる欠陥検査精度の低下を防止した欠陥検査装 置、 および、 半導体デバイスの製造プロセスにおいて、 上記のような欠陥検査 装置を用いて試料の欠陥検査を行うことにより、 デバイス製品の歩留まりの向 上及び欠陥製品の出荷防止を図った半導体製造方法を提供する。
図 5 0は、 本発明の第 1 1の実施形態に係る欠陥検查装置の概略構成が示さ れている。 この欠陥検査装置は、 一次電子線を放出する電子線源 1 8 0 1、 放 出された一次電子線を偏向、 成形させる静電レンズ 1 8 0 2、 成形された一次 電子線を電場 E及び磁場 Bの直交する場で半導体ウェハ 1 8 0 5に略垂直に当 たるように偏向させるウィーンフィル夕 1 8 0 3、 偏向された一次電子線をゥ ェハ 1 8 0 5上に結像させる対物レンズ 1 8 1 0、 真空に排気可能な図示しな い試料室内に設けられ、 ウェハ 1 8 0 5を載置した状態で水平面内を移動可能 なステージ 1 8 0 4、 一次電子線の照射によりウェハ 1 8 0 5から放出された 二次電子線及び Z又は反射電子線を所定の倍率で写像投影して結像させる写像 投影系の静電レンズ 2 1 8 0 6、 結像された像をウェハの二次電子線画像とし て検出する検出器 1 8 0 7、 及び、 装置全体を制御すると共に、 検出器 1 8 0 7により検出された二次電子線画像に基づいてウェハ 1 8 0 5の欠陥を検出す る処理を実行する制御部 1 8 1 6を備える。 なお、 二次電子線画像には、 二次 電子線による寄与ばかりでなく反射電子による寄与も含まれている。
また、 対物レンズ 1 8 1 0とウェハ 1 8 0 5との間には、 一次電子線のゥェ ハ 1 8 0 5への入射角度を電場等によって偏向させる偏向電極 1 8 1 1が介在 されている。 この偏向電極 1 8 1 1には、 該偏向電極の電場を制御する偏向制 御器 1 8 1 2が接続されている。 この偏向制御器 1 8 1 2は、 制御部 1 8 1 6 に接続され、 制御部 1 8 1 6からの指令に応じた電場が偏向電極 1 8 1 1で生 成されるように該偏向電極を制御する。 なお、 偏向制御器 1 8 1 2は、 偏向電 極 1 8 1 1に与える電圧を制御する電圧制御装置として構成することができる。 検出器 1 8 0 7は、 静電レンズ 1 8 0 6によって結像された二次電子線画像 を後処理可能な信号に変換することができる限り、 任意の構成とすることがで きる。 例えば、 図 5 5にその詳細を示すように、 検出器 1 8 0 7は、 マルチチ ヤンネルプレート 1 8 5 0と、 蛍光面 1 8 5 2と、 リレーレンズ 1 8 5 4と、 多数の C C D素子からなる撮像センサ 1 8 5 6とを備える。 マルチチャンネル プレート 1 8 5 0は、 プレート内に多数のチャンネルを備えており、 静電レン ズ 1 8 0 6によって結像された二次電子が該チャンネル内を通過する間に、 更 に多数の電子を生成させる。 即ち、 二次電子線を増幅させる。 蛍光面 1 8 5 2 は、 増幅された二次電子線によって蛍光を発することにより二次電子線を光に 変換する。 リレーレンズ 1 8 5 4がこの蛍光を C C D撮像センサ 1 8 5 6に導 き、 C C D撮像センサ 1 8 5 6は ウェハ 1 8 0 5表面上の二次電子の強度分 布を素子毎の電気信号即ちデジタル画像データに変換して制御部 1 8 1 6に出 力する。
制御部 1 8 1 6は、 図 5 0に例示されたように、 汎用的なパーソナルコンビ ュ一夕等から構成することができる。 このコンピュータは、 所定のプログラム に従って各種制御、 演算処理を実行する制御部本体 1 8 1 4と、 本体 1 8 1 4 の処理結果を表示する C R T 1 8 1 5と、 オペレータが命令を入力するための キ一ポ一ドゃマウス等の入力部 1 8 1 8とを備える、 勿論、 欠陥検査装置専用 のハードウェア、 或いは、 ワークステーションなどから制御部 1 8 1 6を構成 してもよい。
制御部本体 1 8 1 4は、 図示しない C P U、 R A M , R O M , ハードデイス ク、 ビデオ基板等の各種制御基板等から構成される。 R A M若しくはハードデ イスクなどのメモリ上には、 検出器 1 8 0 7から受信した電気信号即ちウェハ 1 8 0 5の二次電子線画像のデジタル画像データを記憶するための二次電子線 画像記憶領域 1 8 0 8が割り当てられている。 また、 ハードディスク上には、 予め欠陥の存在しないウェハの基準画像データを記憶しておく基準画像記憶部 1 8 1 3が存在する。 更に、 ハードディスク上には、 欠陥検査装置全体を制御 する制御プログラムの他、 記憶領域 1 8 0 8から二次電子線画像データを読み 出し、 該画像データに基づき所定のアルゴリズムに従ってウェハ 1 8 0 5の欠 陥を自動的に検出する欠陥検出プログラム 1 8 0 9が格納されている。 この欠 陥検出プログラム 1 8 0 9は、 詳細を更に後述するように、 基準画像記憶部 1 8 1 3から読み出した基準画像と、 実際に検出された二次電子線画像とのマツ チングを取って欠陥部分を自動的に検出し、 欠陥有りと判定した場合、 ォペレ 一夕に警告表示する機能を有する。 このとき、 C R T 1 8 1 5の表示部に二次 過電流生成画像 1 8 1 7を表示するようにしてもよい。
次に、 図 5 0に示す欠陥検査装置の作用を図 5 2〜図 5 4に示すフローチヤ ートを例にして説明する。 先ず、 図 5 2のメインル一チンの流れに示すように、 検査対象となるウェハ 1 8 0 5をステージ 1 8 0 4の上にセッ トする (ステツ プ 1 9 0 0 ) 。 これは、 図示しないローダーに多数格納されたウェハ 1 8 0 5 を一枚毎に自動的にステージ 1 8 0 4にセッ トする形態であってもよい。
次に、 ウェハ 1 8 0 5表面の X Y平面上で部分的に重なり合いながら互いか ら変位された複数の被検査領域の画像を各々取得する (ステップ 1 9 0 4 ) 。 これら画像取得すべき複数の被検査領域とは、 図 5 6に示すように、 例えばゥ ェハ検査表面 1 8 3 4上に、 参照番号 1 8 3 2 a、 1 8 3 2 b 1 8
3 2 k , . . . で示す矩形領域のことであり、 これらは、 ウェハの検査パター ン 1 8 3 0の回りで、 部分的に重なり合いながら位置がずらされていることが わかる。 例えば、 図 5 1に示されたように、 1 6個の被検査領域の画像 1 8 3 2 (被検査画像) が取得される。 ここで、 図 5 1に示す画像は、 矩形の桝目が 1画素 (或いは、 画素より大きいプロック単位でもよい) に相当し、 このうち 黒塗りの桝目がウェハ 1 8 0 5上のパターンの画像部分に相当する。 このステ ップ 1 9 0 4の詳細は図 5 3のフローチヤ一卜で後述する。
次に、 ステップ 1 9 0 4で取得した複数の被検査領域の画像デ一夕を記憶部 1 8 1 3に記憶された基準画像データと、 各々比較照合し (図 5 2のステップ 1 9 0 8 ) 、 上記複数の被検査領域により網羅されるウェハ検査面に欠陥が有 るか否かが判定される。 この工程では、 いわゆる画像データ同士のマッチング 処理を実行するが、 その詳細については図 5 4のフローチャートで後述する。 ステップ 1 9 0 8の比較結果より、 上記複数の被検査領域により網羅される ウェハ検査面に欠陥が有ると判定された場合 (ステップ 1 9 1 2肯定判定) 、 オペレータに欠陥の存在を警告する (ステップ 1 9 1 8 ) 。 警告の方法として、 例えば、 C R T 1 8 1 5の表示部に欠陥の存在を知らせるメッセージを表示し たり、 これと同時に欠陥の存在するパターンの拡大画像 1 8 1 7を表示しても よい。 このような欠陥ウェハを直ちに試料室 1 8 0 3から取り出し、 欠陥の無 いウェハとは別の保管場所に格納してもよい (ステップ 1 9 1 9 ) 。
ステップ 1 9 0 8の比較処理の結果、 ウェハ 1 8 0 5に欠陥が無いと判定さ れた場合 (ステップ 1 9 1 2否定判定) 、 現在検査対象となっているゥェ八 1 8 0 5について、 検査すべき領域が未だ残っているか否かが判定される (ステ ップ 1 9 1 4 ) 。 検査すべき領域が残っている場合 (ステップ 1 9 1 4肯定判 定) 、 ステージ 1 8 0 4を駆動し、 これから検査すべき他の領域が一次電子線 の照射領域内に入るようにウェハ 1 8 0 5を移動させる (ステップ 1 9 1 6 ) c その後、 ステップ 1 9 0 2に戻って当該他の検査領域に関して同様の処理を繰 り返す。
検査すべき領域が残っていない場合 (ステップ 1 9 1 4否定判定) 、 或いは、 欠陥ウェハの抜き取り工程 (ステップ 1 9 1 9 ) の後、 現在検査対象となって いるウェハ 1 8 0 5が、 最終のウェハであるか否か、 即ち図示しないローダー に未検査のウェハが残っていないか否かが判定される (ステップ 1 9 2 0 ) 。 最終のウェハでない場合 (ステップ 1 9 2 0否定判定) 、 検査済みウェハを所 定の格納箇所に保管し、 その代わりに新しい未検査のウェハをステージ 1 8 0 4にセッ 卜する (ステップ 1 9 2 2 ) 。 その後、 ステップ 1 9 0 2に戻って当 該ウェハに関して同様の処理を繰り返す。 最終のウェハであった場合 (ステツ プ 1 9 2 0肯定判定) 、 検査済みウェハを所定の格納箇所に保管し、 全工程を 終了する。
次に、 ステップ 1 9 0 4の処理の流れを図 5 3のフローチャートに従って説 明する。 図 5 3では、 先ず、 画像番号 iを初期値 1にセッ トする (ステップ 1 9 3 0 ) 。 この画像番号は、 複数の被検査領域画像の各々に順次付与された識 別番号である。 次に、 セットされた画像番号 i の被検査領域について画像位置 ( X: , Y 5 ) を決定する (ステップ 1 9 3 2 ) 。 この画像位置は、 被検査領域 を画定させるための該領域内の特定位置、 例えば該領域内の中心位置として定 義される。 現時点では、 1 = 1であるから画像位置 (X i , Y となり、 これ は例えば図 1 6に示された被検査領域 1 8 3 2 aの中心位置に該当する。 全て の被検査画像領域の画像位置は予め定められており、 例えば制御部 1 8 1 6の ハードディスク上に記憶され、 ステップ 1 9 3 2で読み出される。
次に、 図 5 0の偏向電極 1 8 1 1を通過する一次電子線がステツプ 1 9 3 2 で決定された画像位置 (X i, Y , ) の被検査画像領域に照射されるように、 偏 向制御器 1 8 1 2が偏向電極 1 8 1 1に電位を加える (図 5 3のステップ 1 9 34) 。 次に、 電子線源 2 5 0 1から一次電子線を放出し、 静電レンズ 1 8 0 2、 ウイーンフィル夕 1 8 0 3、 対物レンズ 1 8 1 0及び偏向電極 1 8 1 1を 通して、 セッ 卜されたウェハ 1 8 0 5の表面上に照射する (ステツプ 1 9 3 6) 。 このとき、 一次電子線は、 偏向電極 1 8 1 1の作り出す電場によって偏 向され、 ウェハ検査表面 1 8 34上の画像位置 (X;, Y;) の被検査画像領域 全体に亘つて照射される。 画像番号 i二 1の場合、 被検查領域は 1 8 3 2 aと なる。
一次電子線が照射された被検査領域からは二次電子線及び/又は反射電子線 (以下、 両者を総合して 「二次電子線」 という) が放出される。 そこで、 発生 した二次電子線を拡大投影系の静電レンズ 1 806により所定の倍率で検出器
1 8 0 7に結像させる。 検出器 1 8 07は、 結像された二次電子線を検出し、 検出素子毎の電気信号即ちデジタル画像データに変換出力する (ステップ 1 9
3 8) 。 そして、 検出した画像番号 iのデジタル画像データを二次電子線画像 記憶領域 1 80 8に転送する (ステップ 1 940 ) 。
次に、 画像番号 iを 1だけインクリメントし (ステップ 1 942) 、 インク リメントした画像番号 ( i + 1 ) が一定値 i MAXを越えているか否かを判定す る (ステップ 1 944) 。 この i MAXは、 取得すべき被検査画像の数であり、 図 5 1の上述した例では、 「 1 6」 である。
画像番号 iが一定値 i MAXを越えていない場合 (ステツプ 1 944否定判 定) 、 再びステップ 1 9 3 2に戻り、 インクリメントした画像番号 ( i + 1 ) について画像位置 (X i + 1, Υ ί + 1) を再び決定する。 この画像位置は、 前の ルーチンで決定した画像位置 (Xi, Y;) から X方向及び Z又は Y方向に所定 距離 (ΔΧί, Δ Y;) だけ移動させた位置である。 図 56の例では、 被検査領 域は、 (X1 ; Yx) から Υ方向にのみ移動した位置 (Χ2, Υ2) となり、 破線 で示した矩形領域 1 8 3 2 bとなる。 なお、 (ΔΧί, ΔΥ ( 1 = 1 ,
2 , . . . i MAX) の値は、 ウェハ検査面 1 8 34のパターン 1 8 30が検出 器 1 8 0 7の視野から実際に経験的にどれだけずれるかというデータと、 被検 查領域の数及び面積から適宜定めておくことができる。 そして、 ステップ 1 9 3 2〜1 9 4 2の処理を i M A x個の被検査領域につい て順次繰り返し実行する。 これらの被検査領域は、 図 5 6に示すように、 k回 移動した画像位置 (X k, Y k ) では被検査画像領域 1 8 3 2 kとなるように、 ウェハの検査面 1 8 3 4上で、 部分的に重なり合いながら位置がずらされてい く。 このようにして、 図 5 1に例示した 1 6個の被検査画像データが画像記憶 領域 1 8 0 8に取得される。 取得した複数の被検査領域の画像 1 8 3 2 (被検 査画像) は、 図 5 6に例示されたように、 ウェハ検査面 1 8 3 4上のパターン 1 8 3 0の画像 1 8 3 0 aを部分的に若しくは完全に取り込んでいることがわ かる。
インクリメン卜した画像番号 iが i M A Xを越えた場合 (ステップ 1 9 4 4肯 定判定) 、 このサプル一チンをリターンして図 5 2のメインルーチンの比較ェ 程 (ステップ 1 9 0 8 ) に移行する。
なお、 ステツプ 1 9 4 0でメモリ転送された画像データは、 検出器 1 8 0 7 により検出された各画素毎の二次電子の強度値 (いわゆるベタデータ) からな るが、 後段の比較工程 (図 5 2のステップ 1 9 0 8 ) で基準画像とマッチング 演算を行うため、 様々な演算処理を施した状態で記憶領域 8に格納しておくこ とができる。 このような演算処理には、 例えば、 画像データのサイズ及ぴ Z又 は濃度を基準画像データのサイズ及び Z又は濃度に一致させるための正規化処 理ゃ、 所定画素数以下の孤立した画素群をノイズとして除去する処理などがあ る。 更には、 単純なベタデータではなく、 高精細パターンの検出精度を低下さ せない範囲で検出パターンの特徴を抽出した特徴マ卜リクスにデータ圧縮変換 しておいてもよい。 このような特徴マトリクスとして、 例えば、 M X N画素か らなる二次元の被検査領域を、 m x n (mく M, n < N ) ブロックに分割し、 各プロックに含まれる画素の二次電子線強度値の総和 (若.しくはこの総和値を 被検査領域全体の総画素数で割った正規化値) を、 各マトリックス成分として なる、 m x n特徴マトリックスなどがある。 この場合、 基準画像データもこれ と同じ表現で記憶しておく。 第 1 1の実施形態でいう画像データとは、 単なる ベ夕データは勿論のこと、 このように任意のアルゴリズムで特徴抽出された画 像データを包含する。
次に、 ステップ 1 9 0 8の処理の流れを図 5 4のフローチャートに従って説 明する。 先ず、 制御部 1 8 1 6の C P Uは、 基準画像記憶部 1 8 1 3 (図 5 0 ) から基準画像データを R A M等のワーキングメモリ上に読み出す (ステツ プ 1 9 5 0 ) 。 この基準画像は、 図 5 1では参照番号 1 8 3 6で表される。 そ して、 画像番号 i を 1にリセッ トし (ステップ 1 9 5 2 ) 、 記憶領域 1 8 0 8 から画像番号 i の被検査画像データをワーキングメモリ上に読み出す (ステツ プ 1 9 5 4 ) 。
次に、 読み出した基準画像デ一夕と、 画像 iのデータとのマッチングを取つ て、 両者間の距離値 D;を算出する (ステップ 1 9 5 6 ) 。 この距離値 D iは、 基準画像と、 被検査画像 i との間の類似度を表し、 距離値が大きいほど基準画 像と被検査画像との差異が大きいことを表している。 この距離値 D iとして類 似度を表す量であれば任意のものを採用することができる。 例えば、 画像デー 夕が M X N画素からなる場合、 各画素の二次電子線強度 (又は特徴量) を M X N次元空間の各位置べクトル成分とみなし、 この M X N次元空間上における基 準画像べク トル及び画像 iべク トル間のユークリッ ド距離又は相関係数を演算 してもよい。 勿論、 ユークリッ ド距離以外の距離、 例えばいわゆる市街地距離 等を演算することもできる。 更には、 画素数が大きい場合、 演算量が膨大にな るので、 上記したように m X n特徴べク トルで表した画像データ同士の距離値 を演算してもよい。
次に、 算出した距離値 D iが所定の閾値 T hより小さいか否かを判定する (ステップ 1 9 5 8 ) 。 この閾値 T hは、 基準画像と被検査画像との間の十分 な一致を判定する際の基準として実験的に求められる。
距離値 D 5が所定の閾値 T hより小さい場合 (ステップ 1 9 5 8肯定判定) 、 当該ウェハ 1 8 0 5の当該検査面 1 8 3 4には 「欠陥無し」 と判定し (ステツ プ 1 9 6 0 ) 、 本サブルーチンをリターンする。 即ち、 被検査画像のうち 1つ でも基準画像と略一致したものがあれば、 「欠陥無し」 と判定する。 このよう に全ての被検査画像とのマッチングを行う必要が無いので、 高速判定が可能と なる。 図 5 1の例の場合、 3行 3列目の被検査画像が、 基準画像に対して位置 ずれが無く略一致していることがわかる。
距離値 D;が所定の閾値 T h以上の場合 (ステップ 1 9 5 8否定判定) 、 画 像番号 i を 1だけインクリメントし (ステップ 1 9 6 2 ) 、 インクリメントし た画像番号 ( i + 1 ) が一定値 i MAXを越えているか否かを判定する (ステツ プ 1 9 6 4 ) 。 画像番号 iが一定値 i MAXを越えていない塲合 (ステツプ 1 9 64否定判定) 、 再びステップ 1 9 5 4に戻り、 インクリメントした画像番号 ( i + 1 ) について画像データを読み出し、 同様の処理を繰り返す。
画像番号 iが一定値 i MAXを越えた場合 (ステップ 1 9 64肯定判定) 、 当 該ウェハ 1 8 0 5の当該検査面 1 8 34には 「欠陥有り」 と判定し (ステップ 1 9 6 6 ) 、 本サブルーチンをリターンする。 即ち、 被検査画像の全てが基準 画像と略一致していなければ、 「欠陥有り」 と判定する。
本発明の第 1 2の実施形態に係る欠陥検査装置は、 図 3および図 4の ( a) 、 (b) を参照して説明したデバイス製造方法におけるウェハ検査工程 (G) に 用いることができる。 この場合、 微細なパターンを有する半導体デバイスでも、 二次電子画像の像障害が無い状態で高精度に欠陥を検査できるので、 製品の歩 留りを向上させ、 欠陥製品の出荷を防止することが可能となる。 これに関して は、 図 3および図 4の (a) 、 (b) に関する説明を援用することにし、 ここ での説明は省略する。
本発明の第 1 2の実施の形態は、 これまで説明した事項のみに限定されるも のではなく任意に変更可能である。 例えば、 被検査試料として半導体ゥェ八 1 8 0 5を例に掲げたが、 本発明の被検査試料はこれに限定されず、 電子線によ つて欠陥を検出することができる任意のものが選択可能である。 例えばウェハ への露光用パターンが形成されたマスク等を検査対象とすることもできる。 また、 本発明の第 1 2の実施の形態は、 試料の欠陥を検査可能な画像を取得 できる任意の装置にも適用可能である。
更に、 偏向電極 1 8 1 1は、 対物レンズ 1 8 1 0とウェハ 1 8 0 5との間の みならず、 一次電子線の照射領域を変更できる限り任意の位置に置くことがで きる。 例えば、 ウィーンフィル夕 1 8 0 3 と対物レンズ 1 8 1 0との間、 電子 線源 1 8 0 1 とウィーンフィル夕 1 8 0 3 との間などがある。 更には、 ウイ一 ンフィルタ 1 8 0 3が生成する場を制御することによって、 その偏向方向を制 御するようにしてもよい。 即ち、 ウィーンフィルタ 1 8 0 3に偏向電極 1 8 1 1の機能を兼用させてもよい。
また、 第 1 2の実施の形態では、 画像データ同士のマッチングを取る際に、 画素間のマッチング及び特徴べク トル間のマッチングのいずれかとしたが、 両 者を組み合わせることもできる。 例えば、 最初、 演算量の少ない特徴ベク トル で高速マッチングを行い、 その結果、 類似度の高い被検査画像については、 よ り詳細な画素データでマッチングを行うという 2段階の処理によって、 高速化 と精度とを両立させることができる。
また、 本発明の第 1 2の実施形態では、 被検査画像の位置ずれを一次電子線 の照射領域の位置ずらしのみで対応したが、 マッチング処理の前若しくはその 間で画像データ上で最適マッチング領域を検索する処理 (例えば相関係数の高 い領域同士を検出してマッチングさせる) と本発明とを組み合わせることもで きる。 これによれば、 被検査画像の大きな位置ずれを本発明による一次電子線 の照射領域の位置ずらしで対応すると共に、 比較的小さな位置ずれを後段のデ ジタル画像処理で吸収することができるので、 欠陥検出の精度を向上させるこ とができる。
更に、 欠陥検査用の電子線装置として図 5 0の構成を示したが、 電子光学系 等は任意好適に変更可能である。 例えば、 図示された欠陥検査装置の電子線照 射手段 ( 1 8 0 1、 1 8 0 2、 1 8 0 3 ) は、 ウェハ 1 8 0 5の表面に対して 垂直上方から一次電子線を入射させる形式であるが、 ウィーンフィルタ 1 8 0 3を省略し、 一次電子線をウェハ 1 8 0 5の表面に斜めに入射させるようにし てもよい。
また、 図 5 2のフローチャートによる処理も、 図で説明したものに限定され ない。 例えば、 ステップ 1 9 1 2で欠陥有りと判定された試料について、 他の 領域の欠陥検査は行わないことにしたが、 全領域.を網羅して欠陥を検出するよ うに処理の流れを変更してもよい。 また、 一次電子線の照射領域を拡大し 1回 の照射で試料のほぼ全検査領域をカバーできれば、 ステップ 1 9 1 4及びステ ップ 1 9 1 6を省略することができる。
以上、 本発明の第 1の実施の形態〜第 1 2の実施の形態を詳述したが、 いず れの実施の形態においても、 「所定電圧」 という用語ほ、 検査等の測定が行わ れる電圧を意味するものとする。
また、 これまで説明した各種の実施の形態は荷電'粒子線として電子線を用い ているが、 これに限られるものではなく、 電子線以外の荷電粒子線や、 電荷を 持たない中性子線、 レーザ一光、 電磁波等の非荷電粒子線をも使用することが 可能である。
なお、 本発明に係る荷電粒子線装置が作動すると、 近接相互作用 (表面近く での粒子の帯電) により標的物質が浮遊レて高圧領域に引きつけられるので、 荷電粒子線の形成や偏向に使用される様々な電極には有機物質が堆積する。 表 面の帯電により徐々に堆積していく有機物質は荷電粒子線の形成や偏向機構に 悪影響を及ぼすので、 こうした堆積した有機物質は周期的に除去しなければな らない。 そこで、 堆積した有機物質を周期的に除去するため、 この有機物質の 堆積する領域の近傍の電極を利用して、 真空中で水素、 酸素またはフッ素及ぴ それらを含む代替物 H F、 H 2 0、 C M F N等のプラズマを作り出し、 空間内の プラズマ電位を電極面にスパッ夕が生じる電位 (数 k V、 例えば 2 0 V ~ 5 k V ) に維持することで、 有機物質のみを酸化、 水素化、 フッ素化により除去す ることが好ましい。 産業上の利用可能性
本発明は、 第 1の実施の形態から理解されるとおり、 荷電粒子線を用いた検 查装置を提供することにより、 従来に比べて.格段にスループットを向上させる ことができる。
本発明は、 第 2の実施の形態から理解されるとおり、
1 . 荷電粒子線を用いた写像投影方式の検査装置の全体構成が得られ、 高い スループッ トで検査対象を処理することができる。
2 . ミニエンバイロメント空間内で検査対象に清浄気体を流して塵埃の付着 を防止すると共に清浄度を観察するセンサを設けることによりその空間内の塵 埃を監視しながら検査対象の検査を行うことができる。
3 . ローディングチャンパ及びワーキングチヤンバを一体的に振動防止装置 を介して支持したので外部の環境に影響されずにステージ装置への検査対象の 供給及び検査を行うことができる。
4 . プレチャージユニッ トを設けているので、 絶縁物でできたウェハも帯電 による影響を受けがたい、
等の格別の効果を奏することができる。
本発明は、 第 3の実施の形態から理解されるとおり、
1 . 荷電粒子線源と電子光学系とを仕切板により分離するので、 各部毎に独 立に所要の真空度を達成することが可能である、
2 . 荷電粒子線源と電子光学系とをコンダクタンスの小さい穴で結合するよ うにしたので、 荷電粒子線源と電子光学系との間の圧力差を大きく取ることが 可能である、
3 . 仕切板には、 それぞれの荷電粒子線源の光軸から離れた位置に穴が形成 されるので、 試料又は電子光学系の方から光軸上を正イオンが荷電粒子線源の 力ソードの方へ戻って来ても、 この仕切板によって遮られるため、 正イオンに よって力ソードが損傷されることがない、
等の格別の効果を奏する。
本発明は、 第 4の実施の形態から理解されるとおり、
1 . 電極又は電極の一部を仕事関数が 5 e V以上の金属でコーティングした ので、 電極から二次荷電粒子線が放出されることが少なく、 電極間において放 電が生じにくくなり、 電極間で絶縁破壌されることが少なくなる、
2 . 電極又は電極の一部を白金 (仕事関数 : 5、 3 [ e V ] ) 又は白金を主 材料とする合金でコ一ティングしたので、 電極から二次荷電粒子線が放出され ることが少なく、 電極間において放電が生じにくくなり、 電極間で絶縁破壊さ れることが少なくなる、
3 . 試料が半導体ウェハであっても、 半導体ウェハのパターン上に、 電極に コーティングされた白金が付着することがあってもデバイスの性能を劣化させ ることもないので、 半導体ウェハの検査に好適である、
4 . 絶縁材料によって電極を支持することによって、 電極間の放電が、 した がって電極間の絶縁破壊が生じにくい、
5 . 少なくとも一方の電極の形状を、 第 1の電極面と第 2の電極面と、 これ ら電極面の間に段差を設けるようにすることによって、 絶縁材料表面をヒダ形 状等に加工することがなく、 加工費用が安価になる、
6 . 絶縁材料の電極間における最短沿面距離は、 支持された電極部分におけ る電極間距離と略同じ長さであるので、 電極間における絶縁材料表面にはほと んと凹凸部分が無く、 絶緣材料からの放出ガスが多くなることも無いので、 装 置のビーム通路の真空度を下げることもない、
等の格別の効果を奏する。
本発明は、 第 5の実施の形態から理解されるとおり、 一次荷電粒子線又は二 次荷電粒子線のエネルギー分布により E X B分離器で発生する色収差の影響を 低減することができるという格別の効果を奏する。
本発明は、 第 6の実施の形態から理解されるとおり、
1 . 試料面の高さを測定するための光学式センサを使用する必要がないので、 対物レンズと試料との間を電子光学系のみで最適設計することが可能になる、
2 . 荷電粒子線走査 ·検出系の焦点合わせは低電圧の調整のみで可能である ので、 整定時間を短縮することができる、 即ち、 短時間で焦点合わせを行うこ とができる、
3 . 必要に応じて、 焦点合わせの操作の中で、 非点補正をも短時間で行うこ とが可能である、
4 . プロセス途中の試料を短時間で評価することができることになるので、 デバイス製造の歩留まりを向上させることができる、
という格別の効果を奏する。 本発明は、 第 7の実施の形態から理解されるとおり、
1 . 機械構造体の振動により力を受けるように該機械構造体に圧電素子を取 り付け、 該圧電素子から出力された電気エネルギーを減衰させる振動減衰用回 路を該圧電素子に電気的に接続したので、 ビームを位置決めする構造体の共振 による不要な振動を、 必ずしも構造体の剛性を向上させなくても、 ビームの位 置決めを高精度に維持できるよう適切に減衰可能となる、
2 . したがってって、 設計上の制約の緩和、 装置の小型軽量化、 経済性の向 上を実現させることができる、
3 . 半導体デバイスの製造工程において、 上記のような荷電粒子線装置を用 いることにより、 半導体デバイスの効率良い製造、 検査、 加工、 観測等が可能 となる、
という優れた効果を奏する。
本発明は、 第 8の実施の形態から理解されるとおり、
1 . 静電チャック及びウェハと静電チャックの組合わせにより、 ウェハの吸 着保持に必要な電圧がウェハの印加電圧に連動して印加されるので、 ウェハの 検査が終了する迄確実にウェハを吸着保持することができる、
2 . チャック側に中央が凹に歪んだウェハでも確実にウェハ前面が吸着保持 される。 更にまたウェハに形成される放電跡が必要最小限の大きさにされ、 放 電時のパーティクルの発生が極めて少ない、
3 . 本発明の静電チヤック及びウェハと静電チヤックの組合わせをデバイス 製造方法に使用することにより、 ウェハが移動台の静電チヤックに検査中確実 に吸着保持され、 微細なパターンを有する半導体デバイスでも高いスループッ 卜で検査可能となり、 それ故全数検査が可能であり、 製品の歩留まり向上、 欠 陥製品の出荷防止が可能である、
等の格別の効果を奏する。
本発明は、 第 9の実施の形態から理解されるとおり、
1 . ステージ装置が真空内で高精度な位置決め性能を発揮することができ、 更に、 荷電粒子線照射位置の圧力が上昇しにくい。 すなわち、 試料に対する荷 電粒子線による処理を高精度に行うことができる、
2 . 静圧軸受け支持部から放出されたガスが仕切りを通過して荷電粒子線照 射領域側に通過することがほとんどできない。 これによつて荷電粒子線照射位 置の真空度を更に安定させることができる、
3 . 荷電粒子線照射領域側に放出ガスが通過することが困難になり、 荷電粒 子線照射領域の真空度を安定に保ち易くなる、
4 . 真空チャンバ内が、 荷電粒子線照射室、 静圧軸受け室及びその中間室の 3室に小さいコンダク夕ンスを介して分割された形になり、 それぞれの室の圧 力を、 低い順に荷電粒子線照射室、 中間室、 静圧軸受け室となるように真空排 気系を構成するので、 中間室への圧力変動は仕切りによって更に低く抑えられ、 荷電粒子線照射室への圧力変動は、 もう一段の仕切りによって更に低減され、 圧力変動を実質的に問題ないレベルまで低減することが可能となる、
5 . ステージが移動した時の圧力上昇を低く抑えることが可能になる。
6 . ステージが移動した時の圧力上昇を更に低く抑えることが可能である、
7 . ステージの位置決め性能が高精度で、 かつ荷電粒子線の照射領域の真空 度が安定した検査装置を実現することができるので、 検査性能が高く、 試料を 汚染する恐れのない検査装置を提供することができる、
8 . ステージの位置決め性能が高精度で、 かつ荷電粒子線照射領域の真空度 が安定した露光装置を実現することができるので、 露光精度が高く、 試料を汚 染する恐れのない露光装置を提供することができる、
9 . ステージの位置決め性能が高精度で、 かつ荷電粒子線照射領域の真空度 が安定した装置によって半導体を製造することにより、 微細な半導体回路を形 成できる、
等の格別の効果を奏する。
本発明は、 第 1 0の実施の形態から理解されるとおり、
1 . 大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持つ 'たステージ (差動排気機構を持たない静圧軸受け支持のステージ) を使用して、 ステージ上の試料に対して荷電粒子線による処理を安定に行うことができる、 2 . 荷電粒子線照射領域の真空度に対する影響を最小限に抑えることが可能 になり、 荷電粒子線による試料への処理を安定化させることができる、
3 . ステージの位置決め性能が高精度で、 かつ荷電粒子線の照射領域の真空 度が安定した検査装置を安価に提供することができる、
4 . ステージの位置決め性能が高精度で、 かつ荷電粒子線照射領域の真空度 が安定した露光装置を安価に提供することができる、
5 . ステージの位置決め性能が高精度で、 かつ荷電粒子線照射領域の真空度 が安定した装置によって半導体を製造することにより、 微細な半導体回路を形 成できる、
等の格別の効果を奏する。
本発明は、 第 1 1の実施の形態から理解されるとおり、
1 . スループッ 卜が光学系の数に比例した倍数に向上できる、
2 . チャージアツプ状態が最も少ない状態でウェハの評価が行われるので、 信頼性の高い評価ができる、
3 . チャージアップ性能を、 各種の電流を測定して行うのでなく、 実際の画 像で評価しているので、 より正しい評価結果が得られる、
等の格別の効果を奏する。
本発明は、 第 1 2の実施の形態から理解されるとおり、
1 . 試料上で部分的に重なり合いながら互いから変位された複数の被検査領 域の画像を各々取得し、 これらの被検査領域の画像と基準画像とを比較するこ とによって、 試料の欠陥を検査するようにしたので、 被検査画像と基準画像と の位置ずれによる欠陥検査精度の低下を防止できる、
2 . 上記のような欠陥検査装置を用いて試料の欠陥検査を行うようにしたの で、 製品の歩留まりの向上及び欠陥製品の出荷防止が図れる、
という格別の効果を奏する。

Claims

請 求 の 範 囲
1 . 検査対象物の検査室と、
前記検査室内に保持された前記検査対象物から二次荷電粒子を叩出すエネル ギーを持つ荷電粒子又はイオン或いは電磁波を、 所定幅のシート状の一次照射 ビームとして射出するシートビーム発生装置と
該ビームを前記検査対象物に導き、 前記検査対象物から発生する二次荷電粒 子束を捕捉し、 画像処理系へ導く電子光学系と、
該二次荷電粒子束の投影により可視画像を形成する画像処理系と
該画像処理系の出力に基づき、 前記検査対象物の状態情報を表示及び Z又は 記憶する情報処理系と
前記検査対象物を前記電子光学系に対し相対移動可能に検査対象を保持する ステージと、
を具備することを特徴とするシートビーム式検査装置。
2 . 請求項 1記載のシートビーム検査装置であって、 前記検査対象物を保全 し、 前記検査室に搬入出する搬入出機構を更に具備することを特徴とするシー 卜ビーム式検査装置。
3 . 請求項 2記載のシートビーム検査装置であって、 前記搬送機構は、 前記検査対象物に清浄気体を流し、 塵埃の付着を防止するミニエンパイロメ ン卜装置と、
該ミニエンバイロメント装置と前記検査室の間に配置され、 個々に真空雰囲 気に制御可能な複数のローディングチャンバと、
該ローディングチャンバの 1つと前記ミニエンバイロメント装置の間で検査 対象物を搬送する第 1の搬送ュニッ 卜と、
該ローデイングチャンバの 1つと前記検査室の間で前記検査対象物を搬送す る第 2の搬送ュニッ 卜と、
前記検査室と前記ローディングチャンバを支持する振動遮断装置と、 を具備することを特徴とするシートビーム式検査装置。
4 . 請求項 1記載のシートビーム検査装置であって、 前記検査室は、 前記検査対象物の振動を防ぐ除振装置と、
前記検査室内を真空に保持する真空装置と、
を具備することを特徴とするシートビーム式検査装置。
5 . 請求項 1記載のシートビーム検査装置であって、 前記検査室は、 前記検 查対象物の帯電むらを減少するプレチャージュニッ ト及び/又は前記検查対象 物に電位を印加する電位印加装置を配備していることを特徴とするシ一卜ビー ム式検査装置。
6 . 複数の線源から照射される荷電粒子又はイオン或いは電磁波を前記電子 光学系に導入する前段に配置される、 該荷電粒子又はイオン或いは電磁波が透 過可能なァスぺクト比の大きい孔隙を有する仕切板を備えた請求項 1記載のシ 一トビーム発生装置を具備することを特徴とするシートビーム式検査装置。
7 . 請求項 6記載のシートビーム式検査装置であって、 前記孔隙は各線源に 対し複数設けられていることを特徴とするシートビーム式検査装置。
8 . 請求項 7記載のシートビーム式検查装置であって、 前記孔隙は前記線源 の照射軸から外れた位置に形成されていることを特徴とするシートビーム式検
9 . 請求項 6記載のシートビーム式検査装置であって、 前記仕切板は剛性の 高い材料で形成されていることを特徴とするシ一トビーム式検査装置。
1 0 . 請求項 1記載のシートビーム式検査装置であって、 静電レンズを含む 電子光学系を有することを特徴とするシ一トビーム式検查装置。
1 1 . 請求項 1 0記載のシートビーム式検査装置であって、 前記電子光学系 内の電極を仕事関数が 5 e V以上の金属でコーティングしたことを特徴とする シートビーム式検査装置。
1 2 . 請求項 1 1記載のシートビーム式検査装置であって、 前記金属が、 プ ラチナ或いはプラチナの合金であることを特徴とするシートビーム式検査装置 (
1 3 . 請求項 1 0記載のシートビーム式検査装置であって、
前記静電レンズは電位差のある複数の電極と該電極間に有って電極を保持す る絶縁材料を含み、 少なくとも 1つの電極は最短の電極間距離となる第 1の電 極面と、 該第 1の電極面よりも長い電極間距離を有する第 2の電極面を有する と共に両電極間に段差を有し、
前記絶縁材料は前記第 2の電極面と別の電極との間にあって各々を略垂直に 支持し、
前記絶縁材料の電極間における最短沿面距離は前記支持された電極部分にお ける電極間距離と略同長である
ことを特徴とするシー卜ビーム式検査装置。
1 4 . 請求項 1記載のシートビーム式検査装置であって、 前記検査対象から 放出された二次荷電粒子線を一次照射ビームから分離する E X B分離器又はゥ ィーンフィルタを有し、 前記二次荷電粒子線の該 E X B分離器又はウィーンフ ィル夕の磁場による偏向量を電場による偏向量の 2倍とし、 且つ該磁場による 偏向方向と電場による偏向方向を逆にしたことを特徴とするシートビーム式検 査装置。
1 5 . 請求項 1記載のシートビーム式検査装置であって、 複数の一次照射ビ ームを前記検査対象物に照射して二次荷電粒子を放出させ、 該二次荷電粒子を 前記画像処理系に導く電子光学系を備えたとを特徴とするシ一トビーム式検査 装置。
1 6 . 請求項 1記載のシートビーム式検査装置であって、
対物レンズの励起電圧に関して、 第 1の方向に平行なパターン 'エツジを第 2 の方向に移動させた時の二次荷電粒子線信号波形の立上がりを示す第 1のデ一 夕と、 前記第 2の方向に平行なパ夕一ンエッジを前記第 1の方向に移動した時 の二次荷電粒子線信号波形の立上がりを表す第 2のデータとを測定する測定機 構と
前記第 1のデータと前記第 2のデータとをそれぞれ二次式で近似し、 各二次 式の最小値を示す前記対物レンズの励起条件を求めると共に前記対物レンズの 前記励起電圧を、 求められた前記励起条件の代数平均に合せる制御手段と、 を具備した電子光学系を有することを特徴とするシートビーム式検査装置。
1 7 . 請求項 1 6記載のシートビーム式検査装置であって、 前記制御手段が, 非点収差を補正する手段を更に具備するシー卜ビーム式検査装置。
1 8 . 請求項 1 6記載のシートビーム式検査装置であって、
前記対物レンズはアースに近い電圧が印加される第 1の電極と、 アースから 遠い電圧が印加される第 2の電極とを備え、
第 1の電極に印加される電圧を変化させることで前記対物レンズの焦点距離 を変化させる機構と、 前記第 2の電極に印加する電圧を変え前記対物レンズの 焦点距離を大きく変える機構と、 前記第 1の電極に印加する電圧を変え前記対 物レンズの焦点距離を短時間に変える機構を有する制御機構を具備するシート ビーム式検査装置。
1 9 . 請求項 1記載のシートビーム式検査装置であって、 前記検査対象に一 次照射ビームを射出する位置を定める機械的構造体と、 該機械構造体の振動に より力を受ける圧電素子と、 該圧電素子に電気的に接続され出力された電気工 ネルギーを減衰させるよう働く振動減衰用回路を具備することを特徴とするシ ―トビーム式検査装置。
2 0 . 請求項 1 9記載のシートビーム式検査装置であって、 前記振動減衰用 回路は、 ィンダク夕ンスを持つ素子又は該素子の等価回路としての誘導性手段 を備えると共に静電容量を持つ前記圧電素子と接続されて共振回路を形成し、 該共振回路の共振周波数が、 前記機械構造体の共振周波数に実質的に一致する ように、 前記圧電素子の静電容量に対する誘導性手段のィンダク夕ンスが定め られていることを特徴とするシートビーム式検査装置。
2 1 . 請求項 2 0記載のシートビーム式検査装置であって、 前記振動減衰用 回路内に、 抵抗素子を更に備えたことを特徴とするシートビーム式検査装置。
2 2 . 請求項 2記載のシートビーム式検査装置であって、 前記検査対象物を 印加電圧 0から所定値まで増減可能として、 該検査対象物の印加電圧に連動す る電圧が電極に印加され静電的に前記検査対象物を吸着保持する静電チヤック を備えたことを特徴とするシ一トビーム式検査装置。
2 3 . 請求項 2 2記載のシートビーム式検査装置であって、 前記電極はその 中央部分及び周辺部分の一部からなる第 1電極と、 残りの部分からなる第 2電 極とに分割され、 最初に該第 1電極に電圧を印加し、 次に前記検査対象物を低 電位乃至接地電位とし、 その後、 該第 2電極に電圧が印可される静電チャック を備えたことを特徴とするシートビーム式検査装置。
2 4 . 請求項 2 2記載のシートビーム式検査装置であって、 前記静電チヤッ クは基板、 電極、 絶縁体を重層して構成され、 前記検査対象物は所定の抵抗及 び接触子を介して電圧を印加され、 前記接触子は前記検査対象物の裏面に先端 が接触する形状であることを特徴とするシートビーム式検査装置。
2 5 . 請求項 1記載のシートビーム式検査装置であって、 前記電子光学系に 対する前記検査対象物の位置決めの為、 該検査対象物の表面を観察してァライ メントを制御するァライメント制御装置と、 前記ステージ上の前記検査対象物 の座標を検出するレーザ干渉式測距装置とを備え、 前記ァライメント制御装置 により前記検査対象に存在するパターンを利用して該検査対象物の座標を決定 するステージの制御機構を有することを特徴とするシートビーム式検査装置。
2 6 . 請求項 1記載のシートビーム式検査装置であって、 少なくとも前記電 子光学系に対し 2以上の自由度をもって前記検査対象物を保持するステージを 有し、 該ステージには静圧軸受による非接触指示機構と、 差動排気による真空 シール機構とを設け、 前記検査対象物のビーム照射を受ける箇所と前記ステー ジの静圧軸受支持部との間にコンダクタンスが小さくなる仕切を設け、 圧力差 が生じるよう構成したとを特徴とするシートビーム式検査装置。
2 7 . 請求項 2 6記載のシートビーム式検査装置であって、 前記仕切が差動 排気構造を内蔵していることを特徴とするシートビーム式検査装置。
2 8 . 請求項 2 6記載のシートビーム式検査装置であって、 前記仕切がコー ルドトラップ機能を内蔵していることを特徴とするシートビーム式検査装置。
2 9 . 請求項 2 6記載のシートビーム式検查装置であって、 前記仕切がシ一 トビーム発生装置近傍と静圧軸受近傍の少なくとも 2 ケ所に設けられているこ とを特徴とするシートビーム式検査装置。
3 0 . 請求項 2 6記載のシ一トビ一ム式検査装置であって、 前記静圧軸受に 供給されるガスがドライ窒素または、 不活性ガスであることを特徴とするシー トビーム式検査装置。
3 1 . 請求項 2 6記載のシートビーム式検査装置であって、 前記静圧軸受に 供給されるガスが前記ステージを収納するハウジングから排出された後、 加圧 され再度前記静圧軸受に供給されることを特徴とするシ一トビーム式検査装置 <
3 2 . 請求項 2 6記載のシートビーム式検査装置であって、 少なくとも前記 静圧軸受に面する部品表面に放出ガスを低減する表面処理を施したことを特徴 とするシー卜ビーム式検査装置。
3 3 . 請求項 1記載のシートビーム式検査装置であって、 前記検査対象物に リタ一ディング電圧を印加するリタ一ディング電圧印加装置と、 最適なリタ一 デイング電圧を印加する制御機構とを備え、 更に、 絶縁物表面に金属コーティ ングしたレンズをもつ電子光学系を有することを特徴とするシートビーム式検
3 4 . 請求項 3 3記載のシートビーム式検査装置であって、 前記レンズは一 体の絶縁物を加工し軸対称レンズとしたことを特徴とするシートビ一ム式検查
3 5 . 請求項 3 3記載のシートビーム式検査装置であって、 前記最適なリタ 一ディング電圧を印加する制御機構は、 前記検査対象物のチャージアップ状態 を計るチャージアツプ調査機能部と、 該チャージアツプ調査機能部の出力情報 に基づき最適なリタ一ディング電圧を決定し、 該リターディング電圧を検査対 象物に印可するか、 又は最適なピ一ム電流に変更する機能部とを有してなるこ とを特徴とするシートビーム式検査装置。
3 6 . 請求項 3 3記載のシートビーム式検査装置であって、 前記チャージァ ップ調査機能部は、 二次荷電粒子線を検出して画像を形成するに際し、 前記検 查対象物の特定部位のパターン歪み又はパターンボケの大きさに基づき該検査 対象物のチャージアップ状態を評価することを特徴と.するシートビーム式検査
3 7 . 請求項 3 3記載のシートビーム式検査装置であって、 前記チャージァ ップ調査機能部は、 前記検査対象物にリタ一ディング電圧を可変に印加可能で. 複数のリターデイング電圧を印可した情態下で該検査対象のパターン密度が大 きく変化している境界付近の画像形成を行うことを特徴とするシートビーム式 検査装置。
3 8 . 請求項 3 7記載のシートビーム式検査装置であって、 前記形成された 画像をオペレー夕が評価できるよう表示する画像表示装置を備えたことを特徴 とするシートビーム式検査装置。
3 9 . 請求項 1記載のシートビーム式検査装置であって、
前記検査対象物の複数の検査領域の画像を各々取得する画像取得手段と、 基準画像を記憶する手段とを有する画像処理系と、
該検査領域の画像と基準画像を比较することにより、 前記検査対象物の状態 を判断する情報処理系と、
を備えたことを特徴とするシートビーム式検査装置。
4 0 . 請求項 3 9記載のシートビーム式検査装置であって、 前記画像処理手 段が取得する複数の検査領域の画像は、 前記検査対象物上で部分的に重なり合 いながら互いに変位されて取得されることを特徴とするシートビーム式検査装
4 1 . 請求項 3 9記載のシートビーム式検査装置であって、 前記画像処理系 は、 二次荷電粒子を検出して順次画像を取得するものであり、 蛍光スクリーン 及びマイクロチャンネルプレートを有することを特徵とするシートビーム式検
4 2 . 請求項 4 1記載のシートビーム式検査装置であって、 前記画像処理系 は、 固体撮像素子 (C C D ) カメラにより蛍光画像を取り込むことを特徴とす るシートビーム式検査装置。
4 3 . 請求項 1記載のシードビーム式検査装置であって、 一次照射ビームを 形成するか、 該一次照射ビームを偏向させ前記検査対象物の検査領域に順次照 射するビーム偏向手段を具備することを特徴とするシートビーム式検査装置。
4 4 . 請求項 1記載のシートビーム式検査装置であって、 前記検査対象物が ウェハ乃至半導体素子であり、 その欠陥を検査することを特徴とするシートビ ーム式検査装置。
4 5 . 請求項 4 4記載のシートビーム式検査装置であって、 検査の標的が、 回路配線の欠陥、 線幅測定、 合わせ精度測定、 及び電位コントラス ト測定から 選ればれる少なくともとも 1つであることを特徴とするシートビ一ム式検査装 置。
4 6 . ウェハ乃至半導体素子の評価を行う請求項 1記載のシ一卜ビーム式検 査装置を配備したことを特徴とするデバイス製造装置。
4 7 . 請求項 1記載のシートビーム式検査装置であって、 前記荷電粒子線は 電子線であることを特徴とするシー卜ビーム式検査装置。
4 8 . 請求項 1記載のシートビーム式検査装置であって、 二次荷電粒子を減 速電界型対物レンズで加速する電子光学系と、 その像を投影する画像処理系と を備えたことを特徴とするシートビーム式検査装置。
4 9 . 請求項 1記載のシートビーム式検査装置であって、 前記シート状一次 照射ビームに代えて、 複数の線源から一次荷電粒子線を照射し、 二次荷電粒子 線を複数の検出器で検出することを特徴とするシートビーム式検查装置。
5 0 . ウェハ乃至半導体素子の評価を行う請求項 1記載のシートビーム式検 査装置によりウェハ乃至半導体素子の加工、 製造、 観測又は検査を行うことを 特徴とする半導体デバイス製造方法。
5 1 . 請求項 1記載のシートビーム式検査装置により、 ウェハまたはレチク ルに半導体デバイスの回路パターンを描画することを特徴とする露光方法。
PCT/JP2001/005494 2000-07-27 2001-06-27 Appareil d'analyse a faisceau plan WO2002013227A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP01945626A EP1304717A4 (en) 2000-07-27 2001-06-27 FLOOR BEAM ANALYSIS APPARATUS
JP2002518494A JP3993094B2 (ja) 2000-07-27 2001-06-27 シートビーム式検査装置
KR1020027015278A KR100873447B1 (ko) 2000-07-27 2001-06-27 시트빔식 검사장치

Applications Claiming Priority (22)

Application Number Priority Date Filing Date Title
JP2000-227132 2000-07-27
JP2000227132 2000-07-27
JP2000-335756 2000-11-02
JP2000335756 2000-11-02
JP2000-374164 2000-12-08
JP2000374164 2000-12-08
JP2001-22931 2001-01-31
JP2001022931 2001-01-31
JP2001031906 2001-02-08
JP2001031901 2001-02-08
JP2001-31901 2001-02-08
JP2001-33599 2001-02-09
JP2001-31906 2001-02-09
JP2001033599 2001-02-09
JP2001036088 2001-02-13
JP2001-36088 2001-02-13
JP2001-68301 2001-03-12
JP2001068301 2001-03-12
JP2001115013 2001-04-13
JP2001-115013 2001-04-13
JP2001158662 2001-05-28
JP2001-158662 2001-05-28

Publications (1)

Publication Number Publication Date
WO2002013227A1 true WO2002013227A1 (fr) 2002-02-14

Family

ID=27582322

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/005494 WO2002013227A1 (fr) 2000-07-27 2001-06-27 Appareil d'analyse a faisceau plan

Country Status (5)

Country Link
US (4) US7049585B2 (ja)
EP (1) EP1304717A4 (ja)
JP (3) JP3993094B2 (ja)
KR (1) KR100873447B1 (ja)
WO (1) WO2002013227A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005523459A (ja) * 2002-04-17 2005-08-04 株式会社荏原製作所 試料表面の検査装置及び方法
US7005641B2 (en) 2001-06-15 2006-02-28 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
WO2008053518A1 (fr) * 2006-10-30 2008-05-08 Topcon Corporation Appareil de vérification de semi-conducteur et procédé de vérification de semi-conducteur
EP1389793A3 (de) * 2002-08-02 2009-06-10 Carl Zeiss NTS GmbH Elektronenmikroskopiesystem
US20100281578A1 (en) * 2009-05-04 2010-11-04 Pioneer Hi-Bred International, Inc. Yield Enhancement in Plants by Modulation of AP2 Transcription Factor
JP2013080722A (ja) * 2006-01-25 2013-05-02 Ebara Corp 試料表面検査方法及び検査装置
JP2014112087A (ja) * 2003-05-09 2014-06-19 Ebara Corp 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2016027604A (ja) * 2014-06-24 2016-02-18 株式会社荏原製作所 表面処理装置
JPWO2020090580A1 (ja) * 2018-10-29 2021-09-16 京セラ株式会社 電子線描画装置用枠部材および電子線描画装置

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001596A1 (en) * 2000-06-27 2002-01-03 Ebara Corporation Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2002040200A (ja) * 2000-07-21 2002-02-06 Shin Etsu Chem Co Ltd 細線用電子線照射装置及びそれを用いた光ファイバの製造方法
JP3993094B2 (ja) * 2000-07-27 2007-10-17 株式会社荏原製作所 シートビーム式検査装置
EP1271606A1 (en) * 2000-11-02 2003-01-02 Ebara Corporation Electron beam apparatus and device production method using the apparatus
JP2002260296A (ja) * 2001-02-28 2002-09-13 Sony Corp 電子ビーム照射装置及び電子ビーム照射方法
TW579536B (en) * 2001-07-02 2004-03-11 Zeiss Carl Semiconductor Mfg Examining system for the particle-optical imaging of an object, deflector for charged particles as well as method for the operation of the same
JP4144197B2 (ja) * 2001-07-04 2008-09-03 新科實業有限公司 振動抑制機構及び振動抑制機構を備えたヘッドジンバルアセンブリ
US20030014146A1 (en) * 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
US6998611B2 (en) * 2001-09-06 2006-02-14 Ebara Corporation Electron beam apparatus and device manufacturing method using same
JP3996774B2 (ja) * 2002-01-09 2007-10-24 株式会社日立ハイテクノロジーズ パターン欠陥検査方法及びパターン欠陥検査装置
JP4261806B2 (ja) * 2002-02-15 2009-04-30 株式会社日立ハイテクノロジーズ 電子線装置及びその高電圧放電防止方法
US7227141B2 (en) * 2002-07-15 2007-06-05 Ebara Corporation Electron beam apparatus
US20040075051A1 (en) * 2002-10-17 2004-04-22 Schlumberger Technologies, Inc. Apparatus and method for image optimization of samples in a scanning electron microscope
DE60332808D1 (de) * 2003-03-24 2010-07-15 Integrated Circuit Testing Ladungsträgerstrahlvorrichtung
US7138629B2 (en) * 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
JP2004363085A (ja) * 2003-05-09 2004-12-24 Ebara Corp 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
US7211796B2 (en) * 2003-05-27 2007-05-01 Kabushiki Kaisha Toshiba Substrate inspection apparatus, substrate inspection method and method of manufacturing semiconductor device
JP4350429B2 (ja) * 2003-06-05 2009-10-21 キヤノン株式会社 露光装置、およびデバイスの製造方法
EP1498930A1 (en) * 2003-07-14 2005-01-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device with multi-source array
KR101051370B1 (ko) * 2003-09-05 2011-07-22 어플라이드 머티리얼즈 이스라엘 리미티드 입자광 시스템 및 장치와 이와 같은 시스템 및 장치용입자광 부품
US6962648B2 (en) * 2003-09-15 2005-11-08 Global Silicon Net Corp. Back-biased face target sputtering
US20060249370A1 (en) * 2003-09-15 2006-11-09 Makoto Nagashima Back-biased face target sputtering based liquid crystal display device
DE10344538A1 (de) * 2003-09-25 2005-05-12 Integrated Dynamics Eng Gmbh Verfahren und Vorrichtung zur Schwingungsisolation, insbesondere für Elektronenstrahl-Meßwerkzeuge
US7400759B2 (en) * 2003-12-23 2008-07-15 Eastman Kodak Company Method for testing a plastic sleeve for an image cylinder or a blanket cylinder
US7176459B2 (en) * 2003-12-25 2007-02-13 Ebara Corporation Electron beam apparatus
JP4509578B2 (ja) * 2004-01-09 2010-07-21 浜松ホトニクス株式会社 レーザ加工方法及びレーザ加工装置
FR2865135B1 (fr) * 2004-01-20 2007-10-05 Serac Group Installation de sterilisation d'articles par bombardement electronique
JP4262158B2 (ja) * 2004-07-13 2009-05-13 株式会社日立ハイテクサイエンスシステムズ 低真空走査電子顕微鏡
JP4230968B2 (ja) * 2004-07-20 2009-02-25 株式会社日立ハイテクノロジーズ 荷電粒子線装置
US20070034518A1 (en) * 2005-08-15 2007-02-15 Virgin Islands Microsystems, Inc. Method of patterning ultra-small structures
US7791290B2 (en) * 2005-09-30 2010-09-07 Virgin Islands Microsystems, Inc. Ultra-small resonating charged particle beam modulator
US7626179B2 (en) * 2005-09-30 2009-12-01 Virgin Island Microsystems, Inc. Electron beam induced resonance
US7586097B2 (en) * 2006-01-05 2009-09-08 Virgin Islands Microsystems, Inc. Switching micro-resonant structures using at least one director
JP5214090B2 (ja) * 2004-11-30 2013-06-19 株式会社Sen ビーム偏向走査方法及びビーム偏向走査装置並びにイオン注入方法及びイオン注入装置
US7394070B2 (en) * 2004-12-27 2008-07-01 Hitachi High-Technologies Corporation Method and apparatus for inspecting patterns
JP4611755B2 (ja) * 2005-01-13 2011-01-12 株式会社日立ハイテクノロジーズ 走査電子顕微鏡及びその撮像方法
KR20070116260A (ko) * 2005-03-22 2007-12-07 가부시키가이샤 에바라 세이사꾸쇼 전자선장치
JP4537277B2 (ja) * 2005-07-08 2010-09-01 株式会社日立ハイテクノロジーズ 半導体検査装置
WO2007013398A1 (ja) * 2005-07-26 2007-02-01 Ebara Corporation 電子線装置
US20090256075A1 (en) * 2005-09-06 2009-10-15 Carl Zeiss Smt Ag Charged Particle Inspection Method and Charged Particle System
JP4845468B2 (ja) * 2005-10-06 2011-12-28 オリンパス株式会社 観察装置
JP4928890B2 (ja) * 2005-10-14 2012-05-09 株式会社東芝 不揮発性半導体記憶装置
US20070084717A1 (en) * 2005-10-16 2007-04-19 Makoto Nagashima Back-biased face target sputtering based high density non-volatile caching data storage
US20070084716A1 (en) * 2005-10-16 2007-04-19 Makoto Nagashima Back-biased face target sputtering based high density non-volatile data storage
US7276708B2 (en) * 2005-11-23 2007-10-02 Far-Tech, Inc. Diagnostic resonant cavity for a charged particle accelerator
DE602006013707D1 (de) 2005-11-28 2010-05-27 Applied Materials Israel Ltd Teilchenoptische komponente
US20070145266A1 (en) * 2005-12-12 2007-06-28 Avi Cohen Electron microscope apparatus using CRT-type optics
US7579609B2 (en) * 2005-12-14 2009-08-25 Virgin Islands Microsystems, Inc. Coupling light of light emitting resonator to waveguide
US7470920B2 (en) * 2006-01-05 2008-12-30 Virgin Islands Microsystems, Inc. Resonant structure-based display
US20070152781A1 (en) * 2006-01-05 2007-07-05 Virgin Islands Microsystems, Inc. Switching micro-resonant structures by modulating a beam of charged particles
US7619373B2 (en) * 2006-01-05 2009-11-17 Virgin Islands Microsystems, Inc. Selectable frequency light emitter
JP2007212288A (ja) * 2006-02-09 2007-08-23 Toshiba Corp パターン検査方法、パターン検査装置およびプログラム
US20070190794A1 (en) * 2006-02-10 2007-08-16 Virgin Islands Microsystems, Inc. Conductive polymers for the electroplating
US7443358B2 (en) * 2006-02-28 2008-10-28 Virgin Island Microsystems, Inc. Integrated filter in antenna-based detector
US20070200646A1 (en) * 2006-02-28 2007-08-30 Virgin Island Microsystems, Inc. Method for coupling out of a magnetic device
US7605835B2 (en) * 2006-02-28 2009-10-20 Virgin Islands Microsystems, Inc. Electro-photographic devices incorporating ultra-small resonant structures
US20070200063A1 (en) * 2006-02-28 2007-08-30 Virgin Islands Microsystems, Inc. Wafer-level testing of light-emitting resonant structures
US20070200071A1 (en) * 2006-02-28 2007-08-30 Virgin Islands Microsystems, Inc. Coupling output from a micro resonator to a plasmon transmission line
US20070205096A1 (en) * 2006-03-06 2007-09-06 Makoto Nagashima Magnetron based wafer processing
US7558490B2 (en) * 2006-04-10 2009-07-07 Virgin Islands Microsystems, Inc. Resonant detector for optical signals
US7492868B2 (en) * 2006-04-26 2009-02-17 Virgin Islands Microsystems, Inc. Source of x-rays
US7876793B2 (en) * 2006-04-26 2011-01-25 Virgin Islands Microsystems, Inc. Micro free electron laser (FEL)
US7646991B2 (en) * 2006-04-26 2010-01-12 Virgin Island Microsystems, Inc. Selectable frequency EMR emitter
US20070264023A1 (en) * 2006-04-26 2007-11-15 Virgin Islands Microsystems, Inc. Free space interchip communications
US7986113B2 (en) * 2006-05-05 2011-07-26 Virgin Islands Microsystems, Inc. Selectable frequency light emitter
US7554083B2 (en) * 2006-05-05 2009-06-30 Virgin Islands Microsystems, Inc. Integration of electromagnetic detector on integrated chip
US7436177B2 (en) * 2006-05-05 2008-10-14 Virgin Islands Microsystems, Inc. SEM test apparatus
US8188431B2 (en) 2006-05-05 2012-05-29 Jonathan Gorrell Integration of vacuum microelectronic device with integrated circuit
US7656094B2 (en) 2006-05-05 2010-02-02 Virgin Islands Microsystems, Inc. Electron accelerator for ultra-small resonant structures
US7583370B2 (en) * 2006-05-05 2009-09-01 Virgin Islands Microsystems, Inc. Resonant structures and methods for encoding signals into surface plasmons
US20070258675A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Multiplexed optical communication between chips on a multi-chip module
US20070258720A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Inter-chip optical communication
US7443577B2 (en) * 2006-05-05 2008-10-28 Virgin Islands Microsystems, Inc. Reflecting filtering cover
US7723698B2 (en) * 2006-05-05 2010-05-25 Virgin Islands Microsystems, Inc. Top metal layer shield for ultra-small resonant structures
US7586167B2 (en) * 2006-05-05 2009-09-08 Virgin Islands Microsystems, Inc. Detecting plasmons using a metallurgical junction
US7718977B2 (en) 2006-05-05 2010-05-18 Virgin Island Microsystems, Inc. Stray charged particle removal device
US7476907B2 (en) * 2006-05-05 2009-01-13 Virgin Island Microsystems, Inc. Plated multi-faceted reflector
US7442940B2 (en) * 2006-05-05 2008-10-28 Virgin Island Microsystems, Inc. Focal plane array incorporating ultra-small resonant structures
US20070272931A1 (en) * 2006-05-05 2007-11-29 Virgin Islands Microsystems, Inc. Methods, devices and systems producing illumination and effects
US20070257273A1 (en) * 2006-05-05 2007-11-08 Virgin Island Microsystems, Inc. Novel optical cover for optical chip
US7732786B2 (en) * 2006-05-05 2010-06-08 Virgin Islands Microsystems, Inc. Coupling energy in a plasmon wave to an electron beam
US7710040B2 (en) 2006-05-05 2010-05-04 Virgin Islands Microsystems, Inc. Single layer construction for ultra small devices
US7728702B2 (en) * 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Shielding of integrated circuit package with high-permeability magnetic material
US7728397B2 (en) * 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Coupled nano-resonating energy emitting structures
US7741934B2 (en) * 2006-05-05 2010-06-22 Virgin Islands Microsystems, Inc. Coupling a signal through a window
US7569836B2 (en) * 2006-05-05 2009-08-04 Virgin Islands Microsystems, Inc. Transmission of data between microchips using a particle beam
US7557647B2 (en) * 2006-05-05 2009-07-07 Virgin Islands Microsystems, Inc. Heterodyne receiver using resonant structures
US7746532B2 (en) * 2006-05-05 2010-06-29 Virgin Island Microsystems, Inc. Electro-optical switching system and method
US7573045B2 (en) * 2006-05-15 2009-08-11 Virgin Islands Microsystems, Inc. Plasmon wave propagation devices and methods
US20070274365A1 (en) * 2006-05-26 2007-11-29 Virgin Islands Microsystems, Inc. Periodically complex resonant structures
US7679067B2 (en) * 2006-05-26 2010-03-16 Virgin Island Microsystems, Inc. Receiver array using shared electron beam
CN101461026B (zh) * 2006-06-07 2012-01-18 Fei公司 与包含真空室的装置一起使用的滑动轴承
US7655934B2 (en) * 2006-06-28 2010-02-02 Virgin Island Microsystems, Inc. Data on light bulb
US8454810B2 (en) 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
TWI443704B (zh) * 2006-09-12 2014-07-01 Ebara Corp 荷電粒子束裝置及使用該裝置之元件製造方法
US7450794B2 (en) 2006-09-19 2008-11-11 Virgin Islands Microsystems, Inc. Microcircuit using electromagnetic wave routing
US7560716B2 (en) * 2006-09-22 2009-07-14 Virgin Islands Microsystems, Inc. Free electron oscillator
US20080116390A1 (en) * 2006-11-17 2008-05-22 Pyramid Technical Consultants, Inc. Delivery of a Charged Particle Beam
KR100824693B1 (ko) * 2006-11-20 2008-04-24 한국기초과학지원연구원 혼성 이온 전송 장치
JP4920385B2 (ja) * 2006-11-29 2012-04-18 株式会社日立ハイテクノロジーズ 荷電粒子ビーム装置、走査型電子顕微鏡、及び試料観察方法
KR100833647B1 (ko) * 2006-12-19 2008-05-30 한국표준과학연구원 고에너지 하전입자 스펙트로미터
US7659513B2 (en) * 2006-12-20 2010-02-09 Virgin Islands Microsystems, Inc. Low terahertz source and detector
JP5134826B2 (ja) * 2007-02-07 2013-01-30 株式会社日立ハイテクノロジーズ 荷電粒子線装置
US7925072B2 (en) * 2007-03-08 2011-04-12 Kla-Tencor Technologies Corp. Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods
US7990336B2 (en) * 2007-06-19 2011-08-02 Virgin Islands Microsystems, Inc. Microwave coupled excitation of solid state resonant arrays
JP5028159B2 (ja) * 2007-06-29 2012-09-19 株式会社日立ハイテクノロジーズ 荷電粒子線装置
JP5497980B2 (ja) * 2007-06-29 2014-05-21 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置、及び試料検査方法
US7713786B2 (en) * 2007-07-19 2010-05-11 Innovative Micro Technology Etching/bonding chamber for encapsulated devices and method of use
JP5094282B2 (ja) * 2007-08-29 2012-12-12 株式会社日立ハイテクノロジーズ ローカル帯電分布精密計測方法及び装置
JP4750090B2 (ja) * 2007-09-14 2011-08-17 住友重機械工業株式会社 ステージ装置
US7791053B2 (en) 2007-10-10 2010-09-07 Virgin Islands Microsystems, Inc. Depressed anode with plasmon-enabled devices such as ultra-small resonant structures
JP5226352B2 (ja) * 2008-03-21 2013-07-03 オリンパス株式会社 生体観察装置及び生体観察方法
DE102008020611A1 (de) * 2008-04-24 2009-11-05 Dürr NDT GmbH & Co. KG Kassette und Vorrichtung zur Prüfung von Objekten
US8232522B2 (en) * 2008-06-25 2012-07-31 Hitachi High-Technologies Corporation Semiconductor inspecting apparatus
JP5277250B2 (ja) * 2008-09-25 2013-08-28 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置およびその幾何収差測定方法
US9443698B2 (en) * 2008-10-06 2016-09-13 Axcelis Technologies, Inc. Hybrid scanning for ion implantation
DE102008062450B4 (de) * 2008-12-13 2012-05-03 Vistec Electron Beam Gmbh Anordnung zur Beleuchtung eines Substrats mit mehreren individuell geformten Partikelstrahlen zur hochauflösenden Lithographie von Strukturmustern
US8680466B2 (en) * 2009-02-27 2014-03-25 Hitachi High-Technologies Coporation Electron microscope, and specimen holding method
JP5315100B2 (ja) * 2009-03-18 2013-10-16 株式会社ニューフレアテクノロジー 描画装置
NL2004706A (nl) * 2009-07-22 2011-01-25 Asml Netherlands Bv Radiation source.
DE102009052392A1 (de) * 2009-11-09 2011-12-15 Carl Zeiss Nts Gmbh SACP-Verfahren und teilchenoptisches System zur Ausführung eines solchen Verfahrens
US8294125B2 (en) 2009-11-18 2012-10-23 Kla-Tencor Corporation High-sensitivity and high-throughput electron beam inspection column enabled by adjustable beam-limiting aperture
KR101156180B1 (ko) * 2010-05-14 2012-06-18 한국생산기술연구원 진공유지 및 실시간 얼라인이 가능한 소형 전자빔 장치
US8692193B2 (en) * 2010-08-05 2014-04-08 Hermes Microvision, Inc. Method for inspecting EUV reticle and apparatus thereof
EP2622626B1 (en) * 2010-09-28 2017-01-25 Applied Materials Israel Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
US20120249128A1 (en) * 2011-03-28 2012-10-04 GM Global Technology Operations LLC Magnetic sensor system
US9007532B2 (en) * 2011-06-03 2015-04-14 Thomson Licensing Variable and interleaved scanning in laser projectors
JP2014518465A (ja) 2011-06-03 2014-07-28 トムソン ライセンシング レーザー・プロジェクターにおける可変およびぎざぎざ付きのスキャン
JP2013033671A (ja) * 2011-08-03 2013-02-14 Hitachi High-Technologies Corp 荷電粒子線装置
JP5777445B2 (ja) * 2011-08-12 2015-09-09 キヤノン株式会社 荷電粒子線描画装置及び物品の製造方法
WO2013062158A1 (ko) * 2011-10-27 2013-05-02 에스엔유 프리시젼 주식회사 주사전자현미경용 빈필터 제어방법 및 전자빔 정렬 기능을 구비한 주사전자현미경
US8716673B2 (en) 2011-11-29 2014-05-06 Fei Company Inductively coupled plasma source as an electron beam source for spectroscopic analysis
JP5787746B2 (ja) * 2011-12-26 2015-09-30 株式会社東芝 信号処理方法および信号処理装置
EP2629317B1 (en) * 2012-02-20 2015-01-28 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device with dynamic focus and method of operating thereof
TWI617805B (zh) * 2012-09-14 2018-03-11 Ebara Corp Inspection device
EP2879155B1 (en) * 2013-12-02 2018-04-25 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Multi-beam system for high throughput EBI
JP6349750B2 (ja) * 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
WO2016126780A1 (en) * 2015-02-03 2016-08-11 Massachusetts Institute Of Technology Apparatus and methods for generating electromagnetic radiation
CN107408485B (zh) * 2015-03-24 2020-03-13 科磊股份有限公司 用于具有改进的图像束稳定性及询问的带电粒子显微镜的方法及系统
JP6512954B2 (ja) * 2015-06-11 2019-05-15 東京エレクトロン株式会社 フォーカスリングを検査するためのシステム、及びフォーカスリングを検査する方法
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
WO2017079338A1 (en) * 2015-11-02 2017-05-11 Component Re-Engineering Company, Inc. Electrostatic chuck for clamping in high temperature semiconductor processing and method of making same
US9770808B2 (en) * 2016-01-12 2017-09-26 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing pads
JP6848960B2 (ja) * 2016-02-26 2021-03-24 ソニー株式会社 測位装置、通信装置、および測位システム
JP2017198587A (ja) * 2016-04-28 2017-11-02 株式会社ニューフレアテクノロジー パターン検査装置
JP2018005975A (ja) * 2016-06-27 2018-01-11 株式会社日立ハイテクノロジーズ 荷電粒子線装置に取り付けられる振動抑制機構、及び荷電粒子線装置
ES2653767B1 (es) * 2016-07-07 2019-03-28 Consejo Superior Investigacion Sensor de electrones para microscopia electronica
JP6870904B2 (ja) * 2017-02-28 2021-05-12 株式会社トプコン 測量装置
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) * 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7030566B2 (ja) * 2018-03-06 2022-03-07 株式会社ニューフレアテクノロジー パターン検査方法及びパターン検査装置
CN110716391A (zh) * 2018-07-11 2020-01-21 上海微电子装备(集团)股份有限公司 大尺寸基板曝光机
CN109187595B (zh) * 2018-09-27 2021-05-11 南京宁智高新材料研究院有限公司 一种测量透射电镜中微气体束引起的局部等效压强的装置
KR102188554B1 (ko) * 2019-01-22 2020-12-09 주식회사 디이엔티 금속 3d 프린터의 레이저 헤드 광축 거리조절장치
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR102170679B1 (ko) * 2019-06-07 2020-10-27 손효근 비파괴 평가 객체 평가 시스템 및 비파괴 평가 객체 평가 시스템에서의 객체 평가 방법
JP7222821B2 (ja) * 2019-06-14 2023-02-15 株式会社ニューフレアテクノロジー マルチビーム検査装置
CN110376475A (zh) * 2019-06-20 2019-10-25 浙江四点灵机器人股份有限公司 玻璃表面线路缺陷快速检测装置及方法
US11239048B2 (en) 2020-03-09 2022-02-01 Kla Corporation Arrayed column detector
US11257656B2 (en) * 2020-04-08 2022-02-22 Fei Company Rotating sample holder for random angle sampling in tomography
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
WO2022018840A1 (ja) 2020-07-22 2022-01-27 キヤノンアネルバ株式会社 イオンガン及び真空処理装置
JP6985570B1 (ja) 2020-07-22 2021-12-22 キヤノンアネルバ株式会社 イオンガン及び真空処理装置
US11004940B1 (en) * 2020-07-31 2021-05-11 Genesic Semiconductor Inc. Manufacture of power devices having increased cross over current
CN112299280B (zh) * 2020-09-29 2022-01-25 合肥独领智能科技有限公司 一种防划伤的光窗上架装置
US20240021404A1 (en) * 2020-12-10 2024-01-18 Asml Netherlands B.V. Charged-particle beam apparatus with beam-tilt and methods thereof
JP2022098939A (ja) * 2020-12-22 2022-07-04 富士フイルムビジネスイノベーション株式会社 照合装置及び照合プログラム
US11958111B2 (en) 2021-02-22 2024-04-16 General Electric Company Gaseous ionization detectors for monitoring and controlling energy beams used to additively manufacture three-dimensional objects
CN113984813A (zh) * 2021-09-27 2022-01-28 上海大学 一种高通量薄膜晶体结构表征装置及方法
US11648247B1 (en) 2021-12-16 2023-05-16 Lenz Therapeutics, Inc. Compositions and methods for the treatment of presbyopia
CN115421152B (zh) * 2022-11-04 2023-03-24 中国航天三江集团有限公司 激光测距和大气光学参数测量的复合探测系统与方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62100936A (ja) * 1985-10-28 1987-05-11 Shimadzu Corp 荷電粒子線を用いた分析装置の試料汚染防止方法
JPS636737A (ja) * 1986-06-25 1988-01-12 Sharp Corp 電子線照射装置における帯電防止装置
JPH0195456A (ja) * 1987-10-06 1989-04-13 Jeol Ltd 静電型レンズ
US4944645A (en) * 1985-04-16 1990-07-31 Toshiba Kikai Kabushiki Kaisha Method and apparatus for loading objects into evacuated treating chamber
JPH0973872A (ja) * 1995-09-04 1997-03-18 Jeol Ltd 荷電粒子ビーム装置
JPH10125271A (ja) * 1996-10-16 1998-05-15 Hitachi Ltd 走査型電子顕微鏡
JP2000003692A (ja) * 1998-06-12 2000-01-07 Nikon Corp 荷電粒子線写像投影光学系
JP2000067798A (ja) * 1998-08-21 2000-03-03 Nikon Corp 写像型観察方法及び写像型荷電粒子線顕微鏡
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
EP0999572A2 (en) * 1998-11-02 2000-05-10 Advantest Corporation Electrostatic deflector for electron beam exposure apparatus
JP2000133565A (ja) * 1998-10-23 2000-05-12 Canon Inc 荷電粒子線露光方法及び装置、ならびにデバイス製造方法

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE480180A (ja) * 1946-05-31
US3983401A (en) * 1975-03-13 1976-09-28 Electron Beam Microfabrication Corporation Method and apparatus for target support in electron projection systems
JPS52115161A (en) 1976-03-24 1977-09-27 Toshiba Corp Electron gun for electron beam exposing device
JPS52117567A (en) 1976-03-30 1977-10-03 Toshiba Corp Electronic beam exposure unit
US4180738A (en) * 1977-07-30 1979-12-25 National Research Development Corporation Astigmatism in electron beam probe instruments
JPS5772326A (en) 1980-10-24 1982-05-06 Toshiba Corp Insulation composition of electron beam exposing equipment
JPS57125871A (en) 1981-01-29 1982-08-05 Toshiba Corp Electron detector
US4412133A (en) * 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
US4607167A (en) * 1982-10-19 1986-08-19 Varian Associates, Inc. Charged particle beam lithography machine incorporating localized vacuum envelope
US4584479A (en) * 1982-10-19 1986-04-22 Varian Associates, Inc. Envelope apparatus for localized vacuum processing
JPS60741A (ja) 1983-06-16 1985-01-05 Toshiba Mach Co Ltd 電子線露光方法
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
JPS62195838A (ja) 1986-02-21 1987-08-28 Hitachi Ltd 検査装置
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JPH065691B2 (ja) * 1987-09-26 1994-01-19 株式会社東芝 半導体素子の試験方法および試験装置
US5134348A (en) * 1989-04-07 1992-07-28 Canon Kabushiki Kaisha Vibration wave motor
JP2934707B2 (ja) 1989-06-19 1999-08-16 株式会社ニコン 走査電子顕微鏡
JP2935504B2 (ja) * 1989-07-05 1999-08-16 キヤノン株式会社 モータ
JP2946537B2 (ja) 1989-07-20 1999-09-06 株式会社ニコン 電子光学鏡筒
JPH03102814A (ja) 1989-09-16 1991-04-30 Nikon Corp 静電チャック装置
JP2835097B2 (ja) * 1989-09-21 1998-12-14 株式会社東芝 荷電ビームの非点収差補正方法
JPH03266350A (ja) 1990-03-14 1991-11-27 Jeol Ltd E×b型エネルギーフィルタ
JP3063107B2 (ja) 1990-03-27 2000-07-12 株式会社ニコン 静電偏向装置及び静電偏向装置の製造方法
US5581067A (en) * 1990-05-08 1996-12-03 Symbol Technologies, Inc. Compact bar code scanning module with shock protection
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
JPH04266350A (ja) 1991-02-20 1992-09-22 Nec Corp 媒体検知回路
JPH0547649A (ja) 1991-08-20 1993-02-26 Fujitsu Ltd 荷電粒子線露光によるパターン形成方法および荷電粒子線露光装置
JPH0563261A (ja) 1991-08-30 1993-03-12 Nikon Corp レーザ反射鏡
JP3266336B2 (ja) 1991-11-29 2002-03-18 富士通株式会社 電子ビーム走査装置
JPH05251408A (ja) * 1992-03-06 1993-09-28 Ebara Corp 半導体ウェーハのエッチング装置
JP2877624B2 (ja) * 1992-07-16 1999-03-31 株式会社東芝 走査電子顕微鏡の対物レンズアライメント制御装置及び制御方法
JPH07111215B2 (ja) * 1992-08-26 1995-11-29 鹿島建設株式会社 除振装置
JP3151308B2 (ja) * 1992-09-25 2001-04-03 株式会社東芝 光学鏡筒
JP3090802B2 (ja) * 1992-12-17 2000-09-25 株式会社東芝 静電レンズおよびその製造方法
JP2772309B2 (ja) * 1993-03-17 1998-07-02 矢崎総業株式会社 レバー結合式コネクタ
JPH0765766A (ja) 1993-08-24 1995-03-10 Nikon Corp 静電偏向器
JPH07183354A (ja) * 1993-12-24 1995-07-21 Tokyo Electron Ltd 基板の搬送システム及び基板の搬送方法
JPH07204962A (ja) * 1994-01-25 1995-08-08 Hitachi Ltd 静電吸着装置
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3409909B2 (ja) 1994-03-11 2003-05-26 株式会社東芝 ウェーハパターンの欠陥検出方法及び同装置
JPH08138611A (ja) 1994-11-04 1996-05-31 Nikon Corp 荷電粒子線装置
US5552608A (en) * 1995-06-26 1996-09-03 Philips Electronics North America Corporation Closed cycle gas cryogenically cooled radiation detector
US5770863A (en) * 1995-10-24 1998-06-23 Nikon Corporation Charged particle beam projection apparatus
JPH09129543A (ja) * 1995-11-01 1997-05-16 Nikon Corp 荷電粒子線転写装置
JPH09139184A (ja) * 1995-11-15 1997-05-27 Nikon Corp 静電偏向器の製造方法
JPH09147779A (ja) * 1995-11-20 1997-06-06 Nikon Corp 電磁偏向器
JPH09180663A (ja) * 1995-12-26 1997-07-11 Nikon Corp 電子銃及び該電子銃を備えた電子線転写装置
US5892224A (en) * 1996-05-13 1999-04-06 Nikon Corporation Apparatus and methods for inspecting wafers and masks using multiple charged-particle beams
JPH09311112A (ja) 1996-05-22 1997-12-02 Nikon Corp 欠陥検査装置
JPH1062503A (ja) 1996-08-13 1998-03-06 Nikon Corp 欠陥検査装置
JPH1073424A (ja) 1996-08-29 1998-03-17 Nikon Corp 欠陥検査装置
US5751538A (en) * 1996-09-26 1998-05-12 Nikon Corporation Mask holding device and method for holding mask
JPH10106926A (ja) * 1996-10-01 1998-04-24 Nikon Corp 荷電粒子線リソグラフィ装置、荷電粒子線リソグラフィ装置の評価方法およびパターン形成方法
JP3697810B2 (ja) 1996-12-18 2005-09-21 株式会社ニコン 電子線を用いた転写装置
US5981947A (en) * 1997-02-03 1999-11-09 Nikon Corporation Apparatus for detecting or collecting secondary electrons, charged-particle beam exposure apparatus comprising same, and related methods
US5914493A (en) * 1997-02-21 1999-06-22 Nikon Corporation Charged-particle-beam exposure apparatus and methods with substrate-temperature control
JPH10302697A (ja) 1997-04-23 1998-11-13 Hitachi Ltd 荷電粒子線顕微鏡
WO1999009582A1 (fr) * 1997-08-19 1999-02-25 Nikon Corporation Dispositif et procede servant a observer un objet
JP3534582B2 (ja) * 1997-10-02 2004-06-07 株式会社日立製作所 パターン欠陥検査方法および検査装置
JPH11132975A (ja) 1997-10-31 1999-05-21 Toshiba Corp 電子ビームを用いた検査方法及びその装置
JPH11194824A (ja) * 1997-12-26 1999-07-21 Ebara Corp ステージの位置決め装置
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
JP3441955B2 (ja) * 1998-02-23 2003-09-02 株式会社日立製作所 投射方式の荷電粒子顕微鏡および基板検査システム
WO1999050651A1 (en) 1998-03-27 1999-10-07 Hitachi, Ltd. Pattern inspection device
JP4117427B2 (ja) * 1998-12-22 2008-07-16 株式会社ニコン 荷電粒子線写像投影光学系の調整方法及び荷電粒子線写像投影光学系
JP3724949B2 (ja) * 1998-05-15 2005-12-07 株式会社東芝 基板検査装置およびこれを備えた基板検査システム並びに基板検査方法
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
JP2000011937A (ja) * 1998-06-26 2000-01-14 Advantest Corp 電子ビーム露光装置の静電偏向器
JP2000090868A (ja) 1998-09-17 2000-03-31 Nikon Corp 光学鏡筒及びそのクリーニング方法
US6999162B1 (en) 1998-10-28 2006-02-14 Nikon Corporation Stage device, exposure system, method of device manufacture, and device
US6344750B1 (en) * 1999-01-08 2002-02-05 Schlumberger Technologies, Inc. Voltage contrast method for semiconductor inspection using low voltage particle beam
TWI242111B (en) * 1999-04-19 2005-10-21 Asml Netherlands Bv Gas bearings for use in vacuum chambers and their application in lithographic projection apparatus
US6430022B2 (en) * 1999-04-19 2002-08-06 Applied Materials, Inc. Method and apparatus for controlling chucking force in an electrostatic
JP2000314710A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 回路パターンの検査方法及び検査装置
JP2001144168A (ja) * 1999-11-16 2001-05-25 Nikon Corp 静電チャック、それを有する荷電粒子線露光装置、ウエハ保持方法及びそれを用いたデバイス製造方法
JP3993094B2 (ja) * 2000-07-27 2007-10-17 株式会社荏原製作所 シートビーム式検査装置
DE10062011B4 (de) * 2000-12-13 2005-02-24 Infineon Technologies Ag Halteeinrichtung
EP1316831B1 (en) * 2001-11-28 2005-05-11 HITACHI VIA MECHANICS, Ltd. Method and device for controlling an optical scanner
US7138629B2 (en) * 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
JP2007066402A (ja) * 2005-08-30 2007-03-15 Sony Corp 対物レンズ駆動装置、光ピックアップ及び光ディスク装置

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944645A (en) * 1985-04-16 1990-07-31 Toshiba Kikai Kabushiki Kaisha Method and apparatus for loading objects into evacuated treating chamber
JPS62100936A (ja) * 1985-10-28 1987-05-11 Shimadzu Corp 荷電粒子線を用いた分析装置の試料汚染防止方法
JPS636737A (ja) * 1986-06-25 1988-01-12 Sharp Corp 電子線照射装置における帯電防止装置
JPH0195456A (ja) * 1987-10-06 1989-04-13 Jeol Ltd 静電型レンズ
JPH0973872A (ja) * 1995-09-04 1997-03-18 Jeol Ltd 荷電粒子ビーム装置
JPH10125271A (ja) * 1996-10-16 1998-05-15 Hitachi Ltd 走査型電子顕微鏡
JP2000003692A (ja) * 1998-06-12 2000-01-07 Nikon Corp 荷電粒子線写像投影光学系
JP2000067798A (ja) * 1998-08-21 2000-03-03 Nikon Corp 写像型観察方法及び写像型荷電粒子線顕微鏡
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP2000133565A (ja) * 1998-10-23 2000-05-12 Canon Inc 荷電粒子線露光方法及び装置、ならびにデバイス製造方法
EP0999572A2 (en) * 1998-11-02 2000-05-10 Advantest Corporation Electrostatic deflector for electron beam exposure apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1304717A4 *

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7005641B2 (en) 2001-06-15 2006-02-28 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
US7361895B2 (en) 2001-06-15 2008-04-22 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
US8368016B1 (en) 2001-06-15 2013-02-05 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
JP2005523459A (ja) * 2002-04-17 2005-08-04 株式会社荏原製作所 試料表面の検査装置及び方法
US8674317B2 (en) 2002-04-17 2014-03-18 Ebara Corporation Sample surface inspection apparatus and method
US8076654B2 (en) 2002-04-17 2011-12-13 Ebara Corporation Sample surface inspection apparatus and method
EP1389793A3 (de) * 2002-08-02 2009-06-10 Carl Zeiss NTS GmbH Elektronenmikroskopiesystem
JP2014112087A (ja) * 2003-05-09 2014-06-19 Ebara Corp 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2013080722A (ja) * 2006-01-25 2013-05-02 Ebara Corp 試料表面検査方法及び検査装置
US8859984B2 (en) 2006-01-25 2014-10-14 Ebara Corporation Method and apparatus for inspecting sample surface
WO2008053518A1 (fr) * 2006-10-30 2008-05-08 Topcon Corporation Appareil de vérification de semi-conducteur et procédé de vérification de semi-conducteur
US20100281578A1 (en) * 2009-05-04 2010-11-04 Pioneer Hi-Bred International, Inc. Yield Enhancement in Plants by Modulation of AP2 Transcription Factor
US8779239B2 (en) * 2009-05-04 2014-07-15 Pioneeri Hi-Bred International, Inc. Yield enhancement in plants by modulation of AP2 transcription factor
JP2016027604A (ja) * 2014-06-24 2016-02-18 株式会社荏原製作所 表面処理装置
JPWO2020090580A1 (ja) * 2018-10-29 2021-09-16 京セラ株式会社 電子線描画装置用枠部材および電子線描画装置
JP7075499B2 (ja) 2018-10-29 2022-05-25 京セラ株式会社 電子線描画装置用枠部材および電子線描画装置

Also Published As

Publication number Publication date
JP2012119704A (ja) 2012-06-21
JP5302423B2 (ja) 2013-10-02
US20060138343A1 (en) 2006-06-29
KR20030029046A (ko) 2003-04-11
EP1304717A1 (en) 2003-04-23
US7829871B2 (en) 2010-11-09
JP5736003B2 (ja) 2015-06-17
US20050092921A1 (en) 2005-05-05
US20080302963A1 (en) 2008-12-11
US7417236B2 (en) 2008-08-26
JP2013175781A (ja) 2013-09-05
JP3993094B2 (ja) 2007-10-17
KR100873447B1 (ko) 2008-12-11
US7109484B2 (en) 2006-09-19
US7049585B2 (en) 2006-05-23
EP1304717A4 (en) 2009-12-09
US20020036264A1 (en) 2002-03-28

Similar Documents

Publication Publication Date Title
JP5736003B2 (ja) ウェハを吸着保持する静電チャック
JP6220423B2 (ja) 検査装置
KR100875230B1 (ko) 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법
JP5647327B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
US6855929B2 (en) Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
US8946629B2 (en) Inspection apparatus
WO2002001597A1 (fr) Appareil d&#39;inspection a faisceau de particules chargees et procede de fabrication d&#39;un dispositif utilisant cet appareil d&#39;inspection
WO2002040980A1 (fr) Procede et instrument d&#39;inspection de tranches, et appareil a faisceau electronique
JP2004363085A (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP4939235B2 (ja) シートビーム式検査装置
JP2003173756A (ja) 電子線装置及び該装置を用いたデバイス製造方法
JP4221428B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2008193119A (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP4224089B2 (ja) 撮像装置、欠陥検査装置、欠陥検査方法及び電子線検査装置
JP3890015B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): DE FR GB NL

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2001945626

Country of ref document: EP

Ref document number: 1020027015278

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020027015278

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001945626

Country of ref document: EP