WO2002013227A1 - Appareil d'analyse a faisceau plan - Google Patents
Appareil d'analyse a faisceau plan Download PDFInfo
- Publication number
- WO2002013227A1 WO2002013227A1 PCT/JP2001/005494 JP0105494W WO0213227A1 WO 2002013227 A1 WO2002013227 A1 WO 2002013227A1 JP 0105494 W JP0105494 W JP 0105494W WO 0213227 A1 WO0213227 A1 WO 0213227A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- electron beam
- inspection
- sheet beam
- inspection apparatus
- wafer
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N23/00—Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
- G01N23/22—Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
- G01N23/225—Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/26—Electron or ion microscopes; Electron or ion diffraction tubes
- H01J37/29—Reflection microscopes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/04—Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
- H01J37/06—Electron sources; Electron guns
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/04—Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
- H01J37/06—Electron sources; Electron guns
- H01J37/073—Electron guns using field emission, photo emission, or secondary emission electron sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/18—Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
- H01J37/185—Means for transferring objects between different enclosures of different pressure or atmosphere
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/20—Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/22—Optical or photographic arrangements associated with the tube
- H01J37/222—Image processing arrangements associated with the tube
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/244—Detectors; Associated components or circuits therefor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/26—Electron or ion microscopes; Electron or ion diffraction tubes
- H01J37/28—Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/06—Sources
- H01J2237/08—Ion sources
- H01J2237/0815—Methods of ionisation
- H01J2237/082—Electron beam
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/202—Movement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/202—Movement
- H01J2237/20221—Translation
- H01J2237/20228—Mechanical X-Y scanning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/204—Means for introducing and/or outputting objects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/22—Treatment of data
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/245—Detection characterised by the variable being measured
- H01J2237/24564—Measurements of electric or magnetic variables, e.g. voltage, current, frequency
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/26—Electron or ion microscopes
- H01J2237/28—Scanning microscopes
- H01J2237/2803—Scanning microscopes characterised by the imaging method
- H01J2237/2806—Secondary charged particle
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/26—Electron or ion microscopes
- H01J2237/28—Scanning microscopes
- H01J2237/2813—Scanning microscopes characterised by the application
- H01J2237/2814—Measurement of surface topography
- H01J2237/2816—Length
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/26—Electron or ion microscopes
- H01J2237/28—Scanning microscopes
- H01J2237/2813—Scanning microscopes characterised by the application
- H01J2237/2817—Pattern inspection
Definitions
- the present invention relates to a charged particle beam suitable for a sheet beam inspection apparatus for inspecting a wafer after each step in a semiconductor process, and more specifically, a sheet beam inspection using a charged particle beam such as an electron beam.
- the present invention relates to an apparatus, a semiconductor device manufacturing method using the inspection apparatus, and an exposure method.
- a scanning (SEM) inspection device using an electron beam irradiates the sample in a line by scanning by narrowing down the electron beam. This electron beam diameter corresponds to the resolution.
- the observation area is irradiated with the electron beam in a plane by moving the stage in a direction perpendicular to the scanning direction of the electron beam.
- the scanning width of the electron beam is generally several hundreds.
- a secondary electron beam generated from the sample by the irradiation of the finely focused electron beam (referred to as a primary power line) is detected by a detector, for example, a scintillator and a photomultiplier (photomultiplier tube). Alternatively, detection is performed in combination with a semiconductor type detector (PIN diode type).
- a detector for example, a scintillator and a photomultiplier (photomultiplier tube).
- detection is performed in combination with a semiconductor type detector (PIN diode type).
- the coordinates of the irradiation position and the amount of the secondary electron beam (signal intensity) are combined to form an image, which is stored in a storage device or output to a CRT (Braun tube).
- the above is the principle of SEM (scanning electron microscope), which detects defects in the semiconductor (usually Si) wafer in the process from the images obtained by this method.
- the inspection speed corresponding to the throughput is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector.
- the beam diameter is 0.1 / im (may be considered the same as the resolution), the current value is 100 nA, and the response speed of the detector is 100 MHz, which is the current maximum value. In this case, the inspection speed is 20 cm It is said that about 8 hours per wafer of diameter.
- the above inspection speed is considered to be almost the limit, and a new system is required to further increase the speed, that is, to increase the throughput. Disclosure of the invention
- the present invention relates to an electron beam apparatus suitable for a sheet beam type inspection apparatus, and a semiconductor device manufacturing method and an exposure method using the apparatus.
- the first embodiment of the present invention provides a projection type electron beam apparatus. Therefore, the first embodiment is
- Beam generating means for irradiating an electron beam having a specific width
- a primary electron optical system that causes the charged particle beam to reach the surface of the substrate to be inspected, and a secondary electron optical system that supplements the secondary electron beam generated from the substrate and guides the image to the image processing system,
- a substrate transport mechanism for loading and unloading the substrate into and out of the inspection chamber
- An image processing analyzer for detecting a defect of the substrate An image processing analyzer for detecting a defect of the substrate
- a control system for displaying or storing a defect position of the substrate
- a board inspection apparatus a board inspection method, and a device manufacturing method using such a board inspection apparatus
- the second embodiment of the present invention provides an electron beam apparatus suitable for an inspection apparatus that inspects the inspection object by irradiating the inspection object with an electron beam, and a device manufacturing method using the electron beam apparatus.
- An electron optical system that irradiates the inspection object with a primary electron beam from an electron beam source, and projects an image of a secondary electron beam emitted by the irradiation of the primary electron beam; and a secondary image projected by the electron optical system.
- An electron optical device having a detector for detecting an electron beam image, A stage device that holds the inspection target and relatively moves with respect to the electron optical system;
- a mini-environment device for flowing a clean gas to the inspection target to prevent dust from adhering to the inspection target;
- stage device accommodates the stage device and can be controlled to a vacuum atmosphere.
- At least two loading chambers disposed between the mini-environment device and the working chamber, each being independently controllable to a vacuum atmosphere;
- a transfer unit capable of transferring the inspection target between the mini-environment device and one of the loading chambers, and a transfer unit capable of transferring the inspection target between the one loading chamber and the stage device And a loader having another transport unit.
- An inspection device configured to support the working chamber and the loading chamber via a vibration isolator
- An electron optical system that irradiates the inspection object with a primary electron beam from an electron beam source, accelerates a secondary electron beam emitted by the irradiation of the primary electron beam with a deceleration electric field type objective lens, and projects an image thereof;
- An electro-optical device having electrodes for controlling the electric field strength;
- a stage device that holds the inspection target and relatively moves with respect to the electron optical system
- a loader for irradiating the inspection target arranged in the working chamber with an electron beam to reduce the unevenness in charging of the inspection target, or to intentionally charge the inspection target and a potential to the inspection target.
- An electric potential applying mechanism for applying, an inspection device, wherein the working chamber is configured to be supported by a supporting device supported via a vibration isolator,
- the loader is configured to independently control the atmosphere independently of a first loading chamber and a second loading chamber, and to load the inspection object in a first loading chamber and outside the first loading chamber.
- a second transfer unit provided in the second loading chamber for transferring the inspection object between the inside of the first loading chamber and the stage device.
- the electron beam apparatus further comprising a partitioned mini-environment space for flowing a clean gas to the inspection object conveyed by the loader to prevent dust from adhering;
- the chamber and the working chamber may be supported by a support device via a vibration isolator.
- an alignment control device for observing a surface of the inspection target and controlling alignment for positioning the inspection target with respect to the electron optical system;
- a laser interferometer for detecting the coordinates of the inspection object, and the alignment control device may determine the coordinates of the inspection object using a pattern existing on the inspection object.
- the alignment of the inspection object is performed. May include a coarse alignment performed in the mini-environment space and an XY alignment and a rotation alignment performed on the stage device.
- a third embodiment of the present invention provides: An electron beam apparatus for imaging an electron beam emitted from a plurality of electron beam sources on a surface of a sample via an electron optical system,
- a partition plate for separating the electron beam source and the electron optical system wherein the partition plate has a hole with a large aspect ratio for passing the electron beam.
- Two or more holes may be provided for each of the electron beam sources.
- Each of the holes is formed at a position off the optical axis of the electron beam source.
- the partition plate is formed of a material having high rigidity, and the electron beam source and the electron optical system are attached to the partition plate.
- the third embodiment of the present invention also provides a device manufacturing method for evaluating a wafer being processed by using the above-mentioned electron beam apparatus.
- An electron beam is made incident on the sample by an electrostatic optical system including an electrostatic lens, a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data, and the sample is formed based on the data.
- an electrostatic optical system including an electrostatic lens
- a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data
- the sample is formed based on the data.
- An electrode in the electrostatic optical system is coated with a metal having a work function of 5 eV or more.
- the electrode or a part of the electrode is coated with a metal having a work function of 5 eV or more, a secondary electron beam is rarely emitted from the electrode, and discharge occurs between the electrodes. This makes it difficult to cause dielectric breakdown between the electrodes.
- the metal coating the electrodes in the electrostatic optical system is preferably platinum or an alloy containing platinum as a main material.
- platinum work function: 5.3 [eV]
- an alloy mainly composed of platinum the amount of secondary electron beam emitted from the electrode is reduced. Discharge between the electrodes is less likely to occur, and dielectric breakdown between the electrodes is less likely to occur. Also, the sample is half Even if it is a conductor wafer, even if platinum coated on the electrode adheres to the pattern of the semiconductor wafer, the transistor will not be deteriorated. It is suitable for semiconductor wafer inspection.
- An electron beam is made incident on the sample by an electrostatic optical system including an electrostatic lens, a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data, and the sample is formed based on the data.
- an electrostatic optical system including an electrostatic lens
- a secondary electron beam generated from the sample by the incidence of the electron beam is detected to form data
- the sample is formed based on the data.
- the electrostatic lens includes at least two electrodes having a potential difference and an insulating material between the at least two electrodes and supporting the at least two electrodes, and at least one electrode of the at least two electrodes is A first electrode surface having a shortest inter-electrode distance between at least two electrodes; a second electrode surface having a longer inter-electrode distance than the first electrode surface; the first electrode surface and the first electrode surface; A step in the direction between the at least two electrodes between the first electrode and the second electrode surface,
- the insulating material supports the second electrode surface and the electrode surface of the other electrode substantially vertically between the at least two electrodes, and a minimum creepage distance between the at least two electrodes of the insulating material is An evaluation device, wherein the length is substantially the same as the inter-electrode distance in the electrode portion,
- the electrodes are supported by the insulating material having a long creepage distance, discharge between the electrodes and, therefore, dielectric breakdown between the electrodes can be suppressed. Furthermore, by providing at least one electrode with a step between the first electrode surface, the second electrode surface, and these electrode surfaces, the surface of the insulating material can be processed into a crease shape or the like. And the processing cost is lower.
- the shortest creepage distance between the electrodes of the insulating material is substantially the same as the distance between the electrodes at the supported electrode portion, the surface of the insulating material between the electrodes has almost no unevenness, and the insulating material has The amount of gas released from the gas does not increase. Therefore, the degree of vacuum in the beam path of the apparatus is not reduced.
- the metal coating the electrodes in the electrostatic optical system is platinum or platinum as a main material. It is preferable to use an alloy. By coating the electrode or a part of the electrode with platinum or an alloy containing platinum as a main material, discharge between the electrodes and, therefore, dielectric breakdown between the electrodes is reduced. Further, even if the sample is a semiconductor wafer, even if platinum coated on the electrode adheres to the surface of the semiconductor wafer, the device performance is not deteriorated, so that it is suitable for semiconductor wafer inspection. .
- a device manufacturing method using the above-described evaluation apparatus comprising: evaluating a pattern of a semiconductor wafer which is the sample using the evaluation apparatus during device manufacturing;
- the evaluation is performed without dielectric breakdown of the electrodes in the electrostatic optical system. be able to.
- the primary electron beam is applied to the sample by the primary optical system, and the secondary electron beam emitted from the sample is separated from the primary optical system by the EXB separator and guided to the secondary optical system.
- An electron beam apparatus wherein the amount of deflection of a secondary electron beam by the magnetic field of the EXB separator is twice the amount of deflection by an electric field, and the direction of deflection by the magnetic field and the direction of deflection by the electric field are reversed.
- This electron beam device irradiates a sample with a primary electron beam through a primary optical system, separates the secondary electron beam emitted from the sample from the primary optical system by an EXB separator, and guides it to the secondary optical system.
- EXB separator Characterized in that the amount of deflection of the secondary electron beam due to the magnetic field of the EXB separator is twice the amount of deflection by the electric field and the direction of deflection is reversed.
- the primary optical system irradiates the sample with a primary electron beam, and the secondary electrons emitted from the sample
- This electron beam device irradiates a sample with a primary electron beam through a primary optical system, and separates the secondary electron beam emitted from the sample from the primary optical system by an EXB separator and guides it to the secondary optical system.
- the device is characterized in that the amount of deflection of the primary electron beam by the magnetic field of the EXB separator is twice as large as the amount of deflection by the electric field and the direction of deflection is reversed.
- the primary optical system forms a primary electron beam composed of a plurality of beams to irradiate the sample surface, and irradiates the primary electron beam composed of the plurality of beams with a plurality of secondary electron beams emitted from the sample. It is preferable to detect with a secondary electron beam detector.
- the above electron beam device can be used for any of a defect inspection device, a line width measurement device, an alignment accuracy measurement device, and a high time resolution potential contrast measurement device. Further, the fifth embodiment of the present invention also provides a device manufacturing method characterized by inspecting a semiconductor device being processed using the above-mentioned electron beam apparatus.
- first data representing a rising edge of a secondary electron beam signal waveform when a pattern edge parallel to the first direction is scanned in the second direction;
- Measuring means for measuring a pattern parallel to the second direction;
- a second pattern representing a rising edge of a secondary electron beam signal waveform when the edge is scanned in the first direction;
- an electron beam apparatus comprising:
- a means for correcting astigmatism after exciting the objective lens with a voltage equal to the algebraic average by the exciting means while the pattern is charged, and thereafter evaluating the pattern preferable.
- An electron beam apparatus that evaluates a pattern by converging an electron beam with an electron optical system including an objective lens and scanning the pattern with the electron beam. And a second electrode to which a voltage far from ground is applied,
- the focal length of the objective lens can be changed
- the excitation means is configured to significantly change the focal length of the objective lens.
- the sixth embodiment of the present invention also provides a device manufacturing method characterized by evaluating a semiconductor wafer during a process using the above-mentioned electron beam apparatus.
- the seventh embodiment of the present invention is characterized by evaluating a semiconductor wafer during a process using the above-mentioned electron beam apparatus.
- An electron beam apparatus that performs at least one of processing, manufacturing, observation, and inspection of the substance by irradiating the substance with an electron beam
- An electron beam device comprising: a vibration damping circuit, which is electrically connected and attenuates electric energy output from the piezoelectric element;
- the above-mentioned mechanical structure is a part or a whole that generates a vibration that causes a problem in an electron beam application device, and is an arbitrary mechanical structure that positions an electron beam.
- optical components of an optical system for imaging an electron beam onto a substance a lens barrel containing such an optical system, a support table on which the substance is placed, or irradiation of the substance with an electron beam
- optical components of an optical system for imaging a generated secondary electron beam on a detector a lens barrel containing such an optical system, a lens barrel containing a detector, and the like.
- the vibration damping circuit includes at least an element having an inductance or inductive means as an equivalent circuit of the element, and the inductive means is connected to a piezoelectric element having a capacitance to form a resonance circuit. I do.
- the inductance of the inductive means with respect to the capacitance of the piezoelectric element is determined so that the resonance frequency of the resonance circuit substantially matches the resonance frequency of the mechanical structure.
- a resistance element is provided in the vibration damping circuit.
- the capacitive impedance of the piezoelectric element and the impedance of the inductive means are canceled out, and the impedance of the resonance circuit is practically only the resistance element. Therefore, at the time of resonance, almost all electric energy output from the piezoelectric element is consumed as heat energy by the resistance element.
- a seventh embodiment of the present invention is directed to a semiconductor device using the above-described electron beam apparatus.
- the present invention also provides a semiconductor manufacturing method including a step of executing at least one of processing and manufacturing of a semiconductor device, and observation and inspection of a semiconductor device being processed or a finished product.
- the electrostatic chuck that electrostatically holds the wafer by suction is such that the voltage applied to the wafer increases or decreases with time from 0 volt to a predetermined voltage.
- An electrostatic chuck is formed by laminating a substrate, an electrode plate, and an insulating layer, and a voltage interlocking with the voltage applied to the wafer is applied to the electrode plate of the electrostatic chuck to generate a suction force between the wafer and the chuck.
- This electrode plate is divided into a central portion of the electrode plate, a first electrode composed of a part of the peripheral portion, and a second electrode composed of the remaining peripheral portion of the electrode plate. First, a voltage is applied to the first electrode, then the wafer is set to ground or low potential, and then a voltage is applied to the second electrode.
- the electrostatic chuck in a combination of a wafer and an electrostatic chuck for electrostatically holding the wafer, includes a substrate, an electrode plate, and an insulating layer.
- a voltage is applied to the wafer through a predetermined resistor and a contact, and the contact has a needle shape in which the tip contacts the back surface of the wafer or a knife edge shape in which the cutting edge contacts the side surface of the wafer.
- the eighth embodiment of the present invention also provides a device manufacturing method for holding a wafer by suction using an electrostatic check or a combination.
- the XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum seal mechanism using differential exhaust,
- a partition for reducing conductance is provided between a position on the sample surface where the electron beam is irradiated and a static pressure bearing support of the XY stage;
- An electron beam device wherein a pressure difference is generated between the electron beam irradiation area and the static pressure bearing support.
- a non-contact support mechanism using a static pressure bearing is applied to the support mechanism of the XY stage on which the sample is placed, and the high-pressure gas used for the static pressure bearing is also provided in the vacuum chamber.
- the stage device By providing a vacuum seal mechanism by operating exhaust around the hydrostatic bearing to prevent the stage device from performing, the stage device can exhibit high-precision positioning performance in vacuum, and furthermore, conductance between the stage and the electron beam irradiation position
- the gas adsorbed on the surface of the slide section is released every time the slide section of the stage moves from the high-pressure gas section to the vacuum environment. Since the gas does not easily reach, the pressure at the electron beam irradiation position does not easily rise. That is, by adopting the above configuration, the degree of vacuum at the electron beam irradiation position on the sample surface can be stabilized, and the stage can be driven with high precision. Processing with lines can be performed with high accuracy.
- the partition may incorporate a differential pumping structure.
- a partition is provided between the static pressure bearing support and the electron beam irradiation area, and a vacuum exhaust path is arranged inside the partition to provide a differential exhaust function. Almost no gas can pass through the partition and pass to the electron beam irradiation area side. Thereby, the degree of vacuum at the electron beam irradiation position can be further stabilized.
- the partition may have a cold trap function. In this case, in general, 1 0- 7 P a pressure above region, the major components of the gas that will be released from the residual gas and the surface of the material in a vacuum is water molecules. Therefore, it is easy to stably maintain a high degree of vacuum if water molecules can be efficiently discharged.
- this cold trap is not only effective for water molecules, but also effective for removing organic gas molecules such as oils, which are factors that hinder clean vacuum.
- the partition is located in two places near the electron beam irradiation position and near the hydrostatic bearing. May be provided.
- partitions for reducing the conductance are formed at two locations near the electron beam irradiating position and near the static pressure bearing. It is divided into three intermediate rooms via a small conductance.
- the vacuum evacuation system is configured so that the pressure in each chamber is, in order from the lowest, the electron beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber. By doing so, even if the pressure rise due to the released gas occurs in the hydrostatic bearing chamber, the pressure fluctuation rate can be suppressed to a low level because the pressure is originally set to be high.
- the pressure fluctuations in the intermediate chamber are further suppressed by the partition, and the pressure fluctuations in the electron beam irradiation chamber are further reduced by the further partition, and the pressure fluctuations are reduced to a level that does not cause any problem. It is possible to do it.
- the gas supplied to the static pressure bearing of the XY stage is preferably dry nitrogen or a high-purity inert gas.
- the gas molecules contained in the high-pressure gas are adsorbed on the slide portion of the stage exposed to the high-pressure gas atmosphere in the static pressure bearing portion, and the slide portion is exposed to the vacuum environment. Then, the adsorbed gas molecules desorb from the surface and become a released gas, deteriorating the degree of vacuum. Therefore, in order to suppress the deterioration of the degree of vacuum, it is necessary to reduce the amount of adsorbed gas molecules and quickly exhaust the adsorbed gas molecules.
- the high-pressure gas supplied to the hydrostatic bearing is converted to dry nitrogen from which water has been sufficiently removed or a high-purity inert gas (for example, high-purity nitrogen gas), which is a gas component that is easily adsorbed on the surface and hardly desorbed. It is effective to remove (organic matter, moisture, etc.) from the high pressure gas.
- a high-purity inert gas for example, high-purity nitrogen gas
- Inert gases such as nitrogen have a significantly lower adsorption rate on the surface than moisture and organic substances, and have a much higher desorption rate from the surface.
- the high-pressure gas even if a high-purity inert gas from which water and organic components are removed as much as possible is used as the high-pressure gas, even if the slide section moves from the static pressure bearing section to the vacuum environment, the amount of released gas is small and the amount of released gas is small. Of the vacuum degree can be reduced because of the rapid decay. This Therefore, the pressure rise when the stage moves can be kept low.
- it is also effective to apply a surface treatment to the components of the stage, in particular, to the surface of the component that goes back and forth between a high-pressure gas atmosphere and a vacuum environment, so that the adsorption energy to gas molecules is reduced.
- the base material is metal, TiC (titanium carbide), TiN (titanium nitride), nickel plating, passivation treatment, electrolytic polishing, composite electrolytic polishing, If the base material is a SiC ceramic, a dense SiC layer may be coated by CVD. For this reason, it is possible to further suppress the pressure rise when the stage moves.
- a ninth embodiment of the present invention provides a wafer defect inspection device for inspecting a defect on the surface of a semiconductor wafer using the above-mentioned electron beam device.
- the ninth embodiment of the present invention provides an exposure apparatus for drawing a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the above-mentioned electron beam apparatus.
- the stage positioning performance is high and the degree of vacuum in the electron beam irradiation area is stable, so that an exposure apparatus with high exposure accuracy and no risk of contaminating the sample is provided. .
- the ninth embodiment of the present invention also provides a semiconductor manufacturing method for manufacturing a semiconductor using the above-mentioned electron beam device.
- a semiconductor can be manufactured using a device that has high precision stage positioning performance and a stable degree of vacuum in the electron beam irradiation area, so that a fine semiconductor circuit can be formed.
- the XY stage In a device for irradiating a sample mounted on an XY stage with an electron beam, the XY stage is housed in a housing and supported by a static pressure bearing in a non-contact manner with a housing.
- the housing housing the stage is evacuated
- the electron beam device is characterized in that a differential pumping mechanism for evacuating a region of the sample surface to be irradiated with the electron beam is provided around a portion of the electron beam device that irradiates the electron beam onto the sample surface.
- the high-pressure gas for the static pressure bearing that has leaked into the vacuum chamber is first exhausted by the evacuation pipe connected to the vacuum chamber.
- a differential evacuation mechanism that evacuates the area irradiated with the electron beam around the part that irradiates the electron beam
- the pressure in the electron beam irradiation area is significantly reduced from the pressure in the vacuum chamber, and It is possible to stably attain the degree of vacuum at which the processing of the sample by the wire can be performed without any problem.
- a stage with a structure similar to that of a static pressure bearing type stage generally used in the atmosphere a stage supporting a static pressure bearing without a differential exhaust mechanism
- the gas supplied to the static pressure bearing of the XY stage is preferably dry nitrogen or high-purity inert gas. It is preferable that the dry nitrogen or the high-purity inert gas is pressurized after being exhausted from the housing accommodating the stage, and then supplied to the static pressure bearing again. As a result, the residual gas component in the vacuum housing becomes a high-purity inert gas, so that there is no possibility of contaminating the sample surface or the surface of the vacuum chamber formed by the housing with moisture, oil, or the like. Above, even if inert gas molecules are adsorbed on the sample surface, they are quickly separated from the sample surface if exposed to the differential pumping mechanism or the high vacuum part of the electron beam irradiation area. The effect on the degree of vacuum can be minimized, and the processing of the sample by the electron beam can be stabilized.
- a tenth embodiment of the present invention provides a wafer defect inspection device for inspecting a defect on a surface of a semiconductor wafer using the above-mentioned electron beam device. This makes it possible to inexpensively provide an inspection apparatus in which the positioning performance of the stage is high in accuracy and the degree of vacuum in the electron beam irradiation area is stable.
- a tenth embodiment of the present invention provides a semiconductor wafer using the above-described electron beam apparatus.
- an exposure apparatus for drawing a circuit pattern of a semiconductor device on a surface or a reticle is provided. This makes it possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high and the degree of vacuum in the electron beam irradiation area is stable.
- a tenth embodiment of the present invention provides a semiconductor manufacturing method for manufacturing a semiconductor using the above-described electron beam device.
- a semiconductor can be manufactured using a device that has high precision stage positioning performance and a stable degree of vacuum in the electron beam irradiation area, so that a fine semiconductor circuit can be formed.
- An apparatus comprising: a retarding voltage application device for applying a retarding voltage to the sample; and a function of applying an optimal retarding voltage to the sample depending on the sample.
- An electron beam apparatus characterized by comprising at least one axisymmetric lens formed by processing an integral insulator and applying a metal coating on the surface to form an axisymmetric lens;
- An electron beam characterized by comprising:
- the eleventh embodiment of the present invention An optical system for irradiating the sample with an electron beam; and a charge-up inspection function, wherein the charge-up inspection function detects a secondary electron beam generated by irradiating the sample with a primary electron beam and forms an image. Then, the pattern distortion or the pattern blur of a specific portion of the sample is evaluated, and as a result, when the pattern distortion or the pattern blur is large, the charge up is evaluated to be large.
- Electron beam equipment
- the charge-up investigation function is capable of applying a variable reading voltage to the sample, and in the state where at least two retarding voltages are applied, near the boundary where the pattern density of the sample greatly changes.
- An apparatus may be provided which performs the above image formation and displays the image so that an operator can evaluate pattern distortion or pattern blur.
- the eleventh embodiment of the present invention provides a device manufacturing method characterized by detecting a defect of a wafer during a process using the above-mentioned electron beam apparatus.
- the first and second embodiments of the present invention are as follows.
- a defect inspection apparatus for inspecting a defect of a specimen, comprising: an image acquisition means for acquiring images of a plurality of inspection areas displaced from each other while partially overlapping on the specimen; and storage means for storing a reference image.
- a defect judging means for judging a defect of the sample by comparing the images of the plurality of inspection regions acquired by the image acquiring means with the reference image stored in the storage means. Inspection equipment,
- the specimen to be inspected can be selected from any specimen capable of detecting a defect.
- the present invention can provide an excellent effect when the specimen is a semiconductor wafer.
- the image acquiring unit acquires images of a plurality of inspection regions displaced from each other while partially overlapping on the sample, and the defect determining unit teeth, It operates to judge the defect of the sample by comparing the image of the inspection area with the reference image stored in advance.
- a plurality of surface images of the inspection area at different positions can be acquired, and therefore, the inspection image having a small displacement from the reference image can be selectively obtained in a subsequent process. It is possible to suppress a decrease in the accuracy of defect detection due to displacement.
- the comparing means performs, for example, a so-called matching operation between the acquired images of the plurality of inspection areas and the reference image, and at least one image of the plurality of inspection areas substantially differs from the reference image. If no sample is found, the sample operates to determine that there is no defect. Conversely, when there is a substantial difference between the images of all the inspection areas and the reference image, the defect is detected with high accuracy by determining that the sample has a defect.
- electron irradiating means for irradiating each of a plurality of inspection areas with a primary electron beam and emitting a secondary electron beam from the sample is further provided. By detecting the secondary electron beam emitted from the inspection region, the images of the inspection regions can be sequentially acquired.
- the electron irradiation means includes a particle beam source for emitting primary electrons, and a deflecting means for deflecting the primary electrons, and deflects the primary electron beam emitted from the particle beam source by the deflecting means. It is preferable to sequentially irradiate the primary electron beam to a plurality of inspection areas. In this case, since the position of the input image can be easily changed by the deflection means, a plurality of images to be inspected having different positions can be acquired at high speed.
- the 12th embodiment of the present invention also provides a semiconductor device manufacturing method including a step of inspecting a wafer for a defect during processing or a finished product using the defect inspection apparatus of each of the above-described embodiments.
- FIG. 1 is a view schematically showing a configuration of an inspection apparatus which is a first embodiment of the charged particle beam apparatus according to the present invention. ⁇
- FIG. 2A is a plan view of the electron deflection system
- FIG. 2B is a cross-sectional view thereof.
- FIG. 3 is a flowchart showing one embodiment of the semiconductor device manufacturing method according to the present invention.
- FIG. 4A is a flowchart showing a lithography process which is the core of the wafer processing process shown in FIG. 3, and FIG. 4B is a flow chart showing a wafer inspection process in the wafer processing process shown in FIG. It is.
- FIG. 5 is an elevational view showing main components of an inspection apparatus which is a second embodiment of the charged particle beam apparatus according to the present invention, and is a view taken along line AA in FIG. is there.
- FIG. 6 (a) is a plan view of the main components of the inspection apparatus shown in FIG. 5, and is a view taken along line BB of FIG. 5, and
- FIG. 6 (b) is a view of FIG.
- FIG. 7 is a diagram showing a modification of the configuration shown in FIG.
- FIG. 7 is a cross-sectional view showing the mini-environment device of FIG. 5, as viewed along line C-C.
- FIG. 8 is a view showing the loader housing of FIG. 5, and is a view taken along line DD of FIG. 6A.
- Figure 9 is an enlarged view of the wafer rack, [A] is a side view, and [B] is
- FIG. 4 is a cross-sectional view taken along line E_E of [A].
- FIG. 10 are views showing a modification of the method of supporting the main housing.
- FIG. 11 is a schematic diagram showing a schematic configuration of an electron optical device in the inspection device of FIG.
- FIG. 12 is a diagram showing a potential application mechanism.
- FIGS. 13A and 13B are views for explaining the electron beam calibration mechanism, in which [A] is a side view and [B] is a plan view.
- FIG. 14 is a schematic explanatory view of a wafer alignment control device.
- FIG. 15 schematically shows the configuration of the third embodiment of the charged particle beam apparatus according to the present invention. It is sectional drawing shown in FIG.
- FIG. 16 is a configuration diagram schematically showing an evaluation device as a fourth embodiment of the charged particle beam device according to the present invention.
- Figure 17 is a table showing the breakdown probability for each metal.
- FIG. 18 is a perspective view and a sectional view of an electrode.
- FIG. 19 is a partial cross-sectional view of the electrode shown in FIG.
- FIG. 20 is a top view and a cross-sectional view of the electrode shown in FIG.
- FIG. 21 is an enlarged sectional view of a main part of the electrode shown in FIG.
- FIG. 22 is a diagram schematically showing a fifth embodiment of the charged particle beam device according to the present invention.
- FIG. 23 is a diagram showing the configuration of the electron beam apparatus shown in FIG. 22 in detail.
- FIG. 24 is a view schematically showing a charged particle beam apparatus according to a sixth embodiment of the present invention.
- FIG. 25 is a graph showing the relationship between the negative voltage applied to the objective lens and the rise width of the electric signal, and (b) is a diagram for explaining the rise width of the electric signal. is there.
- FIG. 26 is a configuration diagram of an electron beam inspection apparatus that is a seventh embodiment of the charged particle beam apparatus according to the present invention.
- FIG. 27 is a diagram schematically showing a block of a mechanical structure of the electron beam inspection apparatus shown in FIG. 26, wherein (a) shows the relationship between the electron beam inspection apparatus and coordinate axes, and (b) shows a lens barrel. (C) shows a diagram of the case in which the actuyue is installed so as to cancel this natural vibration.
- FIG. 28 is a schematic diagram showing a configuration of an actuator used in the electron beam inspection apparatus shown in FIG. 26, a vibration damping circuit, and an equivalent circuit of the formed series resonance circuit.
- FIG. 29 is a graph showing the transfer function of the lens barrel of the electron beam inspection apparatus shown in FIG.
- Fig. 30 shows the transfer function of the lens barrel and the series in the electron beam inspection system shown in Fig. 26. 5 is a graph showing an electrical frequency characteristic and a total transfer function of the oscillation circuit.
- FIG. 31 is a view for explaining the wafer inspection method according to the present invention, wherein (a) shows pattern defect detection, (b) shows line width measurement, and (c) shows potential contrast measurement.
- FIG. 32 is a schematic plan view of the electrostatic chuck in the eighth embodiment of the electron beam apparatus according to the present invention, and is a plan view of the electrode after removing the wafer.
- FIG. 33 is a schematic vertical cross-sectional view along the line MM of FIG. 32, and is a cross-sectional view showing a state where a wafer is mounted and no voltage is applied.
- FIG. 34 are time charts of the voltage applied to the electrode and the wafer.
- FIG. 35 is a block diagram showing a configuration example of an electron beam device using the electrostatic chuck shown in FIG.
- FIG. 36 is a view showing a vacuum chamber and an XY stage of a conventional electron beam apparatus, where [A] is a front view and [B] is a side view.
- FIG. 37 is a diagram illustrating the differential pumping mechanism of FIG.
- FIG. 38 is a diagram showing a vacuum chamber and an XY stage in a ninth embodiment of the charged particle beam device according to the present invention, wherein [A] is a front view and [B] is a side view.
- FIG. 39 is a diagram showing a vacuum chamber and an XY stage in a first modification of the ninth embodiment of the present invention.
- FIG. 40 is a diagram showing a vacuum chamber and an XY stage in a second modification of the ninth embodiment of the present invention.
- FIG. 41 is a diagram showing a vacuum chamber and an XY stage in a third modification example of the ninth embodiment of the present invention.
- FIG. 42 is a diagram showing a vacuum chamber and an XY stage in a fourth modification of the ninth embodiment of the present invention.
- FIG. 43 is a schematic diagram showing an example of an optical system and a detection system provided in the lens barrel shown in FIGS.
- FIG. 44 is a diagram showing a vacuum chamber and an XY stage in the tenth embodiment of the charged particle beam apparatus according to the present invention.
- FIG. 45 is a diagram showing an example of a differential pumping mechanism provided in the device shown in FIG.
- FIG. 46 is a diagram showing a gas circulation piping system in the apparatus shown in FIG.
- FIG. 47 is a schematic diagram of an optical system in the first embodiment of the charged particle beam device according to the present invention.
- FIG. 48 is a view for explaining an arrangement state of the lens barrel of the electron beam apparatus shown in FIG. Figure 49 is a diagram explaining the charge-up evaluation place and the evaluation method.
- FIG. 50 is a schematic configuration diagram of a defect inspection apparatus which is a 12th embodiment of the charged particle beam apparatus according to the present invention.
- FIG. 51 is a diagram showing an example of a plurality of images to be inspected and a reference image acquired by the defect inspection apparatus of FIG.
- FIG. 52 is a flow chart showing the flow of the main routine of the wafer inspection in the defect inspection apparatus of FIG.
- Fig. 53 shows the multiple inspection image data acquisition steps in Fig. 52 (step 19).
- FIG. 54 is a flowchart showing the detailed flow of the subroutine of the comparison step (step 1908) in FIG.
- FIG. 55 is a diagram showing a specific configuration example of a detector of the defect inspection device of FIG. 50.
- FIG. 56 is a diagram conceptually showing a plurality of inspected regions which are partially overlapped on the surface of the semiconductor wafer and are displaced from each other.
- the first embodiment of the charged particle beam apparatus relates to a projection system using an electron beam. First, a projection system will be described.
- the observation area of the sample is illuminated with a primary electron beam at once, that is, a fixed area is irradiated without scanning, and the secondary electron beam from the illuminated area is irradiated by a lens system.
- a primary electron beam at once, that is, a fixed area is irradiated without scanning
- the secondary electron beam from the illuminated area is irradiated by a lens system.
- This image is converted into an electric signal by a two-dimensional CCD (solid-state imaging device) or TDI-CCD (line image sensor) and output on a CRT or stored in a storage device. From this image information, defects on the sample wafer (semiconductor (S i) wafer in the process) are detected.
- the moving direction of the stage is either the short axis direction or the long axis direction, and the movement is a step-and-repeat method.
- the stage is moved continuously in the integration direction. Since images can be acquired continuously with TDI_CCD, use TDI_CCD for continuous defect inspection.
- the resolution is determined by the magnification and accuracy of the imaging optical system (secondary optical system). For example, a resolution of 0.05 tm has been obtained. In this case, the resolution is 0.1 l ⁇ m, and the electron beam irradiation condition is 200 ⁇ mX 5
- the inspection time is about one hour per 20 cm wafer, which is eight times faster than the SEM method.
- the specification of TD I ⁇ 1 CCD used here is 248 pixels (pixels) X 512 steps, and the line rate is 3.3 seconds (line frequency 300 kHz) .
- the irradiation area in this example is TD
- the detection device has a primary column 2001, a secondary column 2002, and a chamber 203.
- An electron gun 2004 is provided inside the primary column 2001, and a primary optical system 200 is provided on the optical axis of an electron beam (primary electron beam) emitted from the electron gun 204. 0 5 is placed.
- a stage 2000 is set inside the chamber 200 3, and a sample 2000 is placed on the stage 206.
- a force sword lens 208 an aperture aperture (NA, Numerica 1 Aerture) is placed on the optical axis of the secondary electron beam generated from the sample 2007.
- NA Numerica 1 Aerture
- the aperture aperture 2009 is equivalent to an aperture stop, and is a thin plate made of metal (Mo or the like) having a circular hole.
- the aperture has a focus position of the primary electron beam and a focal point of the cathode lens 208. It is arranged so that it may become a position. Therefore, the cathode lens 208 and the aperture aperture 209 constitute a telecentric electron optical system.
- the output of the detector 205 is input to the control unit 206, and the output of the control unit 206 is input to the CPU 201.
- the control signal of the CPU 210 is input to the primary column control unit 20 18, the secondary column control unit 20 19, and the stage drive mechanism 202.
- the primary column control unit 210 controls the lens voltage of the primary optical system 205, and the secondary column control unit 210 controls the power source lens 2008 and the second lens 201.
- the lens voltage control of the first to fourth lenses 2014 and the electromagnetic field applied to the Wien filter 2010 are performed.
- the stage drive mechanism 202 transmits the position information of the stage to the CPU 201.
- the primary column 200, the secondary column 2002, and the chamber 203 are connected to a vacuum exhaust system (not shown), and are exhausted by a vacuum pump of a vacuum exhaust system. The state is maintained.
- the primary electron beam emitted from the electron gun 20004 enters the Wien filter 2010 while undergoing a lens action by the primary optical system 20005.
- L a B 6 capable of extracting a large current with a rectangular cathode.
- the primary optical system 20005 uses a quadrupole or octupole electrostatic (or electromagnetic) lens that is asymmetric about the axis of rotation. This is similar to the so-called cylindrical lenses Focusing and divergence can be caused in each of the X and Y axes. By arranging this lens in two or three stages and optimizing each lens condition, the electron beam irradiation area on the sample surface can be arbitrarily rectangular or elliptical without losing the irradiation electron beam. Can be shaped. Specifically, when an electrostatic lens is used, four cylindrical rods are used, and the opposing electrodes (a and b, c and d) are set to the same potential, and mutually opposite voltage characteristics are given. It should be noted that the quadrupole lens may not be a cylindrical lens, but may be a lens obtained by dividing a circular plate generally used in an electrostatic deflector into four parts. In this case, the size of the lens can be reduced.
- the trajectory of the primary electron beam that has passed through the primary optical system 2000 is bent by the deflection action of the Wien filter 210.
- the win fill 210 can generate a magnetic field and an electric field so as to be orthogonal to each other, as described later.
- E the electric field
- B the magnetic field
- V the velocity of the electron
- the lens voltage of the primary optical system 205 is set in advance so that the primary electron beam forms an image at the opening of the aperture aperture 209.
- the aperture aperture 209 prevents unnecessary electron beams scattered in the apparatus from reaching the sample surface, and acts to prevent charge-up and contamination of the sample 207.
- the open lower aperture 209 and the cathode lens 208 constitute a telecentric electron optical system, the primary electron beam transmitted through the cathodic lens 208 becomes a parallel beam, and the sample 2 Irradiate 0.07 uniformly and uniformly. That is, Koehler illumination with an optical microscope is realized.
- the force sword lens 208 is composed of three electrodes. one The bottom electrode forms a positive electric field between the sample and the potential on the side of the sample side, attracts electrons (especially secondary electrons with low directivity), and is designed to efficiently guide it into the lens. ing.
- the lens action is performed by applying a voltage to the first and second electrodes of the force sword lens 208 and setting the third electrode to zero potential.
- the aperture aperture 209 is located at the focal position of the cathode lens 209, that is, at the back focus position from the sample 207. Therefore, the luminous flux of the electron beam emitted from outside the center of the field of view (off-axis) also becomes a parallel beam, and passes through the center position of the aperture aperture 2009 without any shaking.
- the aperture aperture 209 plays a role of suppressing the lens aberration of the second lens 201 to the fourth lens 210 with respect to the secondary electron beam.
- the secondary electron beam that has passed through the aperture aperture 209 travels straight without being subjected to the deflecting action of the Wien filter 209.
- By changing the electromagnetic field applied to the Wien filter 210 only electrons with a specific energy (for example, secondary electrons, reflected electrons or backscattered electrons) are detected from the secondary electron beam. Can be led to.
- the secondary electron beam is imaged only by the cathode lens 208, the lens action becomes stronger and aberrations are likely to occur. Therefore, one image formation is performed together with the second lens 201.
- the secondary electron beam obtains an intermediate image on the field aperture 210 by the force sword lens 208 and the second lens 201.
- the magnification required for the secondary optical system is often insufficient, so a third lens 201 and a fourth lens 214 were added as lenses for enlarging the intermediate image.
- the secondary electron beam is enlarged and imaged by the third lens 201 and the fourth lens 214, and here, the image is formed three times in total.
- the third lens 201 and the fourth lens 214 may be combined to form an image once (two times in total).
- the second lens 201, the third lens 201, and the fourth lens 214 are all rotationally symmetric lenses called Unipotential lenses or Einzel lenses.
- Each lens consists of three electrodes, usually two outer electrodes The potential is set to zero, and the lens is controlled by the voltage applied to the center electrode.
- a field aperture 201 is arranged at an intermediate imaging point. The field aperture 201 limits the field of view to the necessary range, similar to the field stop of an optical microscope. However, in the case of an electron beam, an extra beam It shuts off along with 0 14 to prevent charge-up contamination of detector 205.
- the magnification is set by changing the lens condition (focal length) of the third lens 201 and the fourth lens 214.
- the secondary electron beam is enlarged and projected by the secondary optical system, and forms an image on the detection surface of the detector 205.
- the detector 205 consists of a microchannel plate (MCP) that amplifies electrons, a fluorescent plate that converts electrons into light, a lens and other optical elements that relay the vacuum system to the outside and transmit optical images. And an image sensor (such as a CCD).
- MCP microchannel plate
- an image sensor such as a CCD
- the secondary electron beam forms an image on the MCP detection surface, is amplified, is converted into an optical signal by a fluorescent plate, and is photoelectrically converted by an image sensor to an electric signal.
- the control unit 210 reads the image signal of the sample from the detector 205 and transmits it to the CPU 201.
- the CPU 207 performs a pattern defect inspection on the image signal by template matching or the like.
- the stage 206 can be moved in the X and Y directions by a stage drive mechanism 202.
- the CPU 201 reads the position of the stage 206, outputs a drive control signal to the stage drive mechanism 202, drives the stage 206, and sequentially detects and inspects an image. .
- the aperture aperture 209 and the force sword lens 209 constitute a telecentric electron optical system
- the primary electron beam is uniformly applied to the sample. Can be irradiated. That is, color illumination can be easily realized.
- all the principal rays from the sample 2007 enter the cathode lens 208 perpendicularly (parallel to the optical axis of the lens), and the aperture aperture 200 Since the light passes through the sample, the ambient light is not blurred, and the image brightness around the sample does not decrease.
- the imaging position differs due to the variation in the energy of electrons.
- magnification is changed after passing through the aperture aperture 209, even if the set magnification of the lens conditions of the third lens 201 and the fourth lens 214 is changed, A uniform image is obtained over the entire field of view.
- the Wien-Fill filter is used to bend the trajectory of the primary electron beam and advance the secondary electron beam straight.
- the present invention is not limited to this, and a Vienna Phillips beam that advances the primary electron beam straight and bends the trajectory of the secondary electron beam may be used.
- a rectangular beam is formed from a rectangular cathode and a quadrupole lens.
- the present invention is not limited to this.
- a rectangular beam or an elliptical beam may be generated from a circular beam, or a circular beam may be slit. May be taken out to remove the rectangular peas.
- a plurality of beams may be scanned so that the entire area is uniformly irradiated with the electron beam. Scanning at this time may be performed by arbitrarily arranging a plurality of beams in a predetermined area (however, so that the irradiation amount becomes uniform).
- a thermionic beam source can be used as the electron beam source.
- Materials of the electron-emitting portion (E emitter) is L a B 6. However, due to its high melting point (low vapor pressure at high temperatures) As long as the material has a low work function, other materials can be used. Preference is given to those with a conical tip or a truncated cone with the tip of the cone cut off. The diameter of the tip of the truncated cone is about 100 m.
- a field emission type electron beam source or a thermal field emission type can be used. However, as in this embodiment, a relatively wide area (for example, 100 ⁇ 25 to 400 ⁇ ) is used.
- the thermal electron source using L a B 6 is most suitable.
- the SEM method generally uses a thermal field electron beam source.
- a thermionic electron beam source emits electrons by heating an electron-emitting material.
- a thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material, and further emits electrons. This means that the electron emission is stabilized by heating the emission part.
- the functions of the main components of the projection method are as follows.
- the primary electron optical system the part that forms an electron beam emitted from an electron gun into a beam and irradiates the wafer surface with a rectangular or circular (elliptical) electron beam is called the “primary electron optical system”.
- the primary electron optical system By controlling the lens conditions of the primary electron optical system, the size and current density of the electron beam can be controlled.
- the primary electron beam is perpendicularly incident on the wafer by the Wien filter located at the primary Z secondary electron optical system connection.
- a predetermined area can be irradiated with a uniform surface beam.
- a two-dimensional secondary electron image obtained by processing the secondary electron beam generated from the wafer by irradiation of the primary electron beam is converted into an electrostatic image corresponding to the objective lens.
- This image projection optical system that forms an image at the position of the field stop by the lenses (CL, TL) and enlarges and projects by the subsequent lens (PL) is called "secondary electron optical system".
- a negative bias voltage deceleration electric field voltage
- the deceleration electric field has a deceleration effect on the irradiation beam, reduces damage to the wafer (sample), and accelerates the secondary electron beam generated from the sample surface due to the potential difference between the CL and the wafer, resulting in chromatic aberration.
- Has the effect of reducing The electrons converged by the CL are imaged on the FA by the TL, and the image is enlarged and projected by the PL to form an image on the secondary electron beam detector (MCP).
- MCP secondary electron beam detector
- an NA is arranged between CL and TL, and by optimizing this, an optical system capable of reducing off-axis aberrations is configured.
- an electrostatic octupole (STIG) is arranged and corrected. It is preferable that the misalignment is corrected by a deflector (OP) arranged between the lenses. This makes it possible to achieve a mapping optical system with uniform resolution within the field of view.
- Vienna Filler 210 is a unit of an electromagnetic prism optical system that arranges electrodes and magnetic poles in an orthogonal direction and generates an electric field and a magnetic field in an orthogonal relationship.
- an electromagnetic field When an electromagnetic field is selectively applied, an electron beam entering the site from one direction is deflected, and an electron beam entering from the opposite direction travels straight.
- This is because it is possible to create a condition (the Wien condition) in which the force of the electrons received from the electric field and the force received from the magnetic field cancel each other, whereby the primary electron beam is deflected and irradiated vertically on the wafer, The secondary electron beam goes straight to the detector.
- the field generated by the electron beam deflecting unit has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the optical axis of the mapping projection optical unit, that is, an XB structure.
- the electric field is generated by the electrodes 230a and 230b having concave curved surfaces.
- the electric fields generated by the electrodes 230a and 230b are controlled by the control units 2031a and 2031b, respectively.
- a magnetic field is generated by arranging the electromagnetic coils 23032a and 23032b so as to be orthogonal to the electric field generating electrodes 203030a and 203030b.
- a magnetic path is formed by providing a pole piece having a parallel plate shape. Electrodes for generating an electric field 203 0 a and 203 0 0 b may be symmetrical with respect to point 2034, but may be concentric.
- FIG. 2B is a vertical cross-sectional view taken along a plane perpendicular to the electrodes 230a and 2300b through the point 2034 in FIG. 2A.
- the irradiated electron beams 20035a and 20035b are composed of an electric field generated by the electrodes 2030a and 2030b, and a magnetic field generated by the electromagnetic coils 2031a and 20031b. After being deflected by the field, it is incident vertically on the sample surface.
- the incident position and angle of the window fill 210 10 of the irradiated electron beams 20 35 a and 20 35 b are uniquely determined when the electron energy is determined.
- the secondary electron beam travels straight through the Vienna Filler 210 and enters the mapping projection optical unit.
- V is the electron velocity (mZs)
- B is the magnetic field (T)
- e is the charge (C)
- E is the electric field (VZm).
- the image of the secondary electron beam from the wafer, which is imaged by the secondary optics, is first amplified by a microchannel plate (MCP), and then converted into a light image on a phosphor screen.
- MCP microchannel plate
- the MCP is a bundle of millions of very thin conductive glass capillaries with a diameter of 6 to 25 m and a length of 0.24 to 1.0 ⁇ , and is shaped into a thin plate. By doing so, each one of the cavities works as an independent secondary electron amplifier, To form a secondary electron amplifier.
- the image converted to light by this detector is projected one-to-one onto a TDI-CCD by a F ⁇ P system placed in the atmosphere through a vacuum transmission window. '
- the inspection apparatus according to the first embodiment can increase the throughput of the inspection apparatus using an electron beam.
- FIG. 3 shows an example of a method for manufacturing a semiconductor device using the first embodiment of the present invention, and includes the following main steps.
- Wafer manufacturing process for manufacturing wafers or wafer preparation process for preparing wafers
- Chip assembly process to cut out chips formed on a wafer one by one and make them operable
- the wafer processing step (3) has a decisive effect on the performance of semiconductor devices.
- the designed circuit patterns are sequentially stacked on a wafer, and a number of chips that operate as a memory or MPU are formed.
- This wafer processing step includes the following steps.
- a thin film forming process for forming a dielectric thin film to be an insulating layer, a wiring portion, or a metal thin film to form an electrode portion using CVD, sputtering, etc.
- the wafer processing process is repeated for the required number of layers to manufacture semiconductor devices that operate as designed.
- FIG. 4 is a flowchart showing a lithography step (C) which is the core of the wafer processing step of FIG.
- This lithography step includes the following steps.
- the defect inspection apparatus of the present invention is used for the inspection step (G), even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed, thereby improving product yield and improving defects Product shipment can be prevented. This will be described with reference to FIG.
- defect inspection equipment using electron beams is expensive and throughput is lower than other process equipment, so these defect inspection equipment are currently considered to be the most necessary inspections It is used after various processes (eg, etching, deposition or CMP (chemical mechanical polishing) planarization). Therefore, the wafer to be inspected is positioned on an ultra-precision XY stage through an atmospheric transfer system and a vacuum transfer system, and then fixed by an electrostatic chuck mechanism, etc., and thereafter shown in Fig. 4 (b). Defect inspection and the like are performed according to the procedure.
- the position of each die and the height of each position are detected and stored by an optical microscope as necessary.
- the optical microscope is also used to obtain optical microscope images of places where defects are desired to be observed and to compare them with electron beam images.
- input the recipe information according to the type of wafer (after the process, whether the size of the wafer is 20 cm or 30 cm, etc.) into the equipment, and then specify the inspection location,
- defect inspection is usually performed in real time while acquiring images.
- a high-speed information processing system equipped with an algorithm performs inspections by comparing cells, comparing die, etc., and outputs the results to a CRT or the like and stores them in memory as necessary.
- Defects include particle defects, shape defects (pattern defects), and electrical defects (such as disconnection and poor conduction of wiring or vias). These defects can be distinguished, the size of the defects, and killer defects (chip use). Classification of critical defects that would be impossible) can be automatically performed in real time.
- the detection of an electrical defect is achieved by detecting a potential contrast abnormality.
- a place with poor conduction is normally charged positively by electron beam irradiation (about 500 eV), and the contrast is lowered, so that it can be distinguished from a normal place.
- the electron beam irradiating means in this case is a low-potential (energy) electron beam generating means (thermo-electron generation, UVZ Photoelectron). This low potential (energy) electron beam is generated and irradiated before the inspection target area is irradiated with the electron beam for inspection.
- defects can also be detected due to the difference in contrast caused by applying a positive or negative potential to a sample such as a wafer with respect to the reference potential (because the flowability differs depending on the forward or reverse direction of the element). It is possible to detect.
- a defect inspection device can also be used for a line width measurement device and alignment accuracy measurement.
- a voltage of a portion which is originally electrically insulated is different from a voltage when the portion is in an energized state.
- the charged particle beam device according to the present invention irradiates a charged particle beam to acquire data having a voltage difference. Analyze, It detects that the power is on.
- Embodiment relating to inspection device (second embodiment)
- the second embodiment of the present invention relates to an electron beam apparatus suitable for inspecting a defect or the like of a pattern formed on a surface of an inspection object using an electron beam, for example, a defect of a wafer in a semiconductor manufacturing process.
- an electron beam is illuminated on the object to be inspected, a secondary electron beam that changes according to the surface properties of the object is captured, image data is formed, and the object is inspected based on the image data.
- the present invention relates to an electron beam apparatus suitable for an inspection apparatus for inspecting a pattern or the like formed on a surface of the device at a high throughput, and a device manufacturing method for manufacturing a device with a high yield using such an electron beam apparatus.
- a device using a scanning electron beam microscope already on the market is known.
- This device scans a narrowly focused electron beam with a raster width that is extremely small, and detects a secondary electron beam emitted from the inspection object with the secondary electron beam detector during scanning, and an SEM image.
- the SEM image is extracted from the same location on different dies and defects are extracted.
- the beam size is small, the pixel size is naturally small, and the raster width is small, so that a lot of time is required for defect inspection.
- the beam current was increased to increase the throughput, the wafer with an insulator on the surface was charged, and a good SEM image could not be obtained.
- An electron optical device that irradiates an electron beam for inspection, and an inspection device that considers the relationship between the inspection target and the other subsystems that supply the inspection target to the irradiation position of the electron optical device in a clean state and perform alignment Little has been said about the overall structure until now.
- the diameter of wafers to be inspected has been increasing, and there has been a demand for subsystems to be able to handle them.
- the second embodiment of the present invention has been proposed in view of the above problems, and uses an electron optical system using an electron beam, and configures the electron optical system and the device.
- An electron beam device that can solve the problem of electrification, which was a problem with SEM, and accurately inspect the inspection target.
- the semiconductor inspection apparatus 1 defines a cassette holder 10 for holding a cassette containing a plurality of wafers, a mini-environment apparatus 20, and a working chamber.
- 5 and 6 (a) of FIG. 5 and FIG. 6 are provided with an orifice 60 to be loaded on a stage device 50 disposed in the vacuum housing and an electro-optical device 70 attached to a vacuum housing. They are arranged in a positional relationship as shown.
- the semiconductor inspection apparatus 1 further includes a precharge unit 81 disposed in a vacuum main housing 30, a potential applying mechanism 83 for applying a potential to the wafer (shown in FIG. 12), and an electronic device.
- a line calibration mechanism 85 (shown in FIG. 13) is provided, and an optical microscope 871 constituting an alignment control device 87 for positioning the wafer on the stage device.
- the cassette holder 10 is a cassette c (for example, SMIF, manufactured by Assist Inc.) in which a plurality of (for example, 25) wafers are stored in a state of being arranged in parallel in the vertical direction. A plurality of (two in this embodiment) closed cassettes such as FOUPs are held.
- the cassette holder has a structure suitable for transporting the cassette by means of a lopot or the like and automatically loading the cassette into the cassette holder 10, and an appropriate cassette for manually loading the cassette holder.
- the cassette holder 10 can be arbitrarily selected and installed. (In this embodiment, the cassette holder 10 has a format in which the cassette c is automatically loaded. And a lifting mechanism 12 that moves the lifting tail 11 up and down.
- the cassette c can be automatically set on the lifting table in the state shown by the chain line in Fig. 6 (a). After setting, it is automatically rotated to the state shown by the solid line in (a) of Fig. 6 and is directed to the rotation axis of the first transport unit in the mini-environment device. 1 The cassette is lowered to the state shown by the dashed line in Fig. 5.
- the cassette holder used for automatic loading or the cassette holder used for manual loading has a known structure as appropriate. A detailed description of its structure and function is omitted because it can be used.
- FIG. 6 (b) shows a modification of the mechanism for automatically loading a cassette.
- the wafer transfer box 28 is provided with a rectangular cylindrical box body 501 and an opening 29 on the side surface of the box body 501, and is connected to an automatic door opening / closing device and can be opened and closed by a machine.
- C Holds the loading / unloading door (502), the lid (503) located on the opposite side of the opening (29) and covers the opening (29) for attaching and detaching filters and fan motors, It is composed of a groove-type pocket (not shown) for carrying out the operation, an 11 1-? Fill filter 505, a chemical fill filter 506, and a fan motor 507.
- the wafer W is put in and taken out of the first transfer unit 612 of the mouth pot type of the loader 60.
- the substrate or wafer contained in the cassette c is a wafer to be inspected. After or during the process. Specifically, a substrate that has been subjected to a film forming process, CMP, ion implantation, or the like, a wafer having a wiring pattern formed on its surface, or a wafer having no wiring pattern formed thereon is housed in a cassette. . Since a large number of wafers accommodated in the cassette c are arranged side by side in parallel at a distance in the vertical direction, the first transfer unit is used to hold the wafer at an arbitrary position in a first transfer unit described later. The arm of the unit can be moved up and down.
- the mini-environment device 20 includes a housing 22 that defines a mini-environment space 21 to be controlled in atmosphere, and a mini-environment space 21.
- Gas circulation device 23 for circulating gas such as clean air to control atmosphere, and discharge device 24 for collecting and discharging a part of the air supplied into the mini environment space 21
- a briar liner 25 arranged in the mini-environment space 21 to roughly position a substrate, ie, a wafer, to be inspected.
- the housing 22 has a top wall 22 1, a bottom wall 22 2, and a peripheral wall 22 3 surrounding four circumferences, and has a structure that blocks the mini-environment space 21 from the outside.
- the gas circulation device 23 is mounted on the top wall 22 1 in the mini-environment space 21 as shown in FIG.
- the air supply unit 231 which purifies the clean air through one or more gas outlets (not shown) and flows in a laminar flow directly downward through one or more gas outlets (not shown), and a mini environment space.
- a collection duct 23, which is arranged on the bottom wall 222 and collects air flowing down toward the bottom, a collection duct 23, and a gas supply unit 231, And a conduit for returning the recovered air to the gas supply unit.
- the gas supply unit 231 is designed to take in about 20% of the supplied air from outside the housing 22 and clean it.
- the ratio can be arbitrarily selected.
- Gas supply unit Knit 231 is equipped with a HEPA or ULPA filter of known construction to create clean air.
- the laminar downward flow of the clean air, i.e., the downflow is mainly supplied so as to flow through a transfer surface provided by a first transfer unit, which will be described later, disposed in the mini-environment space 21. Dust that may be generated by the wafer is prevented from adhering to the wafer. Therefore, the downflow nozzle does not necessarily need to be located near the top wall as shown in the figure, but may be located above the transport surface of the transport unit. Also, there is no need to flow over the entire mini-environment space.
- cleanliness can be ensured by using ionic wind as clean air.
- a sensor for observing cleanliness can be provided in the mini-environment space, and the device can be shut down when cleanliness deteriorates.
- a doorway 25 is formed in a portion of the peripheral wall 22 of the housing 22 adjacent to the cassette holder 10.
- a shutter having a known structure may be provided near the entrance / exit 225 to close the entrance / exit 225 from the mini-environment device side.
- the downflow of the laminar flow created near the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec.
- the gas supply unit may be provided outside the mini-environment space instead of inside.
- the discharge device 24 includes a suction duct 241, which is disposed below the transfer unit at a position below the wafer transfer surface of the transfer unit, and a blower 1, which is disposed outside the housing 22. And a conduit connecting the suction duct and the blower.
- the exhaust device 24 sucks gas containing dust that may flow around the transport unit and may be generated by the transport unit by the suction duct 241, and the conduits 24, 24 Discharge to the outside of the housing 22 through the blower 4 and the blower 24. In this case, it may be discharged into an exhaust pipe (not shown) drawn near the housing 22.
- the aligner 25 arranged in the mini-environment space 21 has an orientation flat formed on the wafer (a flat flat formed on the outer periphery of the circular wafer). Or an orifice), or one or more V-shaped notches or notches formed on the outer edge of the wafer by optical or mechanical detection.
- the position in the rotation direction around the axis 0-0 of the wafer is preliminarily positioned with an accuracy of about 1 degree.
- the pre-liner constitutes a part of a mechanism for determining the coordinates of the inspection object of the invention described in the claims, and is in charge of coarse positioning of the inspection object. Since the briar liner itself may have a known structure, a description of its structure and operation will be omitted.
- a collection duct for a discharging device may be provided below the briar liner to discharge air containing dust discharged from the briar liner to the outside.
- the main housing 30 that defines the working champer 31 includes a housing body 32, and the housing body 32 is disposed on the base frame 36. It is supported by a housing support device 33 mounted on a vibration isolator or vibration isolator 37.
- the housing support device 3 3 includes a frame structure 3 3 1 that is formed in a rectangular shape.
- the housing body 3 2 is disposed and fixed on the frame structure 3 3 1, and the bottom wall 3 2 1 placed on the frame structure, the top wall 3 2 2, the bottom wall 3 2 1 and the top wall
- a peripheral wall 3 23 which is connected to 3 22 and surrounds four circumferences is provided to isolate the working chamber 31 from the outside.
- the bottom wall 3 21 is made of a relatively thick steel plate so as not to generate a distortion due to a load imposed by a device such as a stage device placed thereon. It may be.
- the housing body and the housing support device 33 are assembled in a rigid structure, and a vibration isolator for preventing vibration from the floor on which the base frame 36 is installed from being transmitted to the rigid structure. It stops at 3 7.
- An entrance / exit 325 for taking in / out a wafer is formed on a peripheral wall of the peripheral wall 32 of the housing body 32 adjacent to a loader housing to be described later.
- the vibration isolator may be an active type having an air spring, a magnetic bearing, or the like, It may be a passive type having: Since each of them may have a known structure, the description of the structure and function of itself is omitted.
- the working chamber 31 is maintained in a vacuum atmosphere by a vacuum device (not shown) having a known structure.
- a control device 2 for controlling the operation of the entire device is disposed below the base frame 36, and the control device 2 mainly includes a main controller, a control controller, and a stage controller.
- the main controller is equipped with a man-machine interface through which the operator's operations are performed (various instructions / commands, input of recipes, etc., instructions to start inspection, switching between automatic and manual inspection modes) Input of all necessary commands in the manual inspection mode, etc.).
- the main controller also communicates with the host computer at the factory, controls the evacuation system, transports samples such as wafers, controls positioning, transmits commands to other control controllers and stage controllers, and receives information.
- stage vibration correction function to correct the image deterioration by feeding back the stage fluctuation signal to the electron optical system, Z direction of the sample observation position (axial direction of the secondary optical system) It has an automatic focus correction function that detects the displacement of the lens and feeds it back to the electron optical system to automatically correct the focus.
- the transmission and reception of the feedback signal and the like to the electron optical system and the transmission and reception of the signal from the stage are performed via the control controller and the stage controller, respectively.
- the controller is mainly responsible for the control of the electron optical system (such as the control of a high-precision power supply for the electron gun, lens, aligner, Wienfill, etc.). Specifically, a constant electron current is always applied to the irradiation area even when the magnification changes, and the voltage applied to each lens system aligner is automatically set for each magnification. Control (interlocking control) such as automatic voltage setting to each lens system liner corresponding to each operation mode.
- the stage controller mainly controls the movement of the stage, and enables precise im-order movement in the X and Y directions (error of about ⁇ 0.5). Also, the stage controller controls the rotation direction of the stage. Control) within about 0.3 seconds.
- the loader housing 40 includes a housing body 43 that defines a first opening chamber 41 and a second loading chamber 42. ing.
- the housing body 4 3 partitions the bottom wall 4 3 1, the top wall 4 3 2, the surrounding wall 4 3 3 surrounding the four circumferences, the first loading chamber 4 1 and the second opening chamber 4 2. It has a partition wall 4 3 4 so that the one-sided one chamber can be isolated from the outside.
- the partition wall 4 34 has an opening, ie, an entrance 4 35, through which wafers are exchanged between both sides of the chamber.
- entrances 436 and 437 are formed in a portion adjacent to the mini-environment device and the main housing of the peripheral wall 4 33.
- the housing body 43 of the loader housing 40 is a housing support device 3 3 is mounted on and supported by the frame structure 3 3 1. Therefore, floor vibration is not transmitted to the loader housing 40.
- the entrance 4 3 6. of the loader housing 40 and the entrance 2 2 6 of the mini-environment device 2 2 are aligned, where the mini-environment space 21 and the first loading chamber 4 1 are located.
- a shirt evening device 27 is provided to selectively block communication with the shirt.
- the shirting device 27 cooperates with the sealing material 27 1 and the sealing material 27 1, which are tightly in contact with and fixed to the side walls 4 3 3 around the entrances 2 2 6 and 4 3 6. It has a door 272 that blocks the flow of air through the doorway, and a drive device 273 that moves the door.
- the entrance 437 of the loader housing 40 and the entrance 3235 of the housing body 32 are aligned, and the communication between the second loading chamber 42 and the working chamber 31 is selectively provided there.
- the shut-off device 45 includes a sealing material 451, which is in close contact with the side walls 433 and 323 around the entrances 437 and 325 and is fixed thereto, and a seal neo 45. It has a door 45, 2 that cooperates with 1 to block the flow of air through the doorway, and a driving device 453 that moves the door. Further, the opening formed in the partition wall 4 3 4 is provided with a shut-off device 46 which is closed by a door 4 61 to selectively prevent the communication between the first and second loading chambers from being sealed. Have been. These shirting devices 27, 45 and 46 are adapted to be able to hermetically seal each chamber when closed.
- a wafer rack 47 for supporting a plurality of (two in this embodiment) wafers vertically in a horizontal state is disposed in the first loading champer 41.
- the wafer rack 47 has columns 4 72 fixed upright at four corners of a rectangular substrate 4 71, and each column 4 72 is provided with a column.
- Two-stage support portions 473 and 474 are formed, and the peripheral edge of the wafer W is placed and held on the support portions. Then, the tips of the arms of the first and second transport units, which will be described later, approach the wafer from between adjacent columns, and the arm grips the wafer.
- Mouth one loading chamber 4 1 and 4 2 well-known structures evacuation system comprising a vacuum pump (not shown) high vacuum (not shown) (1 0 one 5 ⁇ 1 0- 6 P a as vacuum) Atmosphere can be controlled.
- the first loading chamber 41 is kept in a low vacuum atmosphere as a low vacuum chamber
- the second loading chamber 42 is kept in a high vacuum atmosphere as a high vacuum chamber, thereby effectively preventing wafer contamination.
- the throughput of defect inspection can be improved together with the principle of a multi-beam electron beam apparatus described later, and the storage state can be maintained in a high vacuum state.
- the degree of vacuum around the electron beam source required to be maintained can be as high as possible.
- the evacuation system is composed of a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, and the like, and evacuates the electron optical system, the detector, the sample chamber, and the load lock chamber according to a predetermined sequence.
- the vacuum valves are controlled to achieve the required degree of vacuum in each part. For this purpose, the degree of vacuum is monitored at all times, and in the event of an abnormality, the vacuum is secured by performing emergency control of the isolation valve and the like using the lock function.
- a vacuum pump a turbo molecular pump is used for main exhaust, and a roots type dry pump is used for roughing.
- Pressure inspection site is 1 0- 3 ⁇ 1 0- 5 P a , preferably 1 0 4 - Part 1 decade: a 1 0 6 P a practical.
- the first and second loading chambers 41 and 42 are connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (for example, dry pure nitrogen).
- an inert gas for example, dry pure nitrogen.
- the atmospheric pressure state in each loading chamber is achieved by an inert gas vent (injecting an inert gas to prevent oxygen gas other than the inert gas from adhering to the surface). Since the apparatus itself for performing such inert gas venting may have a known structure, a detailed description thereof will be omitted.
- L a B 6 lanthanum hexaboride
- the stage device 50 is composed of a fixed table 51 arranged on the bottom wall 3 21 of the main housing 30 and a Y table which moves in the Y direction (perpendicular to the paper in FIG. 5) on the fixed table. 5 and 2, an X table 53 that moves in the X direction on the Y table (in the horizontal direction in Fig. 3), a rotating table 54 that can rotate on the X table, and a rotating table 54
- the holder 55 is provided. Its holder 5 5 The wafer is releasably held on the wafer mounting surface 5 51 of the above.
- the holder may have a known structure capable of releasably holding the wafer mechanically or by an electrostatic chuck method.
- the stage device 50 operates a plurality of tables as described above using a servomotor, an encoder, and various sensors (not shown), and thereby the wafer held by the holder on the mounting surface 551 is moved.
- Highly accurate positioning in the X, Y, and Z directions (vertical direction in Fig. 5) with respect to the electron beam emitted from the electron optical device, and in the direction around the vertical axis (0 direction) on the wafer support surface. You can do it.
- the positioning in the Z direction may be made, for example, such that the position of the mounting surface on the holder can be finely adjusted in the Z direction.
- the reference position of the mounting surface is detected by a position measuring device (laser interferometer using the principle of an interferometer) using a fine diameter laser, and the position is controlled by a feedback circuit (not shown).
- a position measuring device laser interferometer using the principle of an interferometer
- the position is controlled by a feedback circuit (not shown).
- the position of the notch or orientation flat of the wafer is measured to detect the plane position and the rotation position of the wafer with respect to the electron beam, and the rotary table is rotated and controlled by a stepping motor capable of controlling a small angle.
- the servo motors 521, 531 and the encoders 52, 52, 32 for the stage device are arranged outside the main housing 30.
- the stage device 50 may have a known structure used in, for example, a stepper, and a detailed description of its structure and operation will be omitted. Also, since the laser interference distance measuring device may have a known structure, detailed description of its structure and operation will be omitted.
- the wafer chuck mechanism provided in this holder is adapted to apply a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and to apply the voltage to three points on the outer periphery of the wafer (preferably in the circumferential direction). (Separated by a gap) to position.
- the wafer chuck mechanism has two fixed positioning pins and one pressing crank pin.
- the clamp pin is Dynamic release can be realized, and it constitutes a conduction part for voltage application.
- the table that moves in the left and right direction is the X table and the table that moves in the vertical direction is the Y table in FIG. 6 (a).
- the table to be moved may be the Y table, and the table moving up and down may be the X table.
- the loader 60 is disposed in a first transport unit 61 of a lopot type disposed in a housing 22 of the mini-environment device 20 and in a second mouthing chamber 42. And a second transport unit 63 of a ropot type.
- the first transport unit 61 has a multi-articulated arm 612 that is rotatable about an axis —0! With respect to the drive unit 611. Although any structure can be used as the multi-joint arm, this embodiment has three portions that are rotatably attached to each other.
- One part of the arm 612 of the first transport unit 61, that is, the first part closest to the drive unit 611, is a drive mechanism having a known structure provided in the drive unit 611 (shown in FIG.
- the arm 6 1 2 is rotatable around the axis — by the shaft 6 13, and is relatively expandable and contractible in the radial direction with respect to the axis — as a whole by relative rotation between the parts.
- a gripping device 6 16 for gripping a wafer such as a mechanical chuck or an electrostatic chuck having a known structure.
- the driving unit 611 is vertically movable by a lifting mechanism 615 having a known structure.
- the arm extends in one direction M1 or M2 of one of the two cassettes c held by the cassette holder, and the arm 612 extends into the cassette c.
- the accommodated wafer is placed on one arm or taken out by a chuck (not shown) attached to the tip of the arm.
- the arm contracts (the state shown in FIG. 6A), and the arm rotates to a position where it can extend in the direction M3 of the briar liner 25 and stops at that position.
- the arm extends again, and the wafer held by the arm is placed on the pre-liner 25.
- Step M 4 After receiving the wafer from the lower liner in the opposite manner, the arm rotates further and stops at a position (direction M 4) where it can extend toward the second loading chamber 41, and the second loading champer 4 1 Deliver the wafer to the wafer receiver 47 inside.
- the second transfer unit 63 has basically the same structure as the first transfer unit, and is different only in that the wafer is transferred between the wafer rack 47 and the mounting surface of the stage device. Detailed description is omitted.
- the first and second transfer units 61 and 63 transfer the cassettes held in the cassette holder onto the stage device 50 disposed in the working chamber 31 and vice versa.
- the transfer of the wafer is performed until the transfer of the wafer is almost horizontal, and the arm of the transfer unit moves up and down simply by taking the wafer out of the cassette, inserting it into the cassette, and placing the wafer on the wafer rack. It is only possible to take out the wafer therefrom, and place the wafer on the stage device and take it out therefrom. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm can be smoothly moved.
- the cassette holder 10 should have a structure suitable for manually setting the cassette, and a structure suitable for automatically setting the cassette. Is done.
- the elevating table 11 is lowered by the elevating mechanism 12 and the cassette c is moved to the entrance 2 25. Aligned.
- the cover provided on the cassette (Not shown) is opened, and a cylindrical cover is arranged between the cassette c and the entrance and exit of the mini-environment 225 to block the inside of the cassette and the mini-environment space from the outside. Since these structures are publicly known, detailed description of the structure and operation is omitted. If a shut-off device for opening / closing the entrance / exit 22 5 is provided on the mini-environment device 20 side, the shutter device operates to open the entrance / exit 25.
- the arm 612 of the first transport unit 61 stops in a state facing either the direction M1 or M2 (in this description, the direction of M1), and the entrance 225 opens.
- the arm extends to receive one of the wafers housed in the cassette at the tip.
- the vertical position adjustment between the arm and the wafer to be taken out of the cassette is performed by the vertical movement of the drive unit 611 of the first transfer unit 61 and the arm 6122.
- the cassette holder may be moved up and down, or both.
- the arm 612 When the arm 612 completes receiving the wafer, the arm shrinks, operates the shirting device and closes the doorway (if there is a shirting device), and then the arm 612 moves around the axis O i—O, To be able to extend in the direction M3. Then, the arm is extended and the wafer placed on the tip or gripped by the chuck is placed on the pre-aligner 25, and its briar liner is used to rotate the wafer in the direction of rotation (the direction around the central axis perpendicular to the wafer plane). ) Is positioned within the specified range.
- the transfer unit 61 receives the wafer from the pre-aligner 25 at the tip of the arm, and then contracts the arm, so that the arm can be extended in the direction M4. Then, the door 27 of the shut-down device 27 moves to open the entrances 22 and 43, and the arm 61 extends so that the wafer can be moved to the upper stage of the wafer rack 47 in the first loading chamber 41. On the side or lower side. Before the shutter device 27 is opened and the wafer is transferred to the wafer rack 47 as described above, the opening 4 35 formed in the partition wall 4 3 4 is closed by the door 4 6 1 of the shutter device 4 6. It is closed in an airtight state.
- the mini-environment port Clean air flows in a laminar flow from the gas supply unit 231, which is provided above the housing of the device (as a down flow), to prevent dust from adhering to the upper surface of the wafer during transfer.
- Part of the air around the transfer unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24. And is discharged out of the housing. The remaining air is collected through a collection duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.
- the shutter device 27 When a wafer is placed on the wafer rack 47 in the first loading chamber 41 of the loader housing 40 by the first transfer unit 61, the shutter device 27 is closed and the inside of the loading chamber 41 is closed. Seal. Then, after the first loading chamber 41 is filled with an inert gas and air is expelled, the inert gas is also discharged, and the inside of the loading chamber 41 is evacuated.
- the vacuum atmosphere of the first loading champer may be a low vacuum.
- the shut-off device 4 6 When a certain degree of vacuum in the opening champer 4 1 is obtained, the shut-off device 4 6 operates to open the entrance 4 3 4 sealed with the door 4 6 1, and the second transfer unit 6 3
- the arm 6332 is extended to receive one wafer from the wafer receiver 47 by the gripping device at the tip (placed on the tip or gripped by the chuck attached to the tip). When the wafer has been received, the arm contracts and the shirting device 46 operates again to close the doorway 4 3 5 with the door 4 6 1.
- the arm 632 Before the shirting device 46 is opened, the arm 632 is brought into a posture in which the arm 632 can be moved in the direction N1 of the wafer rack 47 in advance. Also, as described above, before the shirt evening device 46 opens, the doors 437, 325 are closed with the door 452 of the shutter device 45, and the inside of the second mouthing chamber 42 is closed. The communication with the working chamber 31 is blocked in an airtight state, and the inside of the second loading chamber 42 is evacuated.
- the shutter device 46 closes the entrance 435, the inside of the second loading chamber is evacuated again, and the vacuum is evacuated to a higher degree of vacuum than in the first loading chamber.
- the arm of the second transport unit 61 is It is rotated to a position where it can extend in the direction of the stage device 50 in the bus 31.
- the Y table 52 corresponds to the center line X G — X of the X table 53. Is moved upward in FIG. 6 (a) until the position substantially coincides with the X-axis X, —X, passing through the rotation axis 0 2 — 0 2 of the second transport unit 63. 53 moves to a position approaching the leftmost position in FIG. 6 (a), and stands by in this state.
- the door 45 of the shutter device 45 moved to open the entrances 437, 325, and the arm extended to hold the wafer.
- the tip of the arm approaches the stage device in the working chamber 31.
- the wafer is mounted on the mounting surface 55 1 of the stage device 50.
- the arm contracts, and the shirting device 45 closes the entrances 437 and 3225.
- the operation up to the transfer of the wafer in the cassette c to the stage device has been described.How to return the processed wafer placed on the stage device from the stage device to the cassette c as described above. Perform the reverse operation and return.
- the cassette is set in the first transfer unit while the wafer is transferred between the wafer rack and the stage device in the second transfer unit. The wafer can be transferred between the rack and the wafer rack, and the inspection process can be performed efficiently.
- the unprocessed wafers B are placed in the stage device 50. Move to start processing. During this process, the processed wafer A is moved from the stage device 50 to the wafer rack 47 by the arm, and the unprocessed wafer C is also extracted from the wafer rack 47 by the arm and positioned by the pre-aligner. The wafer is moved to the wafer rack 47 of the loading chamber 41. By doing so, in the wafer rack 47, the processed wafer A can be replaced with the unprocessed wafer C while the wafer B is being processed.
- FIG. 10 shows the main housing support A variant of the method is indicated by.
- the housing support device 33a is composed of a thick, rectangular steel plate 33a, and the housing body 32a is mounted on the steel plate. I have. Therefore, the bottom wall 32 21 a of the housing main body 32 a has a thinner structure than the bottom wall of the embodiment.
- the housing body 32b and the loader housing 40b are suspended and supported by the frame structure 3336b of the housing support device 33b. It has become.
- the lower ends of the plurality of vertical frames 3 3 7 b fixed to the frame structure 3 3 6 b are fixed to the four corners of the bottom wall 3 2 1 b of the housing body 3.2 b, and the peripheral wall and the top wall are fixed by the bottom wall. It has come to support.
- the vibration isolator 37b is arranged between the frame structure 33b and the base frame 36b.
- the loader housing 40 is also suspended by a suspension member 49b fixed to the frame structure 336.
- the main body and the various devices provided therein can be lowered in the center of gravity because they are suspended and supported.
- vibration from the floor is not transmitted to the main housing and the opening.
- only the main housing outside of the main housing is supported from below by the housing support device, and the loader housing can be placed on the floor in the same way as the adjacent mini-environment device.
- only the housing body of the main housing is supported by the frame structure in a suspended manner, and the loader housing can be arranged on the floor in the same manner as the adjacent mini-environment device.
- the electron optical device 70 includes a lens barrel 71 fixed to a housing main body 32, in which a primary electron optical system (hereinafter simply referred to as a primary optical system) as schematically shown in FIG. System) 72 and an electron optical system including a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 74 and a detection system 76 are provided.
- a primary electron optical system hereinafter simply referred to as a primary optical system
- a secondary optical system an electron optical system including a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 74 and a detection system 76 are provided.
- the primary optical system 72 is an optical system that irradiates the surface of the wafer W to be inspected with an electron beam, and includes an electron beam source 721, which emits an electron beam, and primary electrons emitted from the electron beam source 721, It has a lens system 722 consisting of an electrostatic lens that focuses lines, a Wien filter (ie EXB separator or EXB filter) 723, and an objective lens system 724, which are As shown in FIG. 1, the electron beam sources 7 21 are arranged in order with the electron beam sources 7 2 1 at the top.
- the lens constituting the objective lens system 724 of this embodiment is a deceleration electric field type objective lens.
- An electrode 725 is arranged between the objective lens system 724 and the wafer W to be inspected.
- the electrode 725 has an axially symmetric shape with respect to the irradiation optical axis of the primary electron beam, and is controlled in voltage by a power supply 726.
- the secondary optical system 74 includes a lens system 741, which is an electrostatic lens that passes the secondary electron beam separated from the primary optical system by the win filter 723.
- This lens system 741 functions as a magnifying lens that magnifies the secondary electron beam image.
- the detection system 76 includes a detector 761 and an image processing unit 763 arranged on the image plane of the lens system 41.
- the primary electron beam emitted from the electron beam source 7221 is focused by the lens system 7222.
- the converged primary electron beam enters the EXB-type deflector 723 and is deflected so that it irradiates the surface of the wafer W vertically, and is imaged on the surface of the wafer W by the objective lens system 724. Is done.
- the secondary electron beam emitted from the wafer by the irradiation of the primary electron beam is accelerated by the objective lens system 724, is incident on the EXB deflector 723, and travels straight through the deflector to form the secondary optical system. Is guided to the detector 761 by the lens system 741. Then, the signal is detected by the detector 761, and the detection signal is sent to the image processing unit 763. It is.
- the electric field on the electron beam irradiation surface of the wafer is 0 to 10 0.1 V Zmm ( This indicates that the wafer W side is at a high potential).
- the defect inspection of the wafer W was performed without any discharge occurring between the objective lens system 724 and the wafer W, but the detection efficiency of the secondary electron beam was slightly reduced. Therefore, a series of operations of irradiating an electron beam and detecting a secondary electron beam are performed, for example, four times, and the obtained detection results for the four times are subjected to processing such as cumulative addition and averaging to achieve a predetermined detection sensitivity. Obtained.
- the voltage applied to the electrode 7 25 is set to +350 V when there is no via b in the wafer, no discharge occurs between the objective lens system 72 4 and the wafer, and the defect of the wafer W does not occur.
- the inspection was successful.
- the secondary electron beam is focused by the voltage applied to the electrode 725 and further focused by the objective lens 724, the detection efficiency of the secondary electron beam in the detector 762 is improved. Therefore, the processing speed as a wafer defect device was also increased, and the inspection could be performed with high throughput.
- the precharge unit 81 is disposed adjacent to the lens barrel 71 of the electron optical device 70 in the working chamber 31.
- This inspection apparatus is an apparatus of a type in which a device pattern or the like formed on the wafer surface is inspected by scanning and irradiating an electron beam on a substrate to be inspected, that is, a wafer. Information on the next electron beam etc. is used as information on the wafer surface, but the wafer surface may be charged (charged up) depending on conditions such as the wafer material and the energy of the irradiated electron beam. In addition, there may be places on the wafer surface that are strongly charged or weakly charged. If the charge amount on the wafer surface is uneven, the secondary electron beam information will also be uneven, and accurate information cannot be obtained.
- a precharge unit 81 having an electron beam irradiation unit 811 is provided. Before irradiating a predetermined part of the wafer to be inspected with the inspection electron beam, Irradiation from the electron beam irradiating unit 8 1 1 of the YAJUNIT eliminates uneven charging.
- the charge-up on the wafer surface forms in advance an image of the wafer surface that is symmetrical to be detected, detects the image by evaluating the image, and operates the precharge unit 81 based on the detection.
- the primary electron beam may be irradiated by blurring.
- the potential applying mechanism 83 places the wafer based on the fact that the secondary electron beam information (secondary electron beam generation rate) emitted from the wafer depends on the potential of the wafer.
- the generation of secondary electron beams is controlled by applying a potential of several volts to the stage base.
- This potential application mechanism also serves the purpose of decelerating the energy originally possessed by the irradiated electron beam and providing the wafer with the irradiated electron beam energy of about 100 to 500 eV.
- the potential application mechanism 83 includes a voltage application device 831, electrically connected to the mounting surface 541, of the stage device 50, and a charge-up investigation and voltage determination system (hereinafter referred to as Survey and decision system).
- the investigation and decision system 832 consists of a monitor 833 electrically connected to the image forming section 763 of the detection system 76 of the electro-optical device 70, and an operator 833 connected to the monitor 833. 3 and a CPU 835 connected to an operator 834.
- the CPU 835 supplies a signal to the voltage application device 831.
- the potential applying mechanism is designed to search for a potential at which the wafer to be inspected is unlikely to be charged and apply the potential.
- the electron beam calibration mechanism 85 is provided with a plurality of Faraday force sensors for beam current measurement, which are installed at a plurality of positions on the side of the wafer mounting surface 541 on the rotary table. 8 51 and 8 52 are provided.
- One Faraday cup 851 is for a thin beam (about ⁇ 2 m) and one for a Faraday cup 852 thick beam (about ⁇ 30 ⁇ ).
- the Faraday optics 8 5 1 for thin beams the beam profile was measured by stepping the rotary table.
- the Faraday cup 852 for a thick beam measures the total beam current.
- the Faraday lips 8 5 1 and 8 52 have a top surface placed on the mounting surface 5 4 1.
- the alignment control device 87 is a device that positions the wafer W with respect to the electro-optical device 70 using the stage device 50, and roughly aligns the wafer by wide-field observation using the optical microscope 871 ( Measurement is performed at a lower magnification than with the electron optical system), and high-magnification adjustment, focus adjustment, inspection area setting, pattern alignment, and the like using the electron optical system of the electronic optical device 70 are performed. Inspection of a wafer at a low magnification using an optical system in this way is necessary in order to automatically inspect the pattern of a wafer by observing the pattern in a narrow field of view using an electron beam. This is because it is necessary to easily detect the alignment mark with an electron beam when performing the alignment.
- the optical microscope 871 is provided in the housing 71 (or may be movably provided in the housing), and a light source (not shown) for operating the optical microscope is also provided in the housing.
- the electron optical system for high-magnification observation shares the electron optical system (the primary optical system 72 and the secondary optical system 74) of the electron optical device 70.
- a schematic diagram of the configuration is shown in FIG. In order to observe the observation point on the wafer at a low magnification, the observation point on the wafer is moved into the field of view of the optical microscope by moving the X stage 53 of the stage device 50 in the X direction.
- the wafer is visually recognized in a wide field with the optical microscope 871, and the position to be observed on the wafer is displayed on the monitor 873 via the CCD872, and the observation position is roughly determined.
- the magnification of the optical microscope may be changed from a low magnification to a high magnification.
- the stage device 50 is moved by a distance corresponding to the distance ⁇ between the optical axis of the electron optical device 70 and the optical axis of the optical microscope 871, and the observation point on the wafer predetermined by the optical microscope is moved. Move to the viewing position of the electron optical device.
- the distance between the axis 0 3 — 0 3 of the electro-optical device and the optical axis ⁇ 4 ⁇ 4 of the optical microscope 8 7 1 (this implementation In the embodiment, it is assumed that they are displaced only in the direction along the X-axis, but they may be displaced in the Y-axis direction and the Y-axis direction.) Since ⁇ X is known in advance, its value ⁇ X If it is moved only, the observed point can be moved to the visual recognition position. After the movement of the observation point to the viewing position of the electron optical device is completed, the observation point is imaged at a high magnification by the electron optical system and the image is stored, or the image is stored via the CCD 716. 6 Display on 5.
- the position shift in the rotation direction of the wafer with respect to the rotation center of the rotary table 54 of the stage device 50 that is, Detects a shift ⁇ ⁇ in the rotation direction of the wafer with respect to the optical axis 0 3 - ⁇ 3 of the electron optical system, and detects a positional shift in the X-axis and ⁇ -axis directions of a predetermined pattern with respect to the electron optical device. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the inspection mark provided on the wafer separately or the data on the shape of the pattern of the wafer, and the wafer is aligned.
- the inspection apparatus described with reference to FIGS. 5 to 14 may be used in the (G) wafer inspection process in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b). Even semiconductor devices with fine patterns can be inspected with good throughput, so 100% inspection is possible, improving product yield and preventing defective products from being shipped. Can be.
- the description of (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
- This electron beam apparatus is suitable for evaluating and inspecting samples such as wafers and masks having patterns with a minimum line width of 0.1 micron or less with high throughput and high reliability. Can be used.
- Electron beam devices using multiple beams are already known. For example, one or more electron beams converged to a predetermined diameter are emitted from an electron beam source to form a beam on the surface of a sample to be inspected. The surface of the specimen is scanned with an electron beam by moving the specimen, and the secondary electron beam and reflected electron beam emitted from the specimen are detected by a plurality of detection elements.
- An electron beam apparatus is known in which the outputs of the detection elements are processed simultaneously or in parallel to reduce the evaluation time of a fine pattern.
- the sample to be inspected is irradiated with multiple primary electron beams.
- a fine pattern evaluation device which detects the secondary electron beam or the reflected electron beam for each primary electron beam and adjusts the electrode voltage or the excitation current for each primary electron beam.
- the required degree of vacuum differs between the electron beam source and the lens * deflection system.
- the vicinity of the cathode of the electron beam source is
- lens deflection system Even when using an electrostatic lens Ya electrostatic deflector, 1 0 one 6 It can operate satisfactorily if a vacuum degree of about torr is achieved. Therefore, there is a problem that a predetermined degree of vacuum must be maintained for each component of the electron beam apparatus.
- the electron beam apparatus shown in Fig. 15 has been proposed to solve the above problem, and maintains a high degree of vacuum in the electron beam source even when the degree of vacuum in the lens / deflection system is low. However, it has the feature of preventing damage to the power source of the electron beam source and being resistant to vibration.
- the electron beam device has a multi-beam multi-column structure, and the electron beam source section is formed by a thick partition plate S having both ends fixed to a lens barrel (not shown).
- X and electron optics Y are separated.
- the electron beam source section X includes a plurality of electron beam source chambers 901, each having a cylindrical shape and interconnected by a bellows 902.
- a thermal field emission type electron beam source 905 having a TFE force sword 903 and a shot key shield 904 is provided.
- Each electron source 905 is powered by a high voltage cable 906 and emits an electron beam from a TFE cathode 903. Note that TFE is an abbreviation for thermal field emission.
- each electron beam source room 901 is fixed to the partition plate S by screws 907. For this reason, it is necessary that the partition S has a predetermined thickness so as to have sufficient rigidity. If the rigidity of the partition S is not sufficient, the partition S is reinforced between the adjacent electron beam source chambers 91. It is advisable to arrange ribs. In addition, each electron beam source room 901 is connected to an ion pump (not shown) for exhaust.
- TFE of each electron source 905 TFE force sword 3 Tungsten ⁇ 301> or ⁇ 100> Partition so that the electron beam emitted from the plane with the azimuth can all pass through partition S.
- a predetermined number for example, four holes 908 are formed on a circumference centered on the optical axis of the electron beam source 905 of each electron beam source chamber 901.
- Each of these holes 908 has a large aspect ratio (ratio of the hole diameter to the hole length) so as not to deteriorate the degree of vacuum in the electron beam source chamber. The larger the diameter, the larger the diameter.
- the holes 908 may be formed diagonally away from the optical axis as going down.
- the aspect ratio is preferably 10 or more.
- the electron optical system Y is used to shape each electron beam so as to irradiate a sample W such as a wafer with a plurality of electron beams emitted from a plurality of electron beam source chambers 91. It has a lens / deflection system 910 installed corresponding to the source room 9101. Each lens and deflection system 910 is screwed to the partition plate S so as to surround, for example, four holes 908 through which the electron beam from the corresponding electron beam source 905 passes. Equipped with a fixed elongated pipe 909, the required lens and deflector are arranged inside each pipe 909, and the electron beam passed through, for example, four holes 908 in the partition S And make it vertically incident on the sample w. Thus, a multi-column electron optical system Y is configured.
- the individual lenses and the deflection system 910 are provided in a pipe 909 with a condenser-lens 912, a multi-aperture plate 913, a reduction lens 911, a deflector 915 and an objective.
- the lenses 9 16 are provided in order.
- the condenser lens 912 converges the electron beam passing through each of the holes 908 formed in the partition plate S.
- the multi-aperture plate 913 has the same number of small holes as the holes 908 in the part of the partition plate S surrounded by the pipe 909 so as to pass the electron beam converged by the condenser lens 912. ing.
- the reduction lens 914 reduces the beam size and interval of the electron beam that has passed through the multi-aperture plate 913 and passes the electron beam through the deflector 915.
- the deflector 915 changes the traveling direction of the electron beam so that the electron beam reduced by the reduction lens 914 scans over the sample.
- the objective lens 916 focuses the electron beam passing through the deflector 915 on the sample W.
- Each pipe 909 is provided with an exhaust hole 917, which allows the inside of a lens barrel (not shown) to house the partition plate S, the electron beam source X, and the electron optical system Y.
- the inside of each pipe 909 is also kept at a vacuum by a pump for keeping the vacuum.
- the condenser lens 912, the multi-aperture plate 913, the reduction lens 914, the deflector 915, and the objective lens 916 are connected via lead wires exemplified by dotted lines in FIG. The required voltage is applied. If necessary, condenser lens 9 12, multi-aperture plate 9 13, reduction lens 9 14, deflector 9 15 and objective lens 9 16 are piped through insulation spacer 9 18 It is attached to the inner wall of 909.
- the electron beam device shown in Fig. 15 is an evaluation device for defect inspection, line width measurement, alignment accuracy measurement, potential contrast measurement, defect review, or strobe SEM. It can be used in the inspection process (G) described with reference to (a) and (b) of FIG. 3 and FIG. In this regard, the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
- Embodiment relating to electrode structure (fourth embodiment)
- a fourth embodiment of the present invention relates to an electron beam apparatus having an electrode structure for preventing dielectric breakdown in an electron optical system using an electrostatic lens that irradiates a sample with an electron beam, and device manufacturing using the apparatus. About the method.
- an electron beam is emitted by an electron beam source, and the emitted electron beam is accelerated or converged by an electrostatic optical system such as an electrostatic lens. It is incident on a certain sample.
- an electrostatic optical system such as an electrostatic lens.
- a signal corresponding to the detected secondary electron beam is generated by detecting a secondary electron beam emitted from the sample due to the incidence of the electron beam, and this signal forms, for example, sample data. I do. This data is used to inspect the surface condition of the sample.
- Electron-optical systems using an electrostatic lens such as an electrostatic lens used in such an electron beam device include electrodes that generate an electric field for accelerating or converging the electron beam in multiple stages in the optical axis direction of the electron beam. It is arranged in. A predetermined voltage is applied to each of these electrodes, and an electric field generated by the potential difference between the electrodes accelerates the electron beam or converges the electron beam to a predetermined point on the optical axis.
- a part of an electron beam emitted from an electron beam source may collide with an electrode irrespective of an electric field in an electron optical system using an electrostatic lens.
- a secondary electron beam is emitted from the electrode itself.
- the amount of secondary electron beam emitted from this electrode varies depending on the material of the electrode or the material coating the electrode.
- the secondary electron beam is accelerated by the electric field of the electrode, ionizing the residual gas in the device, and the ions collide with the electrode.
- a secondary electron beam is emitted from the electrode. Therefore, when a large amount of secondary electron beam is emitted, discharge is likely to occur between the electrodes, and the probability of causing dielectric breakdown between the electrodes increases.
- the electrode is coated with aluminum
- the probability of dielectric breakdown between the electrodes was slightly higher in the case of aluminum.
- Aluminum has a work function of 4.2 [eV]
- gold has a work function of 4.9 [eV].
- the work function is the minimum energy required to extract one electron beam from a metal into a vacuum (unit: eV).
- the electron beam apparatus is not suitable for inspection of a semiconductor wafer.
- an electrostatic lens having a short focal length can be obtained by reducing the distance between the electrodes. If the focal length is short, the aberration coefficient of the electrostatic lens will be small and the aberration will be low, so the electrostatic lens will have high resolution, and the resolution of the evaluation device will improve.
- the electrostatic lens by increasing the potential difference between the electrodes of the electrostatic lens, an electrostatic lens with a short focal length can be obtained. Therefore, as in the case where the distance between the electrodes is shortened, the electrostatic lens has a low aberration and a high resolution, and the resolution of the electron beam device is improved. Therefore, if the distance between the electrodes is reduced to increase the potential difference between the electrodes, the electrostatic lens can be synergistically provided with low aberration and high resolution.
- the distance between the electrodes is reduced and the potential difference between the electrodes is increased, a discharge is likely to occur between the electrodes, and there is a problem that the probability of causing dielectric breakdown between the electrodes increases.
- the insulation between the electrodes has been maintained by inserting an insulating material between the electrodes and supporting the electrodes with the insulating material.
- the insulation performance on the surface of the insulating material was enhanced by increasing the minimum creepage distance (insulating surface length) of the insulating material between the electrodes.
- the shortest creepage distance between the electrodes has been increased by forming the surface of the insulating material in the form of a fold in the direction between the electrodes.
- the fourth embodiment of the present invention has been proposed to solve such a problem.
- the configuration and operation of the projection type evaluation device, and a device manufacturing method using the device will be described.
- the electron beam irradiating the sample has a predetermined radiating surface, and the secondary electron beam emitted from the sample by the irradiation of the electron beam also has a predetermined value.
- the electron beam source 100 1 emits a two-dimensional area, for example, an electron beam having a rectangular radiation surface, and is deflected in a predetermined direction by an electrostatic lens system 100 2.
- the deflected electron beam is incident on the EXB-type deflector 1003 from obliquely above, and the electric field and the magnetic field of the EXB-type deflector 1003 are orthogonal to each other. (Solid line in Figure 16).
- the electron beam deflected by the EXB type deflector 1003 toward the semiconductor wafer 106 is decelerated by the electric field generated by the voltage applied to the electrodes in the electrostatic objective system 1005. Then, an image is formed on the semiconductor wafer 106 by the electrostatic objective lens system 1005.
- the secondary electron beam generated by irradiating the semiconductor wafer 108 with the electron beam is accelerated in the direction of the detector 1008 by the electric field of the electrostatic objective lens system 1005. (The dotted line in FIG. 16) is incident on the EXB deflector 1003.
- the EXB-type deflector 1003 directs the accelerated secondary electron beam in the direction of the electrostatic intermediate lens system 107, and then the secondary electron beam is generated by the electrostatic intermediate lens system 107.
- the secondary electron beam is detected by making it incident on the detector 108.
- the secondary electron beam detected by the detector 1008 is converted into data and transmitted to the display device 109, and the image of the secondary electron beam is displayed on the display device 109. Pattern of wafer 106 To inspect.
- the electrostatic lens system 1002 the electrostatic object lens system 1005, the electrostatic intermediate lens system 1007, and the EXB type deflector 1000 in the projection type evaluation apparatus 100000.
- the surface of the electrode 1004 is also coated with platinum.
- the work function of each metal is 4.2 [eV] for aluminum, 49 [eV] for gold, and 5.3 [eV] for platinum.
- the work function of a metal is the minimum energy required to extract one electron beam from a metal into a vacuum (unit: eV). In other words, the larger the work function value, the more difficult it is to extract an electron beam.
- the metal with a large work function value (the metal with a large work function value) If the electrode (including the main alloy) is coated on the electrode, the number of secondary electron beams emitted from the electrode is reduced, and the probability of electrode breakdown occurring is also reduced. Therefore, if the metal has a large work function, a certain good No. Specifically, if the work function of the metal coated on the electrode is 5 eV, the probability of electrode breakdown occurring can be kept low.
- the sample to be inspected is the semiconductor wafer 106 and the metal coated on the electrodes is gold
- the electron beam collides with the gold.
- gold sometimes adhered to the pattern of the semiconductor wafer 106. Therefore, in this embodiment, when the metal coated on the electrode is platinum, platinum does not adhere on the pattern of the semiconductor wafer 106, and even if platinum does adhere, It does not degrade device performance. Further, the probability of occurrence of dielectric breakdown of the electrode can be reduced, which is more preferable.
- the electrode 100 is an electrode of the electrostatic lens included in the electrostatic lens system 1002, the electrostatic objective lens system 1005, and the electrostatic intermediate lens system 1007. is there.
- the electrode 10010 has a disk-like shape having a through hole through which an electron beam or a secondary electron beam can pass at a substantially central portion.
- a predetermined voltage is applied to the electrode 11010 by a power supply device (not shown).
- FIG. 19 is a partial cross-sectional view of the surface of the electrode 110.
- the surface of the electrode 104 of the EXB type deflector 1003 may have the same configuration as the surface of the electrode 110.
- the material of the electrode 110 is composed of silicon copper (silicon bronze) 100a, and the titanium is formed on the required size and shape. Is coated to a thickness of 50 nm, and platinum is further coated on titanium 1010 b to a thickness of 200 nm. Is performed to form electrodes 110.
- the electrodes 102 and 102 in FIG. 20 are, for example, the electrodes included in the electrostatic objective lens system 1005, and the electrodes are coated with platinum as described above. Have been. Further, a predetermined voltage is applied to the electrodes 102 and 102 by a power supply device (not shown). In this embodiment, a high voltage, for example, a voltage of 15 kV is applied to the electrode 102 on the semiconductor wafer 106 side, and a voltage of 5 kV is applied to the electrode 102. ing.
- the passing hole for passing electron beam and secondary electron beam is located at the center of the electrodes.
- the inside of the passing hole is the electrodes.
- An electric field is formed by the 22 potential difference. Due to this electric field, the electron beam is decelerated and converged, and is irradiated on the semiconductor wafer 106.
- the electrostatic objective lens system 1005 can be an electrostatic objective lens having a short focal length. Therefore, the electrostatic objective lens system 105 has low aberration and high resolution.
- An insulating spacer 102 is inserted between the electrodes 102 and 102, and the insulating spacer 102 is connected to the electrodes 102 and 102. 2 is supported almost vertically.
- the shortest creepage distance between the electrodes of the insulating spacer 106 is substantially the same as the distance between the electrodes in the supported electrode portion. In other words, the surface of the insulating spacer 102 between the electrodes is not folds or the like in the direction between the electrodes, but is substantially straight.
- the electrode 102 has a first electrode surface 1022 that is the shortest distance between the electrodes, and a second electrode surface 1 that has a longer electrode distance than the first electrode surface 1022b. 0 2 2 c and a step 1 0 2 2 d (FIG. 21) in the direction between these two electrodes between the first electrode surface 1 0 2 2 b and the second electrode surface 1 0 2 2 c. Have.
- the insulating spacer 102 supports the electrode 102 on the second electrode surface 102c.
- the electrostatic objective lens system 105 can be used as an electrostatic objective lens having a short focal length, and can have a high resolution with low aberration. Since the insulation performance between the electrodes does not decrease, insulation breakdown between the electrodes can be prevented.
- the processing cost is lower than the processing of the insulating spacer 102.
- the surface of the insulating spacer 106 in the direction between the electrodes has almost no irregularities, and the amount of gas released from the insulating spacer 106 does not increase.
- the electrode 102 is provided with a step 102 d, but the electrode 102 is also processed so that a step is provided in the electrode 102 direction. Alternatively, instead of the electrode 102, processing may be performed so that a step is provided in the electrode 102 only in the electrode 102. Also, in the electrostatic objective lens system 105, the electrode into which the insulating spacer 106 was inserted has been described. However, when there is an electrode having a large potential difference in another electrostatic lens system, By applying the present invention to an electrostatic lens system, insulation rupture between electrodes can be prevented.
- the fourth embodiment described with reference to FIGS. 16 to 21 corresponds to the inspection step (G) in the device manufacturing method already described with reference to FIGS. 3 and 4 (a) and (b).
- the description of (a) and (b) in FIGS. 3 and 4 will be referred to, and the description here will be omitted.
- the defect inspection, the width measurement, the alignment accuracy measurement, the joint measurement, the high time resolution, the potential contrast measurement, and the like of the pattern having the minimum line width of 0.2 ⁇ m or less are performed.
- the present invention relates to an electron beam apparatus that can be performed with high throughput and high reliability, and a device manufacturing method using the apparatus. 2. Description of the Related Art An electron beam apparatus is known which uses a Wien filter to separate a primary electron beam from a secondary electron beam emitted from a sample irradiated with the primary electron beam.
- a primary electron beam is emitted from the electron beam source at a predetermined angle to the optical axis perpendicular to the sample, and the traveling direction of the primary electron beam is deflected by the Wien filter along the optical axis.
- a primary electron beam is vertically incident on the sample, and the secondary electron beam emitted from the sample is separated from the primary electron beam by the Wienfill, travels along the optical axis, and is incident on the detector.
- an electron beam device is known in which a primary electron beam is perpendicularly incident on a sample, and the secondary electron beam emitted from the sample is separated from the primary electron beam by an EXB separator and input to a detector. Have been.
- the fifth embodiment of the present invention has been proposed to solve such a problem of the conventional electron beam apparatus.
- a fifth embodiment of the present invention has been described.
- an electron beam device that greatly reduces the effect of chromatic aberration of the secondary electron beam or that projects and projects the image of the secondary electron beam, a means for significantly reducing the chromatic aberration due to the energy width of the secondary electron beam is provided. provide.
- the fifth embodiment of the present invention is suitable for a defect inspection device or the like using an electron beam device with reduced chromatic aberration. Furthermore, such a defect inspection apparatus or the like can be used in a device manufacturing method to perform inspection of the process during the process.
- the primary system including the electron beam source is detected with respect to the optical axis X perpendicular to the sample.
- a secondary system including a dispenser is arranged at a predetermined angle on the side opposite to each other.
- the primary electron beam 1102 emitted from the electron beam source 1101 is shaped into a rectangle by an aperture (not shown), and reduced by the lenses 1103 and 1104. The light enters the Wien filter 110 5.
- the Wien filter 1105 includes an electrode 1106 that creates an electric field for electrostatic deflection and a magnet 1107 that creates a magnetic field for electromagnetic deflection. Deflects the primary electron beam 1102 incident on it to the left by the electrostatic deflecting effect of the electrode 1106, that is, by an angle ⁇ so as to approach the optical axis X.
- the sample is deflected to the left by two angles by the electromagnetic deflection effect of the sample, and is deflected to the left by an angle of 3 in total, and travels along the optical axis X perpendicular to the sample 110.
- the primary electron beam 1102 enters the sample 1108 through the lens systems 1109 and 1110, and irradiates the sample 1108.
- the angle ⁇ is, for example, 10 degrees.
- the secondary electron beam 1 1 1 1 1 1 emitted from the sample 1 1 108 by irradiation with the primary electron beam 1 1 1 2 is enlarged by the lens system 1 1 1 0 9 and 1 1 1 0, and then the Wien filter 1 1 0 5 and is deflected to the right from the optical axis X by ⁇ degrees, that is, away from the optical axis X by the action of the electrode 1106 and the magnet 1107.
- the secondary electron beam 1 1 1 1 1 separated from the primary electron beam by the Wien filter 1 1 0 5 is expanded by the secondary optical system including the lens system 1 1 1 2 and 1 1 1 3 and the detector 1 1 1 Image on 4.
- the output of the detector 111 is appropriately processed by the image processing device 111 and stored in the image memory 111.
- the chromatic aberration caused by the Wien filter 111 becomes more problematic in the secondary optical system that processes the secondary electron beam 111. Therefore, in order to eliminate the influence of the chromatic aberration caused by the Wien filter 1105 on the secondary electron beam 111, the electrostatic deflection effect and the electromagnetic deflection effect of the Wien filter 110105 reduce the secondary electron beam. To deflect in the opposite directions, and to have a predetermined relationship between the angle at which the electrostatic deflecting action deflects the secondary electron beam and the angle at which the electromagnetic deflecting action deflects the secondary electron beam. .
- the sample The emitted secondary electron beam 1 1 1 1 traveling along the optical axis X is deflected by a predetermined angle with respect to the optical axis X in a direction opposite to the primary electron beam 1 1 102, and at that time Chromatic aberration due to the energy width of the secondary electron beam 111 can be made negligible.
- the electrode 1 1 0 6 of the Wien filter 1 1 0 5 causes the secondary electron beam 1 1 1 1 1 1 1 1 1
- the magnet 1107 deflects to the right, ie, away from the optical axis X, by an angle 2 ⁇ .
- the beam energy of the secondary electron beam incident on the Wien filter 1 105 is V o
- the secondary electron beam having energy only smaller than V 0 has an angle of ⁇ ,.
- the term relating to the energy width of the secondary electron beam is eliminated by the cancellation of the electrostatic deflection action and the electromagnetic deflection action of the Wien filter 1 1 05, and the secondary electron beam 1 1 1 1 Since it is only deflected to the right with respect to the optical axis X by 1 0 5, that is, away from the optical axis X by the angle ⁇ , The chromatic aberration caused by the filter 1105 can be neglected.
- FIG. 23 is a diagram showing the configuration of the fifth exemplary embodiment of the present invention in detail.
- c primary electron beam source 1 1 0 1 the primary electron beam electron beam 1 1 0 2 released from being converged to a blanking aperture plate 1 1 2 1 opening by Conde Nsarenzu 1 1 2 0
- the electron beam 1102 passes through the aperture plate 1 122 having a large number of apertures before proceeding to the aperture plate 111, thereby forming a multi-beam having a desired number of narrow beams.
- the multi-beam primary electron beam 1 1 0 2 is reduced to a beam of a predetermined size by the reduction lenses 1 1 2 3 and 1 1 24 and a reduced image 1 1 2 2
- the Wien filter 1 1 0 5 is entered.
- the angle between the primary electron beam 1102 and the optical axis X is a.
- the primary electron beam 1 102 is bent by the angle ⁇ by the Wien filter 1 105 and travels along the optical axis X perpendicular to the sample 110, and furthermore, the objective lens 112 and the symmetric electrode 1 126 Irradiate the sample 110 after being reduced in size.
- the sample 1108 was sampled by the primary electron beam 1102 emitted from the Vienna concertc Orchestra 1101 in a direction perpendicular to the direction of the arrangement of the apertures in the aperture plate 112 (Fig. Scan electrodes, scanning electrodes 1 1 2 7 and 1 1 2 8 are arranged along the optical path of the primary electron beam 1 1 2 To deviate the traveling direction from the normal traveling direction and travel along the optical path 1129, blanking deflectors 1130 and 1131 are provided.
- the sample 111 emits a secondary electron beam 111 from each location irradiated by each of a plurality of narrow beams constituting the primary electron beam 111.
- the multi-beam secondary electron beam 111 thus emitted is separated from the primary electron beam by the Wien filter 111 and expanded by the imaging electron optics 113, 132 and 113.
- the light passes through an opening plate 113 having an opening corresponding to the opening of the opening plate 112 and enters the multi-detector 113.
- a diagram in which the aperture plates 1 1 2 2 and 1 1 34 are rotated 90 ° around the optical axis is displayed.
- the chromatic aberration caused by the Wien filter 1105 becomes a problem for the primary electron beam and the secondary electron beam.
- the effect can be reduced by increasing the interval between the beams constituting the beam.
- the electrostatic and electromagnetic deflection effects of the Wien filter 1105 are shown in Fig. 23.
- the primary electron beam is deflected in directions opposite to each other, and a predetermined relationship is established between the angle at which the electrostatic deflection action deflects the primary electron beam and the angle at which the electromagnetic deflection action deflects the primary electron beam.
- the primary electron beam 1102 emitted from the electron beam source 1101 and traveling obliquely to the optical axis X moves to the left with respect to the optical axis X, that is, approaches the optical axis X.
- the influence of the energy width of the primary electron beam 1102 can be neglected.
- the Vienna Filler 1105 moves the primary electron beam 1102 rightward by an angle due to the electrostatic deflection of the electrode 1106, that is, moves away from the optical axis. And is deflected to the left by an angle 2 ⁇ , that is, closer to the optical axis X by the electromagnetic deflecting action of the magnet 1107.
- the primary electron beam 1102 incident on the Wien filter 1105 is deflected as a whole by an angle ⁇ to the left.
- the influence of the energy width of the primary electron beam 111 can be neglected. Specifically, chromatic aberration caused by the spread of the energy width of the primary electron beam 1102 is eliminated.
- the chromatic aberration generated in the secondary optical system is obtained by arranging a plurality of beams constituting the primary electron beam 1102 on one row, and performing a linear filter in a direction perpendicular to the arrangement direction of these beams.
- the beam is deflected at 1105, the beam is generated in a direction perpendicular to the direction in which the beams are arranged. Therefore, chromatic aberration does not increase crosstalk between the beams.
- the electron beam devices described with reference to FIGS. 22 and 23 include defect inspection devices, alignment accuracy measurement devices, line width measurement devices, high time resolution potential contrast measurement devices, defect review devices, and strobe SEM devices. It can be applied to various devices.
- the electron beam apparatus according to the fifth embodiment has an inspection step (G) in the device manufacturing method described in (a) and (b) of FIGS. 3 and 4 in order to evaluate a wafer in the process. Can be used. In this regard, the description of (a) and (b) in FIGS. 3 and 4 will be referred to, and the description will be omitted here.
- the present invention is not limited to such an embodiment.
- a plurality of electron beam irradiation / detection systems consisting of an electron beam source, a primary optical system, a secondary optical system, and a detector are provided so that different positions of the sample 111 can be irradiated simultaneously.
- the sample may be irradiated, and a plurality of secondary electron beams emitted from the sample may be received by a plurality of detectors.
- the time required for inspection and measurement can be significantly reduced.
- Embodiment relating to driving of objective lens (sixth embodiment)
- various evaluations such as a defect inspection of a pattern having a line width of 0.1 micron or less, a CD measurement, an alignment accuracy measurement, and a potential measurement with a high time resolution are performed at a high throughput and a high throughput.
- the present invention relates to an electron beam device that can be performed with high accuracy and high reliability, and a device manufacturing method using the device.
- Electron beam apparatuses for focusing an electron optical system by controlling a current and a voltage supplied to components of the electron optical system by feeding back to the system are known.
- an optical component mainly composed of an insulator for reflecting the incident light is arranged in the space between the sample surface and the lower surface of the electron optical system.
- an optical component mainly composed of an insulator for reflecting the incident light is arranged in the space between the sample surface and the lower surface of the electron optical system.
- problems such as aberrations of the electron optical system cannot be ignored.
- focusing of the electron optical system must be performed not only by considering the distance between the sample surface and the lower surface of the electron optical system, but also by taking into account the charged state on the sample surface and the space charge effect of the electron beam. Therefore, if the parameters related to the focusing of the electron optical system are not measured electronically, errors may occur. Further, when focusing is performed by adjusting the excitation current of the magnetic lens included in the electron optical system, the time from setting this excitation current to a predetermined value until the focal length of the electron optical system is stably determined, that is, There was also a problem that it was difficult to perform focusing at high speed because a long settling time was required. Also, when focusing the electron optical system by changing the excitation voltage of the electrostatic lens, it is necessary to change the high voltage applied to the electrostatic lens. there were.
- a sixth embodiment of the present invention is directed to an electron beam apparatus capable of performing electro-optical focusing in a short time and a device using the apparatus, in order to solve the above problems.
- a manufacturing method is provided.
- FIG. 24 schematically shows the configuration of the sixth embodiment of the present invention.
- the electron beam source 1201 is provided with an anode 122, and the emitted primary electron beam is accelerated by the anode 122 and the deflectors for axis alignment 1203, 12 Then, the light passes through a small hole 1207 of the aperture plate 1206 through the astigmatism correction lens 1205 and the astigmatism correcting lens 1205.
- the primary electron beam passing through the aperture plate 1 206 is focused by the condenser lens 1 208, passes through the deflectors 1 209 and 1 210, and passes through the Vienna filter 1 2 1 1
- the axially symmetric electrodes 1 2 13 are arranged so that there is almost no space between them and the sample 124.
- the stage S is movable in a second direction perpendicular to the first direction in which the primary electron beam is deflected. Therefore, scanning of the circuit pattern is performed by the deflection of the primary electron beam and the movement of the stage S. Done.
- the secondary electron beam emitted from one circuit pattern on the sample 1 2 1 4 is drawn by the electric field of the objective lens 1 2 1 2 and accelerated, and the Vienna 'filter 1
- the light is deflected by 2 1 1 so as to deviate from the optical axis L and is separated from the primary electron beam.
- the secondary electron beam is detected by the secondary electron beam detection unit 108.
- the secondary electron beam detector 1 2 15 outputs an electric signal indicating the intensity of the incident secondary electron beam. This electrical signal is sent to its corresponding amplifier (not shown). Therefore, after being amplified, image processing is performed.
- a required voltage is applied from the first power supply 122 to each of the electrodes that make up the condenser-lens 128 to reduce the primary electron beam by the condenser
- the objective lens 1 2 1 2 is a unipotential-lens
- a second power supply 1 2 is connected to the center electrode of the objective lens 1 2 1 2 to focus the primary electron beam on the surface of the sample 1 2 1 4.
- a positive high voltage V o voltage is applied from 18 and a small voltage earth AV o voltage is applied to the upper electrode and lower electrode of the objective lens 1 2 1 2 from the third power supply 1 2 1 9. .
- One electron beam scanning / detection system 122 is housed in a lens barrel 122 of an appropriate size. Note that the initial focusing of the electron beam scanning / detection system 122 can be performed by fixing the voltmeter AVo to, for example, -10 port and changing the positive voltage Vo.
- the electron beam scanning and detection system 122 scans one of the chip patterns on the sample 124, and the secondary beam emitted from the sample 124 as a result of the scanning. Detects the electron beam and outputs an electric signal indicating its intensity.
- an electron beam scanning / detection system (not shown) having the same configuration as the electron beam scanning / detection system 122 Electron beam scanning ⁇ In parallel with the detection system 122, they are arranged so that their distance is an integral multiple of the chip size on the sample 124.
- the electron beam scanning / detection system 122 will be further described.
- the electric signal output from the secondary electron beam detector 122 is converted into binary information, and the binary information is converted into image data. Convert.
- image data of a circuit pattern formed on the surface of the sample 122 is obtained, and the obtained image data is stored in an appropriate storage means and compared with a reference circuit pattern. This makes it possible to detect a defect in the circuit pattern formed on the sample 122.
- various types of reference circuit patterns for comparison with image data representing a certain circuit pattern on the sample 1214 can be used.For example, a scan that generates the image data was performed. Image data obtained from CAD data used to create circuit components can be used.
- the value of the voltage o to be applied to the upper electrode and the lower electrode of the objective lens 1212 is determined under the control of a control device (not shown) such as a CPU. Is determined as follows. First, the surface of the sample 1 2 1 4 ⁇ On any one of the formed circuit patterns, a pattern edge parallel to the first direction in which the primary electron beam is deflected, and a pattern edge perpendicular to this first direction The location where the pattern / edge parallel to the second direction exists is read out, for example, from the pattern / data.
- the pattern edge parallel to the first direction is scanned by the primary electron beam in the second direction. Then, an electric signal representing the intensity of the secondary electron beam emitted as a result is taken out from the secondary electron beam detector 12 15 and the rising width (unit: micron) of the electric signal is measured.
- the first electron beam is also applied by the primary electron beam using the deflectors 1209 and 1210 and the winn filter 1 211. Then, an electric signal indicating the intensity of the secondary electron beam emitted as a result is taken out from the secondary electron beam detecting section 12 15 to measure the rising width of the electric signal. This operation is performed every time the value of the voltage ⁇ is changed.
- the graphs A and B shown in (a) of FIG. 25 are obtained.
- the “rise width of the electric signal” is a pattern edge parallel to the first direction with the voltage ⁇ Vo ′ fixed at a certain value.
- graph A shows that the voltage ⁇ V o is the smallest at- ⁇ V o (x), that is, the sharpest rise
- graph B shows the voltage AV o is the minimum at + AV o (y), indicating that the rise is the sharpest. Therefore, the focus condition of the objective lens 1 2 1 2, that is, the upper electrode and the lower electrode
- the value of the voltage o applied to the side electrode is preferably set to ⁇ —AVo (X) + AVo (y) ⁇ / 2.
- the objective lens 1 12 can be settled at a high speed of 10 microseconds, as shown in (a) of Fig. 25. It took only 150 microseconds to obtain graphs A and B, and so many measurements were not required to measure curves A and B.
- Figure 25 (a ) The rise width is measured by setting the values of soil AVo to 1 AV (1), + ⁇ V (2), and + AV (3), and the graph ⁇ ⁇ , ⁇ , And the minimum value of the rise + AVo (y) and - ⁇ Vo (x) may be calculated. In that case, the measurement can be performed in about 45 microseconds.
- a and B in (a) of Fig. 25 are hyperbolic. Rise width! ) (Mm), if the objective lens voltage ⁇ V o is Q (Porto), curve A, B is a, b and c are constants,
- the rising width differs between when the pattern 'edge is scanned in the first direction and when it is scanned in the second direction.
- the voltage applied to the 8-pole astigmatism correction lens 125 is adjusted to scan the pattern edge in the first direction and the second direction perpendicular thereto.
- the rising of the electric signal from the secondary electron beam detector 1 2 1 5 is updated. It is necessary to perform the astigmatism correction so as to reduce the astigmatism.
- the electron beam scanning / detection system (not shown) having the same configuration as the electron beam scanning / detection system 122 is arranged in parallel with the electron beam scanning / detection system 122. Are arranged so that the distance between them is an integral multiple of the chip size on the sample 124, and the primary electron beam is focused on the sample 124 in each electron beam scanning and detection system. Focusing needs to be performed, but since such focusing can be performed almost simultaneously, the throughput budget is only a small value.
- This method has the advantage that the correct focusing condition is obtained even when the sample is charged, because the focusing condition is obtained not by an optical Z sensor but by electro-optical means.
- the process proceeds to the process of evaluating the sample 122.
- the defect inspection apparatus using the sixth embodiment of the present invention for the inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b)
- the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and the description thereof will be omitted.
- the seventh embodiment of the present invention is directed to an electron beam apparatus that performs at least one of processing, manufacturing, observation, and inspection of a substance by irradiating the target position of the substance with an electron beam. More specifically, more specifically, an electron beam apparatus in which unnecessary mechanical vibration generated in a mechanical structure for positioning an electron beam is reduced, a vibration damping method thereof, and processing, manufacturing, observation and inspection of a semiconductor device using the apparatus.
- a semiconductor manufacturing process including a step of performing at least one of the following.
- methods for observing the fine structure of a substance using an electron beam include an inspection device for inspecting defects of patterns formed on a wafer or the like and a scanning electron microscope (SEM). m to several tens of nm, sufficient vibration from outside It is necessary to carry out observation with vibration isolation.
- SEM scanning electron microscope
- an anti-vibration device for sufficiently removing external vibrations is used. It is necessary to increase the rigidity in order to use and to reduce the wobble due to mechanical resonance caused by the structure of the lens barrel as much as possible.
- the rigidity In order to increase the rigidity of the structure, it is difficult to improve the rigidity by miniaturization because of the physical dimensional restrictions of the electron optical system.Thus, the rigidity can be improved by increasing the thickness of the lens barrel and increasing its size Often done. However, the improvement of rigidity by this method has many disadvantages including restrictions on design freedom including weight increase of equipment, shape limitation, enlargement of vibration isolation table, and economical aspect. Was.
- the seventh embodiment of the present invention is capable of preventing unnecessary vibration due to resonance of the mechanical structure for positioning the beam with high accuracy even if the rigidity of the mechanical structure is not necessarily improved.
- An electron beam device that achieves a reduction in design constraints, a reduction in the size and weight of the device, and an improvement in economic efficiency by enabling appropriate attenuation so that the device can be maintained at a low temperature.
- Provide semiconductor manufacturing processes that enable efficient manufacturing, inspection, processing, and observation.
- FIG. 26 shows a configuration in the case where the seventh embodiment of the present invention is applied to an electron beam inspection apparatus that inspects a semiconductor wafer for defects using an electron beam.
- the electron beam inspection apparatus 1301 shown in the figure is a so-called mapping projection type, and has an A block and a B block mechanical structure projecting obliquely upward from the A block.
- a primary electron beam irradiation means for irradiating the primary electron beam is placed in the B block, and a mapping projection optical system for projecting the secondary electron beam and detecting the intensity of the secondary electron beam in the A block.
- Imaging means The A block is connected to the lowermost fixed base 1330.
- the primary electron beam irradiating means arranged in the B block is an electron beam source composed of a force source and an anode for emitting and accelerating the primary electron beam 1301a, a rectangular opening for shaping the primary electron beam into a rectangle 13 0 2 a, and a quadrupole lens 13 0 2 b for reducing and imaging the primary electron beam.
- NA aperture aperture
- an objective lens 1308 for imaging the primary electron beam passing through the aperture aperture on the wafer 135 is arranged.
- the primary electron beam reduced by the quadrupole lens 13 02 b forms an image of, for example, 500 ⁇ m x 250 m on the main deflection surface of the EXB deflector 130 6 simultaneously with the aperture.
- a crossover image of the electron beam source 1301a is formed in the aperture 1307 so that the Koehler proof condition is satisfied.
- An image of, for example, 100 mX 50 / m is formed on the wafer 13 05 by the objective lens 13 08, and the region is illuminated.
- the wafer 135 is placed in a sample chamber (not shown) that can be evacuated to a vacuum, and is placed on a stage 1304 that can move in an XY horizontal plane.
- a sample chamber not shown
- the wafer surface is on the XY horizontal plane, and the Z axis is substantially parallel to the optical axis of the projection optical system.
- the inspection surface of the wafer 135 is sequentially scanned by the primary electron beam by moving the stage 1304 in the XY horizontal plane with the wafer 135 mounted thereon.
- the stage 134 is mounted on the fixed base 133.
- the projection optical system disposed above the A block includes an intermediate electrostatic lens 1309 and a projection electrostatic lens 1311, and an aperture 1310 disposed between these lenses. .
- the secondary electron beam, reflected electron beam, and scattered electron beam emitted from the wafer 135 by irradiation of the primary electron beam are given a predetermined magnification (for example, 200 to 300 times) by the projection optical system. And projected on the lower surface of a multi-channel plate 13 21 described later.
- the imaging means arranged at the top of the A block includes a multi-channel plate 1321, a fluorescent screen 1322, a relay lens 1323, and an imaging unit 1324.
- the multi-channel plate 1321 has a number of channels in the plate, during which the secondary electron beams imaged by the electrostatic lenses 1309 and 1311 pass through the channels. To generate more electron beams You. That is, the secondary electron beam is amplified. When the amplified secondary electron beam is irradiated, the fluorescent screens 1322 emit fluorescence having an intensity corresponding to the intensity of the secondary electron beam. That is, the intensity of the secondary electron beam is converted to the intensity of light.
- the imaging section 1324 includes a number of CCD imaging elements for converting light guided by the relay lens 1323 into an electric signal.
- TDI Time Delay Integral
- the irradiation of the primary electron beam generates not only a secondary electron beam but also a scattered electron beam and a reflected electron beam, but is collectively referred to herein as a secondary electron beam.
- the lens barrel 1346 composed of the mechanical structure of the A block and the B block connected to the A block usually has one or more natural vibration modes.
- the resonance frequency and resonance direction of each natural vibration mode are determined by the shape, mass distribution, size, arrangement of internal machines, and so on.
- the lens barrel 1346 has at least mode 1 of natural vibration 13388.
- the lens barrel 1346 swings at a frequency of 150 Hz, for example, substantially along the Y direction.
- This transfer function has a gain of a resonance magnification of 30 dB (about 30 times) at a resonance frequency of 150 Hz. Therefore, even if a minute vibration is applied from the outside, if the vibration includes a frequency component near 150 Hz, the frequency component is amplified by about 30 times in this example and the lens barrel is amplified. Vibrate. As a result, harmful events such as blurring of the map occur.
- the entire lens barrel is placed on an anti-vibration table to eliminate external vibrations, and / or the thickness and structure of the lens barrel are reviewed to increase the resonance magnification.
- Major measures such as lowering were taken.
- an actuator that applies a pressure vibration 1390 to the lens barrel to cancel the vibration 1388 is used.
- One night 1 3 2 5 is installed at the base of A block. This faction night 1
- the actuating device 1352 is composed of a piezoelectric element 1350 having a dielectric material 1351 having a piezoelectric effect sandwiched between electrodes 1352a and 1352b. And a supporting base 1354 fixed to the fixing base 133 to support the piezoelectric element 135 from the side of the electrode 13552b.
- the piezoelectric element 1350 is sandwiched between the A block of the lens barrel 1346 and the support base 1354, and the electrode 1352a is located on the outer wall of the A block.
- the piezoelectric element 1350 receives a positive pressure when the lens barrel 1346 comes toward it and a negative pressure when the lens barrel 1346 moves away due to the reciprocating vibration 1388.
- the piezoelectric element 1350 is placed at an effective position to suppress the vibration 13388 of the lens barrel 1346.
- the directions of the vibrations 13888 are arranged so as to be orthogonal to the electrodes 1352a and 1352b.
- the vibration damping circuit 1 3 2 7 is composed of a variable inductance 1 3 5 8 and a resistor 1 3 5 connected in series between both electrodes 1 3 5 2 a and 1 3 5 2 b of the piezoelectric element 13 5 0. It consists of six parts.
- Variable inductance 1 3 5 8 is inductance 1_ resistance 1 3 5 6 is resistance value
- Piezoelectric element 1 350 has electric capacitance C, so series connected piezoelectric element 1 350 and vibration damping circuit 13 27 is equivalent to the series resonant circuit indicated by reference numeral 1360.
- 'Is fo' 1 / ⁇ 2 ⁇ (LC) 1/2 ⁇
- the resonance frequency f of the series resonance circuit is represented by In the present invention, the resonance frequency f of the series resonance circuit.
- Each parameter is set so that 'approximately matches the resonance frequency f fl of the lens barrel 1 3 4 6. That is, for a given capacitance C of the diode 135,
- the inductance L of the variable inductance 1 358 is adjusted so that the following holds.
- the capacitance C of the piezoelectric element 1350 is small in forming a resonance circuit in accordance with the mechanical resonance frequency, and therefore, in many cases, a very large inductance L is required. Is equivalently large using an operational amplifier, etc. By forming an inductance, a resonance circuit can be realized.
- the value R D of the resistor 1356 is selected so that the Q value of the resonance frequency component of the series resonance circuit approximately matches the Q value of the resonance component having a peak in the transfer function shown in FIG. 29. Is done.
- the series resonant circuit 1360 thus produced has an electrical frequency characteristic indicated by reference numeral 1384 in FIG.
- the electron beam inspection apparatus 1301 shown in FIG. 26 is controlled and managed by the control unit 1316.
- the control unit 1316 can be configured by a general-purpose personal computer or the like. This computer consists of a control unit main body 1314 that executes various controls and arithmetic processing according to a predetermined program, a CRT 1315 that displays the processing results of the main body 1314, and an operator that inputs commands.
- An input unit 1318 such as a keyboard and a mouse for the operation may be provided.
- the control unit 1316 may be constituted by hardware dedicated to the electron beam inspection apparatus or a workstation.
- the control unit main body 13 14 includes a CPU, a RAM, a ROM, a hard disk, and various control boards such as a video board (not shown).
- a memory such as a RAM or a hard disk
- a secondary electron beam image storage area for storing an electric signal received from the imaging unit 1324, that is, digital image data of a secondary electron beam image of the wafer 135 is stored. 1 3 2 0 is assigned.
- a reference image storage unit 1313 in which a reference image data of a wafer having no defect is stored in advance.
- a defect detection program 1319 is stored on the hard disk in addition to a control program for controlling the entire electron beam inspection apparatus.
- This defect detection program 1319 controls the movement of the stage 1304 in the XY plane and performs various arithmetic processing such as addition on the digital image data received from the imaging unit 1324 during this time. It has a function of reconstructing a secondary electron beam image on the storage area 1320 from the data obtained as a result. Further, the defect detection program 1319 reads out the secondary electron beam image data formed in the storage area 1320, and detects a defect of the wafer 1305 according to a predetermined algorithm based on the image data. Automatically detect. Next, the operation of this embodiment will be described.
- the primary electron beam is emitted from the electron beam source 1301a, and it is set through the rectangular aperture 1302a, quadrupole lens 1302b, EXB deflector 1306, and objective lens 13008.
- the irradiated wafer is irradiated onto the surface of the wafer 135.
- the inspection area of, for example, 100 zmX 50 ⁇ m on the wafer 135 is illuminated, and the secondary electron beam is emitted.
- This secondary electron beam is enlarged and projected on the lower surface of the multi-channel plate 1321 by the intermediate electrostatic lens 1309 and the projection electrostatic lens 1311, and is imaged by the imaging unit 1324.
- a secondary electron beam image of the projected area on the wafer 135 is obtained.
- the lens barrel 1 3 4 6 When an external force including a vibration component of the resonance frequency f 0 (150 Hz) is applied to the lens barrel 1 3 4 6 while capturing the enlarged secondary electron beam image, the lens barrel 1 3 4 6 Amplifies this vibration component at the resonance magnification (30 dB) determined by the transfer function and causes natural vibration.
- This vibration 1388 applies positive and negative pressure to the piezoelectric element 135.
- the piezoelectric element 1350 temporarily converts the vibration energy of the lens barrel 1346 into electric energy and outputs it.
- the piezoelectric element 1 3 5 0 both electrodes 1 3 5 2 a of, 1 3 5 2 b, the Inda inductance 1 3 5 8 (L) and the resistance 1 3 5 6 (R D) is connected in series resonant circuit Therefore, at the resonance frequency, the capacitive impedance of the piezoelectric element 135 and the inductive impedance L of the inductance 135 are canceled at the resonance frequency, and the impedance of the resonance circuit is effectively Only the resistance 2 0 5 6 (R D ). Therefore, at the time of resonance, almost all of the electric energy output from the piezoelectric element 135 is consumed by the resistor 135 (R D ).
- the piezoelectric element 135 generates a force so as to cancel the external force applied to the piezoelectric element 135 from the lens barrel 133, and cancels the vibration 138 generated by mechanical resonance.
- the resonance magnification can be reduced. Since the secondary electron beam is enlarged and mapped, the fluctuation of the mapping due to vibration is even greater.In this embodiment, it is possible to prevent the blurring of the mapping due to such fluctuation beforehand.
- the resonance component of the transfer function 1328 (corresponding to Fig. 29) of the lens barrel 1346 as a mechanical structure has a series with electrical frequency characteristics of 1384. Canceled by the resonance component of the resonance circuit 1336, the lens barrel 1336 has an overall transfer function 1386 with a low resonance magnification as a whole.
- the electron beam inspection apparatus 1301 of this embodiment inspects the wafer 13305 for defects from the image. Perform processing.
- a so-called pattern matching method or the like can be used.
- the reference image read from the reference image storage unit 13 13 is matched with the actually detected secondary electron beam image to calculate a distance value representing the similarity between the two. If this distance value is smaller than a predetermined threshold value, it is determined that the similarity is high and “no defect” is determined. On the other hand, when the distance value is equal to or larger than the predetermined threshold value, it is determined that the similarity is low, and it is determined that “there is a defect”.
- FIG. 31 (a) shows the image 1331 of the first detected die and the image 1332 of the second die detected second. If the third die image of another die is judged to be the same as or similar to the first image 1331, the part of the second die image 1333 that has a defect is defective. Is determined to be present, and a defective portion can be detected.
- FIG. 31 (b) shows an example of measuring the line width of a pattern formed on a wafer.
- the actual secondary electron beam intensity signal obtained when the actual pattern 13 4 on the wafer is scanned in the direction 1 3 3 5 is 1 3 3 6.
- the width 1 3 3 8 of the portion continuously exceeding the threshold level 1 3 3 7 can be measured as the line width of the pattern 1 3 3 4. If the line width thus measured is not within the predetermined range, it is determined that the pattern has a defect. Can be
- FIG. 31 (c) shows an example of measuring the potential contrast of a pattern formed on a wafer.
- an axially symmetric electrode 1339 is provided above the wafer 135, and a potential of 110 V is applied to a wafer potential of 0 V, for example.
- the equipotential surface of 12 V has a shape as shown by 140.
- the patterns 1341 and 1342 formed on the wafer have a potential of 14 V and 0 V, respectively.
- the secondary electron beam emitted from the pattern 1341 has an upward velocity corresponding to the kinetic energy of 2 eV on the 12V equipotential surface 1340.
- the secondary electron beam emitted from the pattern 1342 cannot be detected because it cannot cross the potential barrier of -2 V and is driven back to the wafer surface as shown by the orbit 1344. Therefore, the detected image of the pattern 1341 is bright and the detected image of the pattern 1342 is dark. Thus, a potential contrast is obtained. If the brightness and the potential of the detected image are calibrated in advance, the potential of the pattern can be measured from the detected image. Then, a defective portion of the pattern can be evaluated from the potential distribution. As described above, by performing each of the above-described measurements on a good secondary electron beam image without mapping blur obtained by the seventh embodiment of the present invention, a defect with higher precision can be obtained. Inspection can be realized.
- the electron beam inspection apparatus described so far as the seventh embodiment of the present invention is applied to a wafer inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
- the deterioration of the detected image due to the vibration of the mechanical structure can be prevented beforehand, so that a high-precision inspection can be performed efficiently and the shipment of defective products can be prevented.
- the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and the description thereof will be omitted.
- the seventh embodiment of the present invention is not limited to the above-described one, but can be arbitrarily and suitably changed within the scope of the present invention.
- the mechanical resonance frequency and mode are not always one, In such a case, it is possible to deal with this by installing the necessary number of actuary 1 3 2 5 at each point of the lens barrel.
- the mechanical structure block A shown in Fig. 27 (b) has a vibration in the X direction as well as a vibration in the Y direction, it will offset the separate actuations in the X direction.
- the B block and D block also have independent natural vibrations, these blocks may also be equipped with an actuator.
- the circuit for vibration damping 1 3 2 7 does not need to be equivalent to the series resonance circuit 1 360, and when the mechanical natural vibration has multiple resonance frequencies in the same vibration direction, the electrical frequency characteristics of the circuit Can have a plurality of resonance frequencies.
- the installation site of Actuyue can be applied not only to the lens barrel, but also to the parts necessary for accurately positioning the beam position, such as the XY stage 134, or the optical parts of various optical instruments. It is possible.
- the semiconductor wafer 135 is taken as an example of a sample to be inspected by the electron beam inspection apparatus of the seventh embodiment, the sample to be inspected is not limited to this, and a defect can be detected by an electron beam. Anything can be selected.
- a mask or the like on which a pattern for exposing a wafer is formed can be used as the inspection target.
- the seventh embodiment can be applied to an electron beam application apparatus that irradiates a beam onto a target position of a substance.
- the scope of application can be extended not only to the inspection of the substance but also to an apparatus that performs at least one of processing, manufacturing, and observation.
- the concept of a substance here is not only a wafer and the above-mentioned disk, but also any object whose beam can be inspected, processed, manufactured and / or observed by a beam.
- the device manufacturing method can be applied not only to the inspection during the manufacturing process of a semiconductor device, but also to the process itself of manufacturing a semiconductor device by a beam.
- the electron optical system and the like can be arbitrarily and suitably changed.
- the electron beam irradiating means of the electron beam inspection device 1301 is of a type in which a primary electron beam is incident on the surface of the wafer 1305 from above vertically, but the EXB deflector 1306 is omitted.
- primary electrons The lines may be obliquely incident on the surface of the wafer 135.
- An eighth embodiment of the present invention is directed to a combination of an electrostatic chuck, a wafer and an electrostatic chuck for electrostatically holding a wafer in an electron beam apparatus, and particularly to an electron beam using a deceleration electric field objective lens.
- the present invention relates to a combination of an electrostatic chuck and a wafer that can be used in an electron beam apparatus, and a device manufacturing method using an electron beam apparatus including a combination of an electrostatic chuck and a wafer.
- an electrode layer disposed on a substrate is formed by a plurality of mutually insulated electrodes, and a voltage is sequentially applied from one electrode to the other electrode.
- a power supply unit to be applied is provided.
- An electron beam apparatus using a deceleration electric field objective lens is also known.
- the eighth embodiment of the present invention can be used for a deceleration electric field objective lens in order to solve the above-mentioned problems, and has a side surface and a back surface covered with an insulating film, and the center of which is concavely distorted toward the chuck side. And a combination of a wafer and an electrostatic chuck, and an evaluation of a wafer in the process using such an electrostatic chuck or a combination of the wafer and the electrostatic chuck. Provide a device manufacturing method. ,
- FIG. 32 is a plan view of the electrostatic chuck 1410 according to the eighth embodiment of the present invention.
- FIG. 3 is a view of the electrode plate 1 4 1 2 with the wafer removed.
- FIG. 33 is a schematic vertical sectional view along the line M--M of the electrostatic chuck of FIG. 32, showing a state where a wafer is mounted and no voltage is applied.
- the electrostatic chuck 144 has a laminated structure including a substrate 144, an electrode plate 144, and an insulating layer 144.
- the electrode plate 1412 includes a first electrode 1401 and a second electrode 1442.
- the first electrode 1401 and the second electrode 1442 are separated so that a voltage can be separately applied, and are formed of a thin film so that they can move at high speed without generating an eddy current in a magnetic field. It is formed.
- the first electrode 1401 is composed of a central portion and a part of a peripheral portion of a circular electrode plate 1442 in a plan view, and the second electrode 1442 is a remaining horseshoe-shaped peripheral portion of the electrode plate. Consisting of minutes.
- An insulating layer 144 is disposed above the electrode plate 144.
- the insulating layer 144 is formed of a sapphire substrate having a thickness of 1 mm.
- Sapphire is a single crystal of alumina and does not have any small holes like alumina ceramics, so it has a high insulation breakdown voltage.
- sapphire substrate 1 mm thick may be sufficiently resistant to 1 0 4 V or more electric position difference.
- the application of a voltage to the wafer 144 is performed via a contact 144 having a knife-edge-shaped metal portion. As shown in FIG. 33, two contacts 144 are brought into contact with the side surface of the wafer 144.
- the reason for using two contacts 1406 is that if only one contact is used, conduction may not be established, and that the force that pushes the 1403 to one side is generated. Because I hated it.
- the contact layer 1406 is connected to the power supply 1414 via the resistor 1414 because the insulation layer 1440 may be broken and the conduction may occur, but particles may be scattered when discharging. Connected to 6 to prevent large discharge. If the resistance 1414 is too large, a conductive hole is not formed, and if it is too small, a large discharge occurs and particles are scattered.
- FIG. 34 shows a time chart of voltage application.
- the second electrode indicated by line B 4 kV is applied.
- Time t t 3 in voltage C of the wafer evaluation of the wafer sucked and held by the chucking is completed is to 0 V, the wafer is taken out to the outside.
- FIG. 35 is a block diagram showing an electron beam device provided with the electrostatic chuck described above.
- the electron beam emitted from the electron beam source 143 1 removes unnecessary beams through the aperture of the anode 1 432 that determines the aperture aperture (NA), and is reduced by the condenser lens 144 3 7 and the objective lens 1 443 Then, an image is formed on the wafer 1403 to which 14 kV is applied, and the wafer 1403 is scanned by the deflectors 14438 and 1442.
- the secondary electron beam emitted from the wafer 1403 is collected by the objective lens 1443, bent about 35 ° to the right by the EXB separator 1442, and detected by the secondary electron beam detector 1440. And an SEM image on the wafer is obtained.
- reference numerals 143 3 and 1 4 3 5 denote an axis alignment device, 1 4 3 4 an astigmatism correction device, 1 4 3 6 an aperture plate, 1 441 a shield, and 1 444 an Electrodes.
- the electrostatic chuck described with reference to FIGS. 33 and 34 is arranged below the wafer 1403, the electrostatic chuck described with reference to FIGS. 33 and 34 is arranged.
- the way of increasing or decreasing the voltage applied to the electrostatic chuck is not limited to that shown in FIG. 34 (a).
- the voltage may change exponentially as shown in FIG. 34 (b).
- any voltage may be used as long as it reaches a predetermined voltage within a certain time.
- a ninth embodiment of the present invention is directed to a device for irradiating a sample placed on an XY stage with an electron beam, a defect inspection device or an exposure device using the device, and a device using the device. It relates to a manufacturing method.
- the sample surface By irradiating a sample surface or the like of a semiconductor wafer or the like with an electron beam, the sample surface is exposed to a pattern of a semiconductor circuit or the like, or a device for inspecting a pattern formed on the sample surface, or In a device that performs ultra-precision processing on a sample by irradiating a line, a stage that accurately positions the sample in a vacuum is used.
- a structure is employed in which the stage is supported in a non-contact manner by a hydrostatic bearing.
- a differential exhaust mechanism that exhausts the high-pressure gas is formed in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not exhausted directly to the vacuum chamber, thereby maintaining the vacuum degree of the vacuum chamber. are doing.
- FIG. 1508 constituting a vacuum chamber C is provided with an electron beam generator 1501 for generating an electron beam and irradiating the sample with a tip, that is, an electron beam irradiation section 1502. Is attached.
- the inside of the lens barrel is evacuated by vacuum piping 1510, and chamber C is evacuated by vacuum piping 1511. Then, the electron beam is irradiated from the tip 1502 of the lens barrel 1501 to the sample S such as a wafer placed thereunder.
- the sample S is detachably held on the sample stage 1504 by a known method,
- the sample stage 1504 is mounted on the upper surface of the ⁇ -direction movable portion 1505 of the XY stage (hereinafter simply referred to as stage) 1503.
- the ⁇ -direction movable part 1505 has a surface facing the guide surface 1506a of the X-direction movable part 1506 of the stage 1503
- a plurality of static pressure bearings 1509 are mounted. By the action of these static pressure bearings 1509, a small gap is maintained between the guide surface and the Y direction (left and right directions in [B] in Fig. 36). ).
- Double grooves 1518 and 1517 are formed around the static pressure bearing 1509, and these grooves are constantly evacuated by vacuum piping and a vacuum pump (not shown). .
- the Y-direction movable section 1505 is supported in a non-contact state in vacuum and can move freely in the Y-direction.
- These double grooves 1518 and 1517 are formed on the surface of the movable portion 15005 on which the static pressure bearings 1509 are provided so as to surround the static pressure bearings. Since the structure of the hydrostatic bearing may be a known structure, a detailed description thereof will be omitted.
- the X-direction movable portion 1506 on which the Y-direction movable portion 1505 is mounted has a concave shape that opens upward, as is apparent from FIG.
- the movable unit 1506 is provided with exactly the same static pressure bearing and groove, is supported in a non-contact manner with the stage table 1507, and can move freely in the X direction.
- the sample S is moved to an arbitrary position in the horizontal direction with respect to the tip of the lens barrel, that is, the electron beam irradiation section 1502. By moving it, a desired position on the sample can be irradiated with the electron beam.
- the guide surface 1506a and 1507a facing the hydrostatic bearing 1509 are fixed to the static pressure bearing part. Reciprocating between the high-pressure gas atmosphere and the vacuum environment in the chamber. At this time, the gas adsorbs on the guide surface while being exposed to the high-pressure gas atmosphere, The state where the adsorbed gas is released when exposed to the vacuum environment is repeated. Therefore, every time the stage moves, the degree of vacuum in the chamber C deteriorates, and the above-mentioned processes such as exposure, inspection, and processing with the electron beam cannot be performed stably, and the sample is contaminated. There was a problem.
- Electron beam equipment that can stably perform inspection and processing by electron beam while preventing the degree of vacuum from lowering.
- An electron beam device having a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using differential evacuation to generate a pressure difference between an electron beam irradiation area and a support portion of the static pressure bearing;
- An electron beam device that reduces gas emitted from the component surface facing the hydrostatic bearing, a defect inspection device that inspects the sample surface using the above-described electron beam device, or an exposure device that draws a pattern on the surface of the sample.
- a semiconductor manufacturing method for manufacturing a semiconductor device using the electron beam apparatus as described above is described above.
- a ninth embodiment of the present invention will be described with reference to the drawings.
- a plate 1514 is attached, and a narrowed portion 1505 having a small conductance is always formed between the plate 1504 and the upper surface of the X-direction movable portion 1506.
- a similar partition plate 1512 is also provided on the upper surface of the X-direction movable part 6 so as to project in the ⁇ X direction (left and right directions in [A] in Fig. 38).
- the narrowed portion 1551 is always formed between the upper surface of the diaphragm 7 and the upper surface of the diaphragm 7.
- the stage stage 1507 is fixed in a known manner on the bottom wall in the housing 1508.
- Vacuum evacuation passages 1511-1-1 and 15111-2 are provided for this purpose.
- the exhaust passage extends through the stage base 1507 and the housing 1508 to the outside of the housing 1508. Further, the exhaust passage 1 5 1 1-2 is formed in the X-direction movable section 1506, and is opened at the lower surface of the X-direction movable section 1506.
- FIG. 39 shows a first modification of the ninth embodiment of the present invention.
- a cylindrical partition 15 16 is formed around the tip of the lens barrel, that is, around the electron beam irradiation section 150 2, so as to form an aperture between the sample S and the upper surface. .
- the inside of the partition 15 2 4 is partitioned by the partition 15 16 and the vacuum pipe 15 10 Since the air is exhausted, a pressure difference occurs between the inside of the champ C and the inside of the partition 15 24, and the pressure increase in the space 15 24 inside the partition can be suppressed low.
- the gap between the partition 15 16 and the sample surface is between the inside of the chamber C and the irradiation section 1 502 Although it depends on how much pressure is maintained on the side, about several tens of meters to several mm is appropriate.
- the inside of the partition 15 16 and the vacuum pipe are communicated by a known method.
- a high voltage of about several kV may be applied to the sample S, and a discharge may occur if a conductive material is placed near the sample.
- the material of the partition 15 16 is made of an insulating material such as ceramics, no discharge occurs between the sample S and the partition 15 16.
- the ring member 1504 _ 1 placed around the sample S is a plate-shaped adjustment part fixed to the sample stage 1504, and an electronic component is attached to the end of the sample such as a wafer. Even in the case of irradiating a line, the height is set to be the same as that of the wafer so that a minute gap 1552 is formed over the entire periphery of the leading end of the partition 1516. As a result, no matter what position of the sample S is irradiated with the electron beam, a constant minute gap 15 5 2 is always formed at the tip of the partition 15 16, and the space 15 2 around the tip of the lens barrel is formed. The pressure of 4 can be kept stable.
- FIG. 40 shows a second modification of the ninth embodiment of the present invention.
- a partition 1519 incorporating a differential pumping structure is provided around the electron beam irradiation section 2 of the lens barrel 1501.
- the partition 1519 has a cylindrical shape, and a circumferential groove 15020 is formed therein, and an exhaust passage 1521 extends upward from the circumferential groove.
- the exhaust passage is connected to a vacuum pipe 15 23 via an internal space 152.
- the lower end of the partition 15 19 forms a small gap of about several tens zm to several mm with the upper surface of the sample S.
- the gas is released from the stage with the movement of the stage, and the pressure in the chamber C rises, so that even if the gas tries to flow into the tip part, that is, the electron beam irradiation part 1502, the partition 15 1 Since the conductance of sample 9 is very small by narrowing the gap with sample S, the gas flow is obstructed and the flow rate decreases. Furthermore, since the gas that has flowed in is exhausted from the circumferential groove 1520 to the vacuum pipe 1523, most of the gas that flows into the space 1524 around the electron beam irradiation section 1502 is exhausted. As a result, the pressure of the electron beam irradiation section 1502 can be maintained at a desired high vacuum. FIG.
- a partition 152 is provided around the chamber C and the electron beam irradiation unit 1502, and separates the electron beam irradiation unit 1502 from the chamber C.
- the partition 1526 is connected to the refrigerator 1503 via a support member 15029 made of a material having good heat conductivity such as copper or aluminum, and the Or — it is cooled to about 200 ° C.
- the member 1527 is for inhibiting the heat conduction between the cooled partition 1526 and the lens barrel, and is made of a material having poor heat conductivity such as ceramics or resin material.
- the member 1528 is made of a non-insulating material such as ceramics, and is formed at the lower end of the partition 1526 to prevent discharge of the sample S and the partition 1526.
- the gas molecules that are going to flow into the electron beam irradiation unit from the chamber C are blocked by the partition 152, and even if they flow, the gas molecules are frozen and trapped on the surface of the partition 152. Therefore, the pressure in the space 152 in which the electron beam irradiation unit 1502 is located can be kept low.
- FIG. 42 shows a fourth modification of the ninth embodiment of the present invention.
- the two movable parts of the stage 1503 are provided with partition plates 1512 and 1514 in the same manner as shown in Fig. 38, and the sample stage 1504 is located at an arbitrary position. Even if it moves, these partitions partition the space 15 13 in the stage and the chamber C through the apertures 150 5 and 1 5 1. Further, a partition 1516 similar to that shown in FIG. 39 is formed around the electron beam irradiation section 1502, and the space inside the chamber C and the electron beam irradiation section 1502 is located. 1 5 2 4 is partitioned via the aperture 1 5 5 2.
- a partition 15 19 incorporating a differential exhaust mechanism can be used. By making the partition cooled by a refrigerator as shown in FIG. 0, the space 152 can be stably maintained at a lower pressure.
- FIG. 43 schematically shows an optical system and a detection system of the electron beam device according to the ninth embodiment.
- the optical system is provided in the lens barrel 1501, but the optical system and the detector are merely examples, and any optical system and detector can be used as needed.
- the optical system 1560 of the electron beam device is composed of a primary optical system 1561 that irradiates the sample S placed on the stage 1503 with an electron beam, and a secondary electron beam emitted from the sample. And a secondary optical system 1571 to be input.
- the primary optical system 1561 is a lens system 1 consisting of an electron beam source 1562 that emits an electron beam, and a two-stage electrostatic lens that focuses the electron beam emitted from the electron beam source 1562. 5 6 3, 1 5 6 4 and deflector 1
- Wien filter 1 5 6 6 that deflects the electron beam so that its optical axis is perpendicular to the target surface
- a lens system 1 5 6 7 and 1 5 6 8 consisting of a two-stage electrostatic lens
- Wien filter 1 5 6 6 is electrode 1 5 6 6—1 and magnet 1 5
- the secondary optical system 1571 is an optical system to which the secondary electron beam emitted from the sample S is injected, and a two-stage electrostatic lens placed above the primary optical system's Vienna filter 1566. Lens system consisting of The detector 1580 detects the secondary electron beam sent via the secondary optical system 1571. Since the structure and function of each component of the optical system 1560 and the detector 1580 are the same as the conventional one, detailed description thereof will be omitted.
- the electron beam emitted from the electron beam source 1 562 is shaped by the square aperture of the electron beam source and reduced by the two-stage lens system 156 3 and 156 4, and the polarizer 1 556
- the optical axis is adjusted by, and an image is formed into a square with a side of 1.25 mm on the deflection center plane of the window filter 1566.
- the Wien filter 1566 has a structure in which an electric field and a magnetic field are perpendicular to each other in a plane perpendicular to the normal of the sample.
- the electron beam goes straight, At other times, the beam is deflected in a predetermined direction by the mutual relationship between the electric field, the magnetic field, and the energy of the electric field.
- settings are made so that the electron beam from the electron beam source is perpendicularly incident on the sample S, and the secondary electron beam emitted from the sample is made to travel straight toward the detector 228. .
- the shaped beam deflected by the Wien filter 15566 is reduced to 1-5 by the lens systems 1567 and 15668 and projected onto the sample S.
- the secondary electron beam with the information of the pattern image emitted from the sample S is magnified by the lens systems 1567, 1568 and 1572, 1573, and the detector 1580 To form a secondary electron beam image.
- the lens systems 1567 and 1568 form a symmetric tablet lens
- the lens systems 1572 and 1573 also form a symmetric plate lens. It is a distortion-free lens.
- the ninth embodiment of the present invention is applicable to the inspection step (G) or the exposure step (c) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b). Since fine patterns can be inspected or exposed stably with high accuracy, it is possible to improve product yield and prevent defective products from being shipped.
- the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
- the tenth embodiment of the present invention relates to a device for irradiating a sample placed on an XY stage with an electron beam, and more specifically, to a device around a lens barrel without providing a differential pumping mechanism on the XY stage.
- the present invention relates to an electron beam apparatus provided with a dynamic exhaust mechanism, a defect inspection apparatus or an exposure apparatus using the apparatus, and a device manufacturing method using the apparatus.
- the conventional XY stage which combines a static pressure bearing and a differential exhaust mechanism, is used in air due to the provision of a differential exhaust mechanism.
- the structure is more complicated and larger than a static pressure bearing type stage, the stage reliability is low, and the cost is high.
- FIGS. 36 and 37 and FIGS. References made to them are cited.
- the tenth embodiment of the present invention solves the above problem
- An electron beam device that has a simple structure and can be made compact without the differential exhaust mechanism of the XY stage.
- An electron beam apparatus provided with a differential pumping mechanism for evacuating the inside of the housing accommodating the XY stage and evacuating an area on the sample surface to be irradiated with the electron beam;
- a defect inspection device that inspects a sample, or an exposure device that draws a pattern on the surface of
- a semiconductor manufacturing method for manufacturing a semiconductor device using the electron beam apparatus as described above is described above.
- vacuum is used in a normal meaning in the technical field.
- FIG. 44 a distal end of a lens barrel 1601, which irradiates an electron beam toward a sample, that is, an electron beam irradiating section 1602, is attached to a housing 1614 defining a vacuum chamber C.
- the sample S placed on the movable table in the X direction (the left and right direction in FIG. 44) of the XY stage 1603 is arranged immediately below the lens barrel 1601.
- the sample S can be accurately irradiated with an electron beam at an arbitrary position on the sample surface by the high-precision XY stage 163.
- the pedestal 166 of the XY stage 163 is fixed to the bottom wall of the housing 166 and moves in the Y direction (perpendicular to the paper in FIG. 24). On the top. On both sides (left and right sides in FIG. 24) of the Y table 1605, a pair of Y direction guides 1607a and 1607b mounted on the pedestal 1606 are provided. A protruding portion is formed to protrude into a concave groove formed on the facing side. The groove extends in the Y direction over substantially the entire length of the Y direction guide.
- a well-known hydrostatic bearing 1611a, 1609a, 1611b, and 1609b are provided on the upper, lower, and side surfaces of the protrusion protruding into the groove.
- the Y table 16 05 By blowing high-pressure gas through these hydrostatic bearings, the Y table 16 05 is supported in a non-contact manner with respect to the Y direction guides 1607a and 1607b, so that it can reciprocate smoothly in the Y direction.
- a linear motor 1612 with a known structure is arranged between the base 1606 and the ⁇ table 1605 so that the ⁇ direction drive can be performed by the linear motor. ing.
- the table is supplied with high-pressure gas by a flexible pipe 1622 for supplying high-pressure gas.
- the static pressure bearings 1609a to 1611 through gas passages (not shown) formed in the table. High-pressure gas is supplied to a and 1609b to 1611b.
- the high-pressure gas supplied to the hydrostatic bearing is jetted into a gap of several microns to several tens of microns formed between the Y-direction guide and the opposing guide surface, causing the Y table to move in the X direction with respect to the guide surface. And the Z direction (vertical direction in Fig. 44).
- an X table 1604 is mounted so as to be movable in the X direction (the left and right direction in FIG. 44).
- a pair of X direction guides 1608a which have the same structure as the Y direction guides 1607a and 1607b for the Y table,
- 16608b (only 16608a is shown) is provided with the X table 1604 interposed therebetween.
- a groove is also formed on the side of the X direction guide facing the X table, and a protrusion protruding into the groove is formed on the side of the X table (side facing the X direction guide). ing.
- the groove extends over almost the entire length of the X-direction guide.
- the static pressure bearings 16 11 a, 16 09 a, 16 10 a, 16 11 b, 16 Static pressure bearings (not shown) similar to 09b and 1610b are provided in a similar arrangement.
- a linear motor 1613 having a known structure is arranged between the Y table 1605 and the X table 1604 so that the X table is driven in the X direction by the linear motor. I have. Then, high-pressure gas is supplied to the X-table 1604 by a flexible pipe 1621, and the high-pressure gas is supplied to the static pressure bearing. This high-pressure gas is ejected from the static pressure bearing to the guide surface of the X-direction guide, so that the X table 1604 is supported with high precision and non-contact with the Y-direction guide.
- Vacuum chamber C is a vacuum chamber connected to a vacuum pump with a well-known structure. The pipes 16 19, 16 20a, 16 20b are evacuated.
- the inlet side of the pipes 1620a and 1620b penetrates the pedestal 1606, and on the upper surface, near the position where high-pressure gas is discharged from the XY stage 1603. This prevents the pressure inside the vacuum chamber from rising as much as possible due to the high-pressure gas ejected from the hydrostatic bearing.
- a differential pumping mechanism 1625 is provided around the tip of the lens barrel 1601, that is, around the electron beam irradiating section 1602, so that even if the pressure in the vacuum chamber C is high, the electron beam irradiating space 1
- the pressure of 630 is set to be sufficiently low. That is, the annular member 1626 of the differential evacuation mechanism 1625 mounted around the electron beam irradiation section 1602 has a small gap between its lower surface (the surface on the sample S side) and the sample. (Several microns to hundreds of microns)
- the housing 1614 is positioned so as to form 1640, and an annular groove 1627 is formed on the lower surface thereof.
- the annular groove 1627 is connected to a vacuum pump or the like (not shown) by an exhaust pipe 1628.
- the minute gap 1640 is exhausted through the annular groove 1627 and the exhaust port 1628, and from the vacuum chamber C into the space 1630 surrounded by the annular member 1626. Even if gas molecules try to enter, they will be exhausted. Thereby, the pressure in the electron beam irradiation space 1630 can be kept low, and the electron beam can be irradiated without any problem.
- the annular groove may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the electron beam irradiation space 1630.
- Dry nitrogen is generally used as the high-pressure gas supplied to the hydrostatic bearing.
- a higher purity inert gas is because, when impurities such as moisture and oil are contained in the gas, these impurity molecules adhere to the inner surface of the housing and the surface of the stage components that define the vacuum chamber, deteriorating the degree of vacuum. This is because they adhere to the surface and deteriorate the degree of vacuum in the electron beam irradiation space.
- the sample S is not usually placed directly on the X table, but is held in such a way that the sample can be It is placed on a sample stage that has functions such as making various position changes. Have been.
- the stage mechanism of the static pressure bearing used in the atmosphere can be used almost as it is, the Y-stage having the same high precision as the high-precision stage for the atmosphere used in the exposure apparatus and the like; It can be realized for an XY stage for an electron beam device at almost the same cost and size.
- the annular groove has a double structure of 1627a and 1627b, which are separated in the radial direction.
- the flow rate of the high-pressure gas supplied to the hydrostatic bearing is usually about 20 L Zmin (atmospheric pressure conversion).
- the vacuum chamber C is evacuated with a dry pump having a pumping speed of 2000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the vacuum chamber becomes about 16 Pa (approximately 1.2 Torr).
- the shape of the differential pumping mechanism is not limited to concentric circles as in this embodiment as long as the pressure in the electron beam irradiation space 1630 can be maintained at a predetermined pressure, and may be rectangular or polygonal. . Furthermore, it may be provided on a part of the periphery instead of the entire circumference.
- FIG. 46 shows a piping system for the apparatus shown in FIG. A dry vacuum pump 1653 is connected to the vacuum chamber C defined by the housing 1614 via vacuum pipes 1674 and 1675.
- the annular groove 1627 of the differential pumping mechanism 1625 is connected to an ultra-high vacuum pump through a vacuum pipe 1670 connected to the exhaust port 1628. 6 5 1 is connected.
- the inside of the lens barrel 1601 is connected to a turbo molecular pump 1652 via a vacuum pipe 1671 connected to an exhaust port 1618.
- These evening molecular pumps 165 1 and 165 2 are connected to a dry vacuum pump 165 3 by vacuum pipes 166 2 and 167 3.
- one dry vacuum pump is used for both the roughing pump of the turbo molecular pump and the vacuum exhaust pump of the vacuum chamber.
- the flow rate of the high-pressure gas supplied to the static pressure bearing of the XY stage and the volume of the vacuum chamber In some cases, these may be evacuated with a dry vacuum pump of a different system, depending on the inner surface area, inner diameter and length of the vacuum pipe.
- High-purity inert gas (N 2 gas, Ar gas, etc.) is supplied to the static pressure bearing of the XY stage 16 03 through the flexible pipes 16 21 and 16 22.
- These gas molecules ejected from the hydrostatic bearing diffuse into the vacuum chamber and are exhausted by the dry vacuum pump 235 3 through the exhaust ports 1619, 1620a, 1620b.
- these gas molecules that have entered the differential exhaust mechanism or the electron beam irradiation space are sucked from the annular groove 1627 or the tip of the lens barrel 1601, and the exhaust ports 1628 and 1661
- the gas is exhausted by the turbo molecular pumps 1651 and 1652 through 8, and is exhausted by the dry vacuum pump 1653 after being discharged from the turbomolecular pump.
- the high-purity inert gas supplied to the hydrostatic bearing is collected by the dry vacuum pump and discharged.
- the exhaust port of the dry vacuum pump 1 65 3 is connected to the compressor 16 54 via the pipe 16 67, and the exhaust port of the compressor 23 16 is connected to the pipes 16 7 7 and 16 7 It is connected to flexible pipes 1621 and 1622 via 8, 1679 and Regyuray 1661,1662.
- the high-purity inert gas discharged from the dry vacuum pump 1653 is pressurized again by the compressor 1654 and adjusted to an appropriate pressure by the regi Accommodations 1661 and 1662. After that, it is supplied to the static pressure bearing of the XY table again.
- the gas supplied to the hydrostatic bearing must be as pure as possible and contain as little moisture and oil as possible. Dry pumps and compressors are required to have a structure that prevents moisture and oil from entering the gas flow path.
- a cold trap, filter, etc. (1660) are provided in the middle of the compressor's discharge piping 167,7 to trap impurities such as water and oil mixed in the circulating gas and to provide a static pressure bearing. It is also effective not to supply them to the public.
- the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved.
- the inert gas since the inert gas does not flow into the room where the present apparatus is installed, the inert gas can be reused. The risk of accidents such as suffocation due to suffocation can be reduced.
- a high-purity inert gas supply system 166 3 is connected to the circulation piping system.When gas circulation is started, the vacuum chamber C and vacuum piping 1670 to 1675 and the pressure side The role of filling high-purity inert gas in all circulating systems, including piping 166 7 to 1680, and the role of supplying shortage when the flow rate of circulating gas decreases for some reason I am carrying it.
- a pump such as an ion pump or a gas pump can be used instead of the turbo molecular pump.
- a pump such as an ion pump or a gas pump can be used instead of the turbo molecular pump.
- these storage pumps are used,
- a circulation piping system cannot be constructed.
- other types of dry pumps such as a diaphragm type dry pump can be used instead of the dry vacuum pump.
- the tenth embodiment described with reference to FIGS. 44 to 46 includes the optical system and the detection system described with reference to FIG. 43, similarly to the ninth embodiment.
- the description related to FIG. 43 is used.
- the tenth embodiment of the present invention also relates to the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
- the inspection process (G) or the exposure process (c) in the above fine pattern can be inspected or exposed stably with high accuracy, so that the product yield can be improved and defective products can be prevented from being shipped.
- the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
- Embodiment relating to lens of optical system (11th embodiment)
- the eleventh embodiment of the present invention relates to an electron beam apparatus for evaluating a pattern or the like formed on the surface of a sample and a device manufacturing method for evaluating the sample during or after a process using the electron beam apparatus. More specifically, it has a high throughput, such as defect inspection of devices on the sample, CD measurement, potential contrast measurement, and high time-resolved potential measurement, etc., which have a pattern with a minimum line width of 0.1 m or less.
- the present invention relates to an electron beam apparatus which can be performed with high reliability and a device manufacturing method for evaluating a sample during or after a process using such an electron beam apparatus.
- the conventional scanning electron microscope as described above scans the sample surface with a thin electron beam, that is, a beam.Therefore, when a sample having a large area is evaluated, there is a problem that throughput is significantly reduced. . Further, in the known charge-up detection function, it is necessary to measure various currents with a high time resolution, and the state of the charge-up cannot always be detected correctly.
- an electron beam apparatus which has a compact optical system by improving the structure of a lens of the optical system, thereby improving the throughput. And an electron beam device with improved charge-up detection function and improved evaluation reliability, and a device that can evaluate samples during or after the process with a high production yield using the electron beam device as described above.
- the present invention provides a manufacturing method.
- a first embodiment of the electron beam apparatus according to the present invention will be described with reference to the drawings. In FIG.
- the electron beam device 1701 includes a primary electron optical system (hereinafter simply referred to as a primary optical system) 1701 and a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 1 720 and a detection system 1730.
- the primary optical system 1710 is an optical system that irradiates an electron beam to the surface of an evaluation target (hereinafter, referred to as a sample) S such as a wafer, and an electron beam source that emits an electron beam, that is, an electron beam 1711 And a condenser lens 1 7 1 2 that focuses the primary electron beam emitted from the electron beam source 1 7 1 1, a Wien filter 1 7 1 5, and an objective lens 1 7 1 6. They are arranged as shown in FIG.
- Reference numerals 17 14 and 17 17 denote axis alignment devices for aligning the primary electron beam, 17 18 a deflector for scanning the primary electron beam, and 17 19 an axially symmetric electrode. It is.
- the secondary optical system 1720 is arranged along an optical axis inclined with respect to the optical axis of the primary optical system. Although not shown in FIG. 47, the secondary optical system may include at least one lens.
- the detection system 173 ⁇ includes a detector 1731, and an image forming unit 1733 connected to the detector 1731 via an amplifier 1732.
- the sample S is supported on a holder 1741 on the XY stage 1740 so that it can be attached and detached by a known method, and the XY stage 1 ⁇ 40 allows the sample S to move in two orthogonal directions (in the horizontal direction and the paper surface in Fig. (Vertical direction).
- the electron beam device 1701 further includes a retarding voltage applying device (hereinafter referred to as an applying device) 1750 electrically connected to the holder 1741, a charge-up investigation and a determination of the retarding voltage.
- a retarding voltage applying device hereinafter referred to as an applying device
- the survey and decision system 1760 comprises a monitor 1760 connected electrically to the image forming section 1733, an operator 1762 connected to the monitor 1756, and an operator 1750. 6 connected to the CPU 6 2.
- the CPU 176 3 3 supplies a signal to the application device 1 750 and the deflector 177.
- the condenser lens 17 12 which is an electrostatic axially symmetric lens, is formed by shaving an integral ceramic and having an axial cross section as shown in FIG. That is, the condenser lens 1712 includes a main body 17122-1 made of ceramics.
- the main body 17 1 2—1 has a circular shape in the center so as to define a circular hole 17 1 2—2 in the center, and the inner peripheral side is in the vertical direction (along the optical axis) in FIG. Direction) is divided into three plate-like parts 1 7 1 2—3 to 1 7 1 2—5.
- These coating films 1 7 1 2-6 to 1 7 1 2-8 are used as electrodes (upper electrode 1 7 1 2-6, intermediate electrode 1 7 1 2-7 and lower electrode 1 7 1 2-8), respectively. Functioning, a voltage close to the ground side is applied to the coating film, that is, the upper and lower electrodes 17 1 2—6 and 17 12—8, and the absolute value is applied to the middle coating film, that is, the intermediate electrode 17 1 2—7.
- a large positive or negative high voltage is applied by the electrode fittings 1712-9 provided on the main body 1712-2-1, thereby performing the function of the lens. Since such a lens is formed by shaving the ceramics and processing at the same time, the processing accuracy is high and the outer diameter of the lens can be reduced.
- the outer diameter of the lens can be reduced, so that the outer diameter of the lens barrel housing the electron beam apparatus can be reduced. Therefore, for a sample such as a wafer having a large diameter, a plurality of lens barrels can be arranged for one sample. For example, assuming that the outer diameter of the lens (diameter) is 40 mm, as shown in FIG. 48, four columns 1702 in the X direction are arranged in two rows in the Y direction, for a total of 8 columns. One lens barrel 1702 can be arranged for one sample. Then, by moving the stage (not shown) holding the material S continuously in the Y direction and scanning it with each lens barrel in the X direction, the evaluation can be performed using only one electron beam. 7 to 8 times the throughput of the above.
- the crossover image of the electron beam source created by the primary electron beam is reduced by the condenser lens 1712 and the objective lens 1716 to form a narrow beam of about 50 nm, and scans it over the sample S. Irradiated.
- the secondary electron beam emitted from the sample by the irradiation with the primary electron beam is drawn by the axially symmetric electrode 17 19 to the objective lens side.
- the potential contrast of the sample pattern can be obtained by passing through the objective lens 17 16 or returning to the sample side.
- the secondary electron beam that has passed through the objective lens is separated from the primary optical system 1710 by the Vienna Filler 1715 and introduced into the secondary electron optical system (hereinafter simply referred to as the secondary optical system) 1720.
- the detection is detected by the detector 1731 of the detection system 1730.
- the detector 1731 converts the detected secondary electron beam image into an electric signal representing its intensity.
- the electric signal output from each detector in this way is amplified by the corresponding amplifier 1732, input to the image forming unit 1733, and converted into image data by the image forming unit. Since a scanning signal for deflecting the primary electron beam is further supplied to the image forming unit 173 3, the image forming unit displays an image representing the surface of the sample S.
- a defect of the sample S can be detected.
- a single electron beam is used.
- the use of a plurality of beams is better than a single beam in terms of improving throughput.
- the image data converted by the image forming unit 173 3 is displayed as an image by the display device 176 1 of the investigation and determination device 176, and the image is evaluated by the operator 176 2.
- the operator 1762 executes a charge-up investigation function. Also, the operator 1762 can investigate the charge-up state based on the image. Then, the result is input to CPU1763, and the retarding voltage is set to an optimum value.
- C PU 176 3 constitutes a retarding voltage determination device in this embodiment.
- the area that is susceptible to the charge-up of the sample to be evaluated was formed on the surface of the wafer as the sample.
- the corner portion of the memory cell 1771 of the chip 1770 was evaluated.
- the signal intensity contrast obtained during scanning is shown by the solid lines 177 and 1777 in [B] of Figure 49, showing the center of the chip.
- the signal intensity contrasts obtained when the cursor was scanned by arrows A3 and A4 were compared with 17776 and 17778 (both indicated by broken lines in Fig. 4.9 [B]). You may.
- the value is given to the applying device 1750 through the CPU 1766, or if an optimal beam current value is found, those The value of the sample, that is, the wafer, is evaluated.
- the eleventh embodiment of the present invention can be used for the inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
- the eleventh embodiment of the present invention can be used for the inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b).
- the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and description thereof will be omitted.
- Embodiment relating to defect detection first and second embodiments
- the 12th embodiment of the present invention relates to a defect inspection apparatus for inspecting a defect of a sample by comparing an image of the sample such as a semiconductor wafer with a reference image prepared in advance, and a defect inspection apparatus for inspecting the defect.
- the present invention relates to a semiconductor device manufacturing method for manufacturing a semiconductor device using an inspection device.
- a defect inspection apparatus for inspecting a defect in a sample such as a semiconductor wafer by irradiating the sample with a primary electron beam and detecting a secondary electron beam generated by the secondary electron beam has been used in a semiconductor manufacturing process or the like. ing.
- a defect inspection apparatus there is a technique for applying an image recognition technique to automate and improve the efficiency of the defect inspection.
- the pattern image data of the region to be inspected on the sample surface obtained by detecting the secondary electrons and the reference image data of the sample surface stored in advance are matched by a computer, and the matching result is calculated. Based on this, it is automatically determined whether the sample has a defect.
- the 12th embodiment of the present invention provides a defect inspection apparatus that prevents a decrease in defect inspection accuracy due to a positional shift between an image to be inspected and a reference image ′, and a semiconductor device.
- a semiconductor manufacturing method is provided in which a defect inspection of a sample is performed by using the above-described defect inspection apparatus, thereby improving the yield of device products and preventing shipment of defective products.
- FIG. 50 shows a schematic configuration of the defect inspection device according to the eleventh embodiment of the present invention.
- This defect inspection system consists of an electron beam source 1801 that emits a primary electron beam, an electrostatic lens 1802 that deflects and shapes the emitted primary electron beam, and a shaped primary beam.
- the Vienna Filler 1803 deflects the electron beam so that it hits the semiconductor wafer 1805 almost perpendicularly in a field orthogonal to the electric field E and the magnetic field B.
- the deflected primary electron beam is a wafer 180 5
- Detector 1807 that detects the formed image as a secondary electron beam image of the wafer, and controls the entire apparatus and generates a secondary electron beam image detected by detector 1807.
- the control unit 1816 executes a process of detecting a defect of the wafer 1805 based on the control information.
- the secondary electron beam image includes not only the contribution from the secondary electron beam but also the contribution from the reflected electrons.
- a deflection electrode 1811 for deflecting the incident angle of the primary electron beam to the wafer 1805 by an electric field or the like is interposed between the objective lens 1810 and the wafer 1805.
- the deflection electrode 1811 is connected to the deflection electrode 1811 which controls the electric field of the deflection electrode.
- the deflection controller 1812 is connected to the control unit 1816, and the deflection electrode 1811 generates an electric field corresponding to a command from the control unit 1816. Control.
- the deflection controller 1812 can be configured as a voltage control device that controls the voltage applied to the deflection electrode 1811.
- the detector 1807 may have any configuration as long as the secondary electron beam image formed by the electrostatic lens 1806 can be converted into a signal that can be post-processed.
- the detector 1807 is composed of a multi-channel plate 1805, a phosphor screen 1852, a relay lens 1854, and a number of CCDs. And an image sensor 18 composed of elements.
- the multi-channel plate 1850 has a number of channels in the plate, and a larger number of secondary electrons imaged by the electrostatic lens 1806 are passed through the channels. Generate electrons. That is, the secondary electron beam is amplified.
- the phosphor screen 1852 converts the secondary electron beam into light by emitting fluorescence with the amplified secondary electron beam.
- the relay lens 1854 transfers this fluorescence to the CCD image sensor 1856.
- the CCD image sensor 1856 converts the intensity distribution of the secondary electrons on the wafer 1805 surface into an electric signal for each element, that is, digital image data, and outputs it to the control unit 1816.
- control unit 1816 can be configured by a general-purpose personal convenience store or the like.
- This computer has a control unit main body 1814 that executes various controls and arithmetic processing according to a predetermined program, a CRT 1815 that displays the processing results of the main body 1814, and an operator to input commands.
- the control unit 1816 may be provided with an input unit 1818 such as a keypad mouse.
- hardware dedicated to the defect inspection apparatus or a workstation may be used.
- the control section main body 18 14 is composed of various control boards such as CPU, RAM, ROM, hard disk, and video board (not shown).
- a memory such as a RAM or a hard disk
- a secondary electron beam image storage area for storing an electric signal received from the detector 1807, that is, digital image data of a secondary electron beam image of the wafer 1805. 1 808 is assigned.
- a reference image storage section 1813 in which reference image data of a wafer having no defect is stored in advance.
- secondary electron beam image data is read out from the storage area 1808, and the wafer 1805 is read in accordance with a predetermined algorithm based on the image data.
- a defect detection program 1809 for automatically detecting defects is stored.
- This defect detection program 1809 matches the reference image read from the reference image storage section 1813 with the actually detected secondary electron beam image, as will be described in detail later.
- the secondary overcurrent generation image 1817 may be displayed on the display section of the CRT 1815.
- the wafer 1805 to be inspected is set on the stage 1804 (step Step 1). This may be a mode in which a large number of wafers 1805 stored in a loader (not shown) are automatically set on the stage 1804 one by one.
- images of a plurality of regions to be inspected displaced from each other while partially overlapping on the XY plane of the wafer 1805 surface are obtained (step 1904).
- the plurality of inspection areas to be image-acquired are, for example, reference numbers 1832a and 1832b18 on the wafer inspection surface 1834 as shown in FIG.
- FIG. 51 16 images (inspection images) of 16 inspection regions are acquired.
- the rectangular cells correspond to one pixel (or a block unit larger than the pixel may be used), and the black cells represent the image portion of the pattern on the wafer 1805. Is equivalent to The details of this step 1904 will be described later with reference to the flowchart of FIG.
- step 1904 the image data of the plurality of inspected regions acquired in step 1904 are compared with the reference image data stored in the storage unit 1813, respectively (see step 190 in FIG. 52). 8) It is determined whether or not the wafer inspection surface covered by the plurality of inspection areas has a defect. In this step, a so-called matching process between image data is executed, and details thereof will be described later with reference to a flowchart of FIG. If it is determined from the comparison result of step 1908 that there is a defect on the wafer inspection surface covered by the plurality of inspection areas (step 1912 affirmative determination), an operator is warned of the presence of the defect. (Steps 1918).
- a message indicating the presence of a defect may be displayed on the display section of the CRT 1815, or an enlarged image 1817 of the pattern having the defect may be displayed at the same time.
- a defective wafer may be immediately taken out of the sample chamber 1803 and stored in a storage location different from the defect-free wafer (step 1919).
- step 1912 negative determination If it is determined that there is no defect on the wafer 1805 as a result of the comparison processing in step 1908 (step 1912 negative determination), the wafer to be inspected is now 1808 It is determined whether the area to be inspected still remains for Step 1 9 1 4). If the area to be inspected remains (step 1914 affirmative), the stage 1804 is driven so that the wafer 1 is moved so that another area to be inspected is within the irradiation area of the primary electron beam. Move 805 (Step 1916 ) c After that, return to Step 902 and repeat the same process for the other inspection area.
- the wafer 1805 to be inspected is It is determined whether or not the wafer is the last wafer, that is, whether or not an uninspected wafer remains in a loader (not shown) (step 1920). If the wafer is not the final wafer (Step 1920, negative determination), the inspected wafer is stored in the specified storage location, and a new uninspected wafer is set on stage 1804 instead (Step 19). twenty two ) . Thereafter, the flow returns to step 1902, and the same processing is repeated for the wafer. If it is the last wafer (Step 19220: YES), the inspected wafer is stored in a predetermined storage location, and the entire process is completed.
- the image number i is set to an initial value 1 (step 1930).
- This image number is an identification number sequentially assigned to each of the plurality of inspected region images.
- the image position on the inspection area of the set image number i (X:, Y 5) determining (Step 1 9 3 2).
- This image position is defined as a specific position in the region for defining the inspection region, for example, a center position in the region.
- 1 1
- the image position (X i, Y) is obtained, which corresponds to, for example, the center position of the inspection area 1832 a shown in FIG.
- the image position is determined in advance, and is stored, for example, on the hard disk of the controller 1816, and is read out in step 1932.
- the primary electron beam passing through the deflection electrode 1811 in FIG. 50 is irradiated onto the inspection image area at the image position (Xi, Y,) determined in step 1932,
- the deflection controller 18 1 2 applies a potential to the deflection electrode 18 1 1 (steps 19 in Fig. 53). 34).
- the primary electron beam is emitted from the electron beam source 2501, and passes through the electrostatic lens 1802, the Vienna fill 1800, the objective lens 1810, and the deflection electrode 1811. Then, the surface of the set wafer 1805 is irradiated (step 1936).
- the primary electron beam is deflected by the electric field generated by the deflecting electrode 1811 and is irradiated over the entire inspection image area at the image position (X ;, Y;) on the wafer inspection surface 1834.
- the test area is 1832a.
- a secondary electron beam and / or a reflected electron beam (hereinafter, collectively referred to as “secondary electron beam”) is emitted from the inspection area irradiated with the primary electron beam. Therefore, the generated secondary electron beam is detected at a predetermined magnification by the electrostatic lens 1806 of the magnifying projection system.
- the detector 1807 detects the formed secondary electron beam and converts it into an electric signal for each detecting element, that is, digital image data and outputs it (step 190).
- the digital image data of the detected image number i is transferred to the secondary electron beam image storage area 1808 (step 1940).
- the image number i is incremented by 1 (step 1 942), increment the image number (i + 1) is you determine whether exceeds the predetermined value i MAX (step 1 944).
- This i MAX is the number of images to be inspected to be acquired, and is “16” in the above-described example of FIG.
- the flow returns to step 1932 again, and the image position (X i + 1 , ⁇ ) is determined for the incremented image number (i + 1).
- ⁇ + 1 ) is determined again.
- This image position is a position shifted from the image position (Xi, Y;) determined in the previous routine by a predetermined distance ( ⁇ , ⁇ Y;) in the X direction and the Z or Y direction.
- the value of (2,... I MAX ) is based on data on how much the pattern 1830 on the wafer inspection surface 1834 actually deviates from the field of view of the detector 1807, and the area to be inspected. Can be determined as appropriate from the number and area of.
- the processing of steps 1932 to 1942 is sequentially and repeatedly executed for i MA x inspection areas.
- these inspection areas are the inspection surface 18 3 of the wafer so that the inspection image area 18 32 k at the image position (X k , Y k ) moved k times. On 4 above, the position is shifted while partially overlapping. In this way, the 16 image data to be inspected illustrated in FIG. 51 are acquired in the image storage area 1808.
- the acquired images 18 3 2 of the plurality of inspection areas are images 18 3 of the patterns 18 3 on the wafer inspection surface 18 34. It can be seen that 0a is partially or completely incorporated.
- Step 1 9 4 4 affirmative constant determination
- the main routine returns the Sapuru one Chin (Step 1 9 0 8) Transition.
- the image data transferred to the memory in step 1940 is composed of secondary electron intensity values (so-called solid data) for each pixel detected by the detector 1807. Since the matching calculation is performed with the reference image in (Step 1908 in FIG. 52), the data can be stored in the storage area 8 after various calculation processes are performed.
- Such arithmetic processing includes, for example, normalization processing for matching the size and Z or density of image data to the size and Z or density of reference image data, and an isolated pixel group having a predetermined number of pixels or less. For example, there is a process for removing noise as noise.
- the data may be subjected to data compression conversion to a feature matrix in which the features of the detected pattern are extracted within a range that does not reduce the detection accuracy of the high-definition pattern.
- a feature matrix for example, a two-dimensional inspection area consisting of MXN pixels is divided into mxn (m x M, n ⁇ N) blocks, and the secondary electron beam intensity values of the pixels included in each block.
- the mxn feature matrix, etc. which is the sum of (or the normalized value obtained by dividing this sum by the total number of pixels of the entire inspection area) is used as each matrix component.
- the reference image data is also stored in the same expression.
- the image data referred to in the first embodiment is not only simple data but also an image whose features are extracted by an arbitrary algorithm. Includes image data.
- the CPU of the control section 1816 reads out the reference image data from the reference image storage section 1813 (FIG. 50) onto a working memory such as a RAM (step 195).
- This reference image is represented by reference numeral 1836 in FIG.
- the image number i is reset to 1 (step 1952), and the image data to be inspected of the image number i is read from the storage area 1808 into the working memory (step 1954). .
- the distance value D i represents the similarity between the reference image and the image to be inspected i.
- the larger the distance value the greater the difference between the reference image and the image to be inspected.
- Any value representing the similarity can be adopted as the distance value Di.
- the image data consists of MXN pixels
- the secondary electron beam intensity (or feature amount) of each pixel is regarded as each position vector component in the MXN dimensional space, and the reference image vector in this MXN dimensional space is used.
- the Euclidean distance or correlation coefficient between the image i vector and the i vector may be calculated.
- the distance value between the image data represented by the m ⁇ n feature vectors may be calculated as described above.
- This threshold Th is experimentally determined as a criterion for determining a sufficient match between the reference image and the image to be inspected.
- Step 1 9 5 8 Yes determination the said inspection surface 1 8 3 4 of the wafer 1 8 0 5 determines that "no defect" (Sutetsu flop 1 960), this subroutine is returned. That is, if at least one of the inspected images substantially matches the reference image, it is determined that there is no defect. Since there is no need to perform matching with all the images to be inspected, high-speed determination is possible. Become. In the example of FIG. 51, it can be seen that the image to be inspected in the third row and the third column substantially matches the reference image without any displacement.
- step 1958 negative determination
- the image number i is incremented by 1 (step 1962), and the incremented image number (i + 1) It is determined whether or not exceeds a fixed value i MAX (step 1964). If the image number i does not exceed the fixed value i MAX (step 1964, negative determination), the process returns to step 1954 again, reads out the image data for the incremented image number (i + 1), and performs the same processing. repeat.
- the inspection surface 1834 of the wafer 1805 is determined to be “defective” (step 1966) ), Return this subroutine. That is, if all of the images to be inspected do not substantially match the reference image, it is determined that there is a defect.
- the defect inspection apparatus can be used in the wafer inspection step (G) in the device manufacturing method described with reference to FIGS. 3 and 4 (a) and (b). .
- defects can be inspected with high accuracy without image defects in the secondary electron image, thereby improving product yield and preventing shipment of defective products. It becomes possible.
- the description regarding (a) and (b) in FIGS. 3 and 4 will be referred to, and the description thereof will be omitted.
- the 12th embodiment of the present invention is not limited to only the items described so far, but can be arbitrarily changed.
- a semiconductor wafer 1805 was taken as an example of a sample to be inspected, but the sample to be inspected of the present invention is not limited to this, and any sample that can detect a defect by an electron beam is used. Can be selected.
- a mask or the like on which an exposure pattern on a wafer is formed can be used as an inspection target.
- the 12th embodiment of the present invention is applicable to any device capable of acquiring an image capable of inspecting a sample for defects.
- the deflection electrode 1811 can be placed not only between the objective lens 1810 and the wafer 1805 but also at any position as long as the irradiation area of the primary electron beam can be changed. Wear. For example, there is between the Vienna Filler 1803 and the objective lens 1801, between the electron beam source 1801 and the Vienna Filler 1803, and the like. Furthermore, by controlling the field generated by the Wien filter 1803, the deflection direction may be controlled. That is, the function of the deflection electrode 1811 may also be used for the Wien filter 1803.
- first and second embodiments when matching between image data is performed, either matching between pixels or matching between feature vectors is performed.
- both can be combined.
- high-speed matching is performed using a feature vector with a small amount of computation, and as a result, for an image to be inspected with a high degree of similarity, matching is performed with more detailed pixel data. Accuracy and compatibility can be achieved.
- the positional deviation of the image to be inspected is dealt with only by the positional deviation of the irradiation area of the primary electron beam.
- the optimal matching area on the image data before or during the matching processing is adjusted. It is also possible to combine the present invention with the process of searching for (for example, detecting and matching regions having a high correlation coefficient). According to this, a large positional deviation of the image to be inspected can be dealt with by the positional deviation of the irradiation area of the primary electron beam according to the present invention, and a relatively small positional deviation can be absorbed by the digital image processing in the subsequent stage. The accuracy of defect detection can be improved.
- FIG. 50 is shown as an electron beam device for defect inspection, the electron optical system and the like can be arbitrarily and suitably changed.
- the electron beam irradiating means (1801, 1802, 1803) of the defect inspection apparatus shown in the figure receives a primary electron beam from above perpendicularly to the surface of the wafer 1805.
- the Wien filter 1803 may be omitted, and the primary electron beam may be obliquely incident on the surface of the wafer 1805.
- the processing according to the flowchart in FIG. 52 is not limited to the processing described in the figure.
- the defect inspection of other areas is not performed.
- the processing flow may be changed as described above.
- the irradiation area of the primary electron beam can be expanded to cover almost the entire inspection area of the sample with one irradiation, steps 1914 and 1916 can be omitted.
- predetermined voltage As described above, the first to the 12th embodiments of the present invention have been described in detail, but in any of the embodiments, the term “predetermined voltage” and measurement such as inspection are performed. It means voltage.
- an electron beam is used as a charged particle beam.
- the present invention is not limited to this.
- uncharged particle beams such as light and electromagnetic waves.
- the target substance When the charged particle beam device according to the present invention is operated, the target substance floats and is attracted to the high-pressure region due to the proximity interaction (particle charging near the surface), and is used for forming and deflecting the charged particle beam.
- Organic materials are deposited on various electrodes. Organic substances that gradually accumulate due to surface electrification have an adverse effect on the formation and deflection mechanism of charged particle beams, and such accumulated organic substances must be removed periodically. Therefore, in order to periodically remove the deposited organic substance, hydrogen, oxygen or fluorine and their alternatives HF, H 20 are used in a vacuum using an electrode near the area where the organic substance is deposited.
- C M F N produces a plasma, such as by keeping the plasma potential in the space sputtering the electrode surface evening occurs potential (several k V, for example 2 0 V ⁇ 5 k V), the oxidation only organic substances , Hydrogenation and fluorination are preferred.
- the present invention as understood from the first embodiment, by providing a detection device using a charged particle beam, it is possible to significantly improve the throughput as compared with the related art.
- the overall configuration of a projection projection type inspection system using charged particle beams can be obtained. Inspection objects can be processed in throughput.
- Inspection of the inspection target while monitoring dust in the mini-environment space by monitoring the dust in the mini-environment space by supplying a clean gas to the inspection target to prevent dust from adhering and providing a sensor for observing cleanliness. Can be.
- the inspection target can be supplied to the stage device and inspected without being affected by the external environment.
- the electrode or a part of the electrode is coated with a metal having a work function of 5 eV or more, the secondary charged particle beam is hardly emitted from the electrode, and the discharge is less likely to occur between the electrodes. Insulation rupture is reduced in the
- the electrode or part of the electrode is coated with platinum (work function: 5, 3 [eV]) or an alloy containing platinum as a main material, secondary charged particle beams may be emitted from the electrode. Discharge between the electrodes is less likely to occur, and dielectric breakdown occurs between the electrodes. Less likely to be
- At least one of the electrodes has a first electrode surface and a second electrode surface, and a step is provided between these electrode surfaces so that the surface of the insulating material is processed into a crease shape or the like. Processing cost is low,
- the present invention has a special effect that the influence of chromatic aberration generated in the EXB separator by the energy distribution of the primary charged particle beam or the secondary charged particle beam can be reduced. Play.
- the charged particle beam scanning and detection system can be focused only by adjusting the low voltage, the settling time can be reduced, that is, focusing can be performed in a short time.
- a piezoelectric element is mounted on the mechanical structure so as to receive a force due to the vibration of the mechanical structure, and a vibration damping circuit for attenuating electric energy output from the piezoelectric element is electrically connected to the piezoelectric element. Because of the connection, unnecessary vibrations due to the resonance of the structure that positions the beam can be appropriately attenuated so that beam positioning can be maintained with high accuracy without necessarily increasing the rigidity of the structure.
- the voltage required for holding and holding the wafer is applied in conjunction with the voltage applied to the wafer, so that the wafer can be securely held until the inspection of the wafer is completed. Can be held by suction,
- the electrostatic chuck of the present invention By using the electrostatic chuck of the present invention and the combination of the wafer and the electrostatic chuck in the device manufacturing method, the wafer is reliably attracted and held by the electrostatic chuck of the moving table during the inspection, and the semiconductor having a fine pattern is formed. Devices can also be inspected at high throughput, and therefore 100% inspection is possible, improving product yield and preventing defective products from being shipped.
- the stage device can exhibit high-precision positioning performance in a vacuum, and the pressure at the charged particle beam irradiation position does not easily rise. That is, the load on the sample Electron particle beam processing can be performed with high accuracy.
- the inside of the vacuum chamber is divided into a charged particle beam irradiation chamber, a static pressure bearing chamber, and an intermediate chamber via a small conductance, and the pressure in each chamber is charged in ascending order. Since the vacuum exhaust system is configured to be a particle beam irradiation chamber, an intermediate chamber, and a static pressure bearing chamber, the pressure fluctuation to the intermediate chamber is further suppressed by the partition, and the pressure fluctuation to the charged particle beam irradiation chamber is It is further reduced by another partition, and it becomes possible to reduce the pressure fluctuation to a level that is substantially no problem.
- the pressure rise when the stage moves can be kept low.
- An inspection device with high stage positioning performance and stable vacuum degree in the irradiation area of the charged particle beam can be realized, providing an inspection device with high inspection performance and no risk of contaminating the sample. can do,
- An exposure system with high stage positioning performance and stable vacuum degree in the charged particle beam irradiation area can be realized, providing an exposure system with high exposure accuracy and no risk of contamination of the sample. can do,
- Microfabrication of semiconductor circuits can be achieved by manufacturing semiconductors using equipment that has high-precision stage positioning performance and a stable vacuum degree in the charged particle beam irradiation area.
- stage a stage supporting a hydrostatic bearing without a differential pumping mechanism
- Processing with charged particle beams can be performed stably.
- stage a stage supporting a hydrostatic bearing without a differential pumping mechanism
- Fine semiconductor circuits can be formed by manufacturing semiconductors using equipment that has high-precision stage positioning performance and a stable degree of vacuum in the charged particle beam irradiation area.
- the throughput can be improved to a multiple proportional to the number of optical systems.
Description
Claims
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP01945626A EP1304717A4 (en) | 2000-07-27 | 2001-06-27 | FLOOR BEAM ANALYSIS APPARATUS |
JP2002518494A JP3993094B2 (ja) | 2000-07-27 | 2001-06-27 | シートビーム式検査装置 |
KR1020027015278A KR100873447B1 (ko) | 2000-07-27 | 2001-06-27 | 시트빔식 검사장치 |
Applications Claiming Priority (22)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000-227132 | 2000-07-27 | ||
JP2000227132 | 2000-07-27 | ||
JP2000-335756 | 2000-11-02 | ||
JP2000335756 | 2000-11-02 | ||
JP2000-374164 | 2000-12-08 | ||
JP2000374164 | 2000-12-08 | ||
JP2001-22931 | 2001-01-31 | ||
JP2001022931 | 2001-01-31 | ||
JP2001031906 | 2001-02-08 | ||
JP2001031901 | 2001-02-08 | ||
JP2001-31901 | 2001-02-08 | ||
JP2001-33599 | 2001-02-09 | ||
JP2001-31906 | 2001-02-09 | ||
JP2001033599 | 2001-02-09 | ||
JP2001036088 | 2001-02-13 | ||
JP2001-36088 | 2001-02-13 | ||
JP2001-68301 | 2001-03-12 | ||
JP2001068301 | 2001-03-12 | ||
JP2001115013 | 2001-04-13 | ||
JP2001-115013 | 2001-04-13 | ||
JP2001158662 | 2001-05-28 | ||
JP2001-158662 | 2001-05-28 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2002013227A1 true WO2002013227A1 (fr) | 2002-02-14 |
Family
ID=27582322
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2001/005494 WO2002013227A1 (fr) | 2000-07-27 | 2001-06-27 | Appareil d'analyse a faisceau plan |
Country Status (5)
Country | Link |
---|---|
US (4) | US7049585B2 (ja) |
EP (1) | EP1304717A4 (ja) |
JP (3) | JP3993094B2 (ja) |
KR (1) | KR100873447B1 (ja) |
WO (1) | WO2002013227A1 (ja) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005523459A (ja) * | 2002-04-17 | 2005-08-04 | 株式会社荏原製作所 | 試料表面の検査装置及び方法 |
US7005641B2 (en) | 2001-06-15 | 2006-02-28 | Ebara Corporation | Electron beam apparatus and a device manufacturing method by using said electron beam apparatus |
WO2008053518A1 (fr) * | 2006-10-30 | 2008-05-08 | Topcon Corporation | Appareil de vérification de semi-conducteur et procédé de vérification de semi-conducteur |
EP1389793A3 (de) * | 2002-08-02 | 2009-06-10 | Carl Zeiss NTS GmbH | Elektronenmikroskopiesystem |
US20100281578A1 (en) * | 2009-05-04 | 2010-11-04 | Pioneer Hi-Bred International, Inc. | Yield Enhancement in Plants by Modulation of AP2 Transcription Factor |
JP2013080722A (ja) * | 2006-01-25 | 2013-05-02 | Ebara Corp | 試料表面検査方法及び検査装置 |
JP2014112087A (ja) * | 2003-05-09 | 2014-06-19 | Ebara Corp | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 |
JP2016027604A (ja) * | 2014-06-24 | 2016-02-18 | 株式会社荏原製作所 | 表面処理装置 |
JPWO2020090580A1 (ja) * | 2018-10-29 | 2021-09-16 | 京セラ株式会社 | 電子線描画装置用枠部材および電子線描画装置 |
Families Citing this family (172)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2002001596A1 (en) * | 2000-06-27 | 2002-01-03 | Ebara Corporation | Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus |
JP2002040200A (ja) * | 2000-07-21 | 2002-02-06 | Shin Etsu Chem Co Ltd | 細線用電子線照射装置及びそれを用いた光ファイバの製造方法 |
JP3993094B2 (ja) * | 2000-07-27 | 2007-10-17 | 株式会社荏原製作所 | シートビーム式検査装置 |
EP1271606A1 (en) * | 2000-11-02 | 2003-01-02 | Ebara Corporation | Electron beam apparatus and device production method using the apparatus |
JP2002260296A (ja) * | 2001-02-28 | 2002-09-13 | Sony Corp | 電子ビーム照射装置及び電子ビーム照射方法 |
TW579536B (en) * | 2001-07-02 | 2004-03-11 | Zeiss Carl Semiconductor Mfg | Examining system for the particle-optical imaging of an object, deflector for charged particles as well as method for the operation of the same |
JP4144197B2 (ja) * | 2001-07-04 | 2008-09-03 | 新科實業有限公司 | 振動抑制機構及び振動抑制機構を備えたヘッドジンバルアセンブリ |
US20030014146A1 (en) * | 2001-07-12 | 2003-01-16 | Kabushiki Kaisha Toshiba | Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method |
US6998611B2 (en) * | 2001-09-06 | 2006-02-14 | Ebara Corporation | Electron beam apparatus and device manufacturing method using same |
JP3996774B2 (ja) * | 2002-01-09 | 2007-10-24 | 株式会社日立ハイテクノロジーズ | パターン欠陥検査方法及びパターン欠陥検査装置 |
JP4261806B2 (ja) * | 2002-02-15 | 2009-04-30 | 株式会社日立ハイテクノロジーズ | 電子線装置及びその高電圧放電防止方法 |
US7227141B2 (en) * | 2002-07-15 | 2007-06-05 | Ebara Corporation | Electron beam apparatus |
US20040075051A1 (en) * | 2002-10-17 | 2004-04-22 | Schlumberger Technologies, Inc. | Apparatus and method for image optimization of samples in a scanning electron microscope |
DE60332808D1 (de) * | 2003-03-24 | 2010-07-15 | Integrated Circuit Testing | Ladungsträgerstrahlvorrichtung |
US7138629B2 (en) * | 2003-04-22 | 2006-11-21 | Ebara Corporation | Testing apparatus using charged particles and device manufacturing method using the testing apparatus |
JP2004363085A (ja) * | 2003-05-09 | 2004-12-24 | Ebara Corp | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 |
US7211796B2 (en) * | 2003-05-27 | 2007-05-01 | Kabushiki Kaisha Toshiba | Substrate inspection apparatus, substrate inspection method and method of manufacturing semiconductor device |
JP4350429B2 (ja) * | 2003-06-05 | 2009-10-21 | キヤノン株式会社 | 露光装置、およびデバイスの製造方法 |
EP1498930A1 (en) * | 2003-07-14 | 2005-01-19 | ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH | Charged particle beam device with multi-source array |
KR101051370B1 (ko) * | 2003-09-05 | 2011-07-22 | 어플라이드 머티리얼즈 이스라엘 리미티드 | 입자광 시스템 및 장치와 이와 같은 시스템 및 장치용입자광 부품 |
US6962648B2 (en) * | 2003-09-15 | 2005-11-08 | Global Silicon Net Corp. | Back-biased face target sputtering |
US20060249370A1 (en) * | 2003-09-15 | 2006-11-09 | Makoto Nagashima | Back-biased face target sputtering based liquid crystal display device |
DE10344538A1 (de) * | 2003-09-25 | 2005-05-12 | Integrated Dynamics Eng Gmbh | Verfahren und Vorrichtung zur Schwingungsisolation, insbesondere für Elektronenstrahl-Meßwerkzeuge |
US7400759B2 (en) * | 2003-12-23 | 2008-07-15 | Eastman Kodak Company | Method for testing a plastic sleeve for an image cylinder or a blanket cylinder |
US7176459B2 (en) * | 2003-12-25 | 2007-02-13 | Ebara Corporation | Electron beam apparatus |
JP4509578B2 (ja) * | 2004-01-09 | 2010-07-21 | 浜松ホトニクス株式会社 | レーザ加工方法及びレーザ加工装置 |
FR2865135B1 (fr) * | 2004-01-20 | 2007-10-05 | Serac Group | Installation de sterilisation d'articles par bombardement electronique |
JP4262158B2 (ja) * | 2004-07-13 | 2009-05-13 | 株式会社日立ハイテクサイエンスシステムズ | 低真空走査電子顕微鏡 |
JP4230968B2 (ja) * | 2004-07-20 | 2009-02-25 | 株式会社日立ハイテクノロジーズ | 荷電粒子線装置 |
US20070034518A1 (en) * | 2005-08-15 | 2007-02-15 | Virgin Islands Microsystems, Inc. | Method of patterning ultra-small structures |
US7791290B2 (en) * | 2005-09-30 | 2010-09-07 | Virgin Islands Microsystems, Inc. | Ultra-small resonating charged particle beam modulator |
US7626179B2 (en) * | 2005-09-30 | 2009-12-01 | Virgin Island Microsystems, Inc. | Electron beam induced resonance |
US7586097B2 (en) * | 2006-01-05 | 2009-09-08 | Virgin Islands Microsystems, Inc. | Switching micro-resonant structures using at least one director |
JP5214090B2 (ja) * | 2004-11-30 | 2013-06-19 | 株式会社Sen | ビーム偏向走査方法及びビーム偏向走査装置並びにイオン注入方法及びイオン注入装置 |
US7394070B2 (en) * | 2004-12-27 | 2008-07-01 | Hitachi High-Technologies Corporation | Method and apparatus for inspecting patterns |
JP4611755B2 (ja) * | 2005-01-13 | 2011-01-12 | 株式会社日立ハイテクノロジーズ | 走査電子顕微鏡及びその撮像方法 |
KR20070116260A (ko) * | 2005-03-22 | 2007-12-07 | 가부시키가이샤 에바라 세이사꾸쇼 | 전자선장치 |
JP4537277B2 (ja) * | 2005-07-08 | 2010-09-01 | 株式会社日立ハイテクノロジーズ | 半導体検査装置 |
WO2007013398A1 (ja) * | 2005-07-26 | 2007-02-01 | Ebara Corporation | 電子線装置 |
US20090256075A1 (en) * | 2005-09-06 | 2009-10-15 | Carl Zeiss Smt Ag | Charged Particle Inspection Method and Charged Particle System |
JP4845468B2 (ja) * | 2005-10-06 | 2011-12-28 | オリンパス株式会社 | 観察装置 |
JP4928890B2 (ja) * | 2005-10-14 | 2012-05-09 | 株式会社東芝 | 不揮発性半導体記憶装置 |
US20070084717A1 (en) * | 2005-10-16 | 2007-04-19 | Makoto Nagashima | Back-biased face target sputtering based high density non-volatile caching data storage |
US20070084716A1 (en) * | 2005-10-16 | 2007-04-19 | Makoto Nagashima | Back-biased face target sputtering based high density non-volatile data storage |
US7276708B2 (en) * | 2005-11-23 | 2007-10-02 | Far-Tech, Inc. | Diagnostic resonant cavity for a charged particle accelerator |
DE602006013707D1 (de) | 2005-11-28 | 2010-05-27 | Applied Materials Israel Ltd | Teilchenoptische komponente |
US20070145266A1 (en) * | 2005-12-12 | 2007-06-28 | Avi Cohen | Electron microscope apparatus using CRT-type optics |
US7579609B2 (en) * | 2005-12-14 | 2009-08-25 | Virgin Islands Microsystems, Inc. | Coupling light of light emitting resonator to waveguide |
US7470920B2 (en) * | 2006-01-05 | 2008-12-30 | Virgin Islands Microsystems, Inc. | Resonant structure-based display |
US20070152781A1 (en) * | 2006-01-05 | 2007-07-05 | Virgin Islands Microsystems, Inc. | Switching micro-resonant structures by modulating a beam of charged particles |
US7619373B2 (en) * | 2006-01-05 | 2009-11-17 | Virgin Islands Microsystems, Inc. | Selectable frequency light emitter |
JP2007212288A (ja) * | 2006-02-09 | 2007-08-23 | Toshiba Corp | パターン検査方法、パターン検査装置およびプログラム |
US20070190794A1 (en) * | 2006-02-10 | 2007-08-16 | Virgin Islands Microsystems, Inc. | Conductive polymers for the electroplating |
US7443358B2 (en) * | 2006-02-28 | 2008-10-28 | Virgin Island Microsystems, Inc. | Integrated filter in antenna-based detector |
US20070200646A1 (en) * | 2006-02-28 | 2007-08-30 | Virgin Island Microsystems, Inc. | Method for coupling out of a magnetic device |
US7605835B2 (en) * | 2006-02-28 | 2009-10-20 | Virgin Islands Microsystems, Inc. | Electro-photographic devices incorporating ultra-small resonant structures |
US20070200063A1 (en) * | 2006-02-28 | 2007-08-30 | Virgin Islands Microsystems, Inc. | Wafer-level testing of light-emitting resonant structures |
US20070200071A1 (en) * | 2006-02-28 | 2007-08-30 | Virgin Islands Microsystems, Inc. | Coupling output from a micro resonator to a plasmon transmission line |
US20070205096A1 (en) * | 2006-03-06 | 2007-09-06 | Makoto Nagashima | Magnetron based wafer processing |
US7558490B2 (en) * | 2006-04-10 | 2009-07-07 | Virgin Islands Microsystems, Inc. | Resonant detector for optical signals |
US7492868B2 (en) * | 2006-04-26 | 2009-02-17 | Virgin Islands Microsystems, Inc. | Source of x-rays |
US7876793B2 (en) * | 2006-04-26 | 2011-01-25 | Virgin Islands Microsystems, Inc. | Micro free electron laser (FEL) |
US7646991B2 (en) * | 2006-04-26 | 2010-01-12 | Virgin Island Microsystems, Inc. | Selectable frequency EMR emitter |
US20070264023A1 (en) * | 2006-04-26 | 2007-11-15 | Virgin Islands Microsystems, Inc. | Free space interchip communications |
US7986113B2 (en) * | 2006-05-05 | 2011-07-26 | Virgin Islands Microsystems, Inc. | Selectable frequency light emitter |
US7554083B2 (en) * | 2006-05-05 | 2009-06-30 | Virgin Islands Microsystems, Inc. | Integration of electromagnetic detector on integrated chip |
US7436177B2 (en) * | 2006-05-05 | 2008-10-14 | Virgin Islands Microsystems, Inc. | SEM test apparatus |
US8188431B2 (en) | 2006-05-05 | 2012-05-29 | Jonathan Gorrell | Integration of vacuum microelectronic device with integrated circuit |
US7656094B2 (en) | 2006-05-05 | 2010-02-02 | Virgin Islands Microsystems, Inc. | Electron accelerator for ultra-small resonant structures |
US7583370B2 (en) * | 2006-05-05 | 2009-09-01 | Virgin Islands Microsystems, Inc. | Resonant structures and methods for encoding signals into surface plasmons |
US20070258675A1 (en) * | 2006-05-05 | 2007-11-08 | Virgin Islands Microsystems, Inc. | Multiplexed optical communication between chips on a multi-chip module |
US20070258720A1 (en) * | 2006-05-05 | 2007-11-08 | Virgin Islands Microsystems, Inc. | Inter-chip optical communication |
US7443577B2 (en) * | 2006-05-05 | 2008-10-28 | Virgin Islands Microsystems, Inc. | Reflecting filtering cover |
US7723698B2 (en) * | 2006-05-05 | 2010-05-25 | Virgin Islands Microsystems, Inc. | Top metal layer shield for ultra-small resonant structures |
US7586167B2 (en) * | 2006-05-05 | 2009-09-08 | Virgin Islands Microsystems, Inc. | Detecting plasmons using a metallurgical junction |
US7718977B2 (en) | 2006-05-05 | 2010-05-18 | Virgin Island Microsystems, Inc. | Stray charged particle removal device |
US7476907B2 (en) * | 2006-05-05 | 2009-01-13 | Virgin Island Microsystems, Inc. | Plated multi-faceted reflector |
US7442940B2 (en) * | 2006-05-05 | 2008-10-28 | Virgin Island Microsystems, Inc. | Focal plane array incorporating ultra-small resonant structures |
US20070272931A1 (en) * | 2006-05-05 | 2007-11-29 | Virgin Islands Microsystems, Inc. | Methods, devices and systems producing illumination and effects |
US20070257273A1 (en) * | 2006-05-05 | 2007-11-08 | Virgin Island Microsystems, Inc. | Novel optical cover for optical chip |
US7732786B2 (en) * | 2006-05-05 | 2010-06-08 | Virgin Islands Microsystems, Inc. | Coupling energy in a plasmon wave to an electron beam |
US7710040B2 (en) | 2006-05-05 | 2010-05-04 | Virgin Islands Microsystems, Inc. | Single layer construction for ultra small devices |
US7728702B2 (en) * | 2006-05-05 | 2010-06-01 | Virgin Islands Microsystems, Inc. | Shielding of integrated circuit package with high-permeability magnetic material |
US7728397B2 (en) * | 2006-05-05 | 2010-06-01 | Virgin Islands Microsystems, Inc. | Coupled nano-resonating energy emitting structures |
US7741934B2 (en) * | 2006-05-05 | 2010-06-22 | Virgin Islands Microsystems, Inc. | Coupling a signal through a window |
US7569836B2 (en) * | 2006-05-05 | 2009-08-04 | Virgin Islands Microsystems, Inc. | Transmission of data between microchips using a particle beam |
US7557647B2 (en) * | 2006-05-05 | 2009-07-07 | Virgin Islands Microsystems, Inc. | Heterodyne receiver using resonant structures |
US7746532B2 (en) * | 2006-05-05 | 2010-06-29 | Virgin Island Microsystems, Inc. | Electro-optical switching system and method |
US7573045B2 (en) * | 2006-05-15 | 2009-08-11 | Virgin Islands Microsystems, Inc. | Plasmon wave propagation devices and methods |
US20070274365A1 (en) * | 2006-05-26 | 2007-11-29 | Virgin Islands Microsystems, Inc. | Periodically complex resonant structures |
US7679067B2 (en) * | 2006-05-26 | 2010-03-16 | Virgin Island Microsystems, Inc. | Receiver array using shared electron beam |
CN101461026B (zh) * | 2006-06-07 | 2012-01-18 | Fei公司 | 与包含真空室的装置一起使用的滑动轴承 |
US7655934B2 (en) * | 2006-06-28 | 2010-02-02 | Virgin Island Microsystems, Inc. | Data on light bulb |
US8454810B2 (en) | 2006-07-14 | 2013-06-04 | 4D-S Pty Ltd. | Dual hexagonal shaped plasma source |
TWI443704B (zh) * | 2006-09-12 | 2014-07-01 | Ebara Corp | 荷電粒子束裝置及使用該裝置之元件製造方法 |
US7450794B2 (en) | 2006-09-19 | 2008-11-11 | Virgin Islands Microsystems, Inc. | Microcircuit using electromagnetic wave routing |
US7560716B2 (en) * | 2006-09-22 | 2009-07-14 | Virgin Islands Microsystems, Inc. | Free electron oscillator |
US20080116390A1 (en) * | 2006-11-17 | 2008-05-22 | Pyramid Technical Consultants, Inc. | Delivery of a Charged Particle Beam |
KR100824693B1 (ko) * | 2006-11-20 | 2008-04-24 | 한국기초과학지원연구원 | 혼성 이온 전송 장치 |
JP4920385B2 (ja) * | 2006-11-29 | 2012-04-18 | 株式会社日立ハイテクノロジーズ | 荷電粒子ビーム装置、走査型電子顕微鏡、及び試料観察方法 |
KR100833647B1 (ko) * | 2006-12-19 | 2008-05-30 | 한국표준과학연구원 | 고에너지 하전입자 스펙트로미터 |
US7659513B2 (en) * | 2006-12-20 | 2010-02-09 | Virgin Islands Microsystems, Inc. | Low terahertz source and detector |
JP5134826B2 (ja) * | 2007-02-07 | 2013-01-30 | 株式会社日立ハイテクノロジーズ | 荷電粒子線装置 |
US7925072B2 (en) * | 2007-03-08 | 2011-04-12 | Kla-Tencor Technologies Corp. | Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods |
US7990336B2 (en) * | 2007-06-19 | 2011-08-02 | Virgin Islands Microsystems, Inc. | Microwave coupled excitation of solid state resonant arrays |
JP5028159B2 (ja) * | 2007-06-29 | 2012-09-19 | 株式会社日立ハイテクノロジーズ | 荷電粒子線装置 |
JP5497980B2 (ja) * | 2007-06-29 | 2014-05-21 | 株式会社日立ハイテクノロジーズ | 荷電粒子線応用装置、及び試料検査方法 |
US7713786B2 (en) * | 2007-07-19 | 2010-05-11 | Innovative Micro Technology | Etching/bonding chamber for encapsulated devices and method of use |
JP5094282B2 (ja) * | 2007-08-29 | 2012-12-12 | 株式会社日立ハイテクノロジーズ | ローカル帯電分布精密計測方法及び装置 |
JP4750090B2 (ja) * | 2007-09-14 | 2011-08-17 | 住友重機械工業株式会社 | ステージ装置 |
US7791053B2 (en) | 2007-10-10 | 2010-09-07 | Virgin Islands Microsystems, Inc. | Depressed anode with plasmon-enabled devices such as ultra-small resonant structures |
JP5226352B2 (ja) * | 2008-03-21 | 2013-07-03 | オリンパス株式会社 | 生体観察装置及び生体観察方法 |
DE102008020611A1 (de) * | 2008-04-24 | 2009-11-05 | Dürr NDT GmbH & Co. KG | Kassette und Vorrichtung zur Prüfung von Objekten |
US8232522B2 (en) * | 2008-06-25 | 2012-07-31 | Hitachi High-Technologies Corporation | Semiconductor inspecting apparatus |
JP5277250B2 (ja) * | 2008-09-25 | 2013-08-28 | 株式会社日立ハイテクノロジーズ | 荷電粒子線応用装置およびその幾何収差測定方法 |
US9443698B2 (en) * | 2008-10-06 | 2016-09-13 | Axcelis Technologies, Inc. | Hybrid scanning for ion implantation |
DE102008062450B4 (de) * | 2008-12-13 | 2012-05-03 | Vistec Electron Beam Gmbh | Anordnung zur Beleuchtung eines Substrats mit mehreren individuell geformten Partikelstrahlen zur hochauflösenden Lithographie von Strukturmustern |
US8680466B2 (en) * | 2009-02-27 | 2014-03-25 | Hitachi High-Technologies Coporation | Electron microscope, and specimen holding method |
JP5315100B2 (ja) * | 2009-03-18 | 2013-10-16 | 株式会社ニューフレアテクノロジー | 描画装置 |
NL2004706A (nl) * | 2009-07-22 | 2011-01-25 | Asml Netherlands Bv | Radiation source. |
DE102009052392A1 (de) * | 2009-11-09 | 2011-12-15 | Carl Zeiss Nts Gmbh | SACP-Verfahren und teilchenoptisches System zur Ausführung eines solchen Verfahrens |
US8294125B2 (en) | 2009-11-18 | 2012-10-23 | Kla-Tencor Corporation | High-sensitivity and high-throughput electron beam inspection column enabled by adjustable beam-limiting aperture |
KR101156180B1 (ko) * | 2010-05-14 | 2012-06-18 | 한국생산기술연구원 | 진공유지 및 실시간 얼라인이 가능한 소형 전자빔 장치 |
US8692193B2 (en) * | 2010-08-05 | 2014-04-08 | Hermes Microvision, Inc. | Method for inspecting EUV reticle and apparatus thereof |
EP2622626B1 (en) * | 2010-09-28 | 2017-01-25 | Applied Materials Israel Ltd. | Particle-optical systems and arrangements and particle-optical components for such systems and arrangements |
US20120249128A1 (en) * | 2011-03-28 | 2012-10-04 | GM Global Technology Operations LLC | Magnetic sensor system |
US9007532B2 (en) * | 2011-06-03 | 2015-04-14 | Thomson Licensing | Variable and interleaved scanning in laser projectors |
JP2014518465A (ja) | 2011-06-03 | 2014-07-28 | トムソン ライセンシング | レーザー・プロジェクターにおける可変およびぎざぎざ付きのスキャン |
JP2013033671A (ja) * | 2011-08-03 | 2013-02-14 | Hitachi High-Technologies Corp | 荷電粒子線装置 |
JP5777445B2 (ja) * | 2011-08-12 | 2015-09-09 | キヤノン株式会社 | 荷電粒子線描画装置及び物品の製造方法 |
WO2013062158A1 (ko) * | 2011-10-27 | 2013-05-02 | 에스엔유 프리시젼 주식회사 | 주사전자현미경용 빈필터 제어방법 및 전자빔 정렬 기능을 구비한 주사전자현미경 |
US8716673B2 (en) | 2011-11-29 | 2014-05-06 | Fei Company | Inductively coupled plasma source as an electron beam source for spectroscopic analysis |
JP5787746B2 (ja) * | 2011-12-26 | 2015-09-30 | 株式会社東芝 | 信号処理方法および信号処理装置 |
EP2629317B1 (en) * | 2012-02-20 | 2015-01-28 | ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH | Charged particle beam device with dynamic focus and method of operating thereof |
TWI617805B (zh) * | 2012-09-14 | 2018-03-11 | Ebara Corp | Inspection device |
EP2879155B1 (en) * | 2013-12-02 | 2018-04-25 | ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH | Multi-beam system for high throughput EBI |
JP6349750B2 (ja) * | 2014-01-31 | 2018-07-04 | シンフォニアテクノロジー株式会社 | Efem |
WO2016126780A1 (en) * | 2015-02-03 | 2016-08-11 | Massachusetts Institute Of Technology | Apparatus and methods for generating electromagnetic radiation |
CN107408485B (zh) * | 2015-03-24 | 2020-03-13 | 科磊股份有限公司 | 用于具有改进的图像束稳定性及询问的带电粒子显微镜的方法及系统 |
JP6512954B2 (ja) * | 2015-06-11 | 2019-05-15 | 東京エレクトロン株式会社 | フォーカスリングを検査するためのシステム、及びフォーカスリングを検査する方法 |
US10553411B2 (en) | 2015-09-10 | 2020-02-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Ion collector for use in plasma systems |
WO2017079338A1 (en) * | 2015-11-02 | 2017-05-11 | Component Re-Engineering Company, Inc. | Electrostatic chuck for clamping in high temperature semiconductor processing and method of making same |
US9770808B2 (en) * | 2016-01-12 | 2017-09-26 | Rohm And Haas Electronic Materials Cmp Holdings, Inc. | Method of manufacturing chemical mechanical polishing pads |
JP6848960B2 (ja) * | 2016-02-26 | 2021-03-24 | ソニー株式会社 | 測位装置、通信装置、および測位システム |
JP2017198587A (ja) * | 2016-04-28 | 2017-11-02 | 株式会社ニューフレアテクノロジー | パターン検査装置 |
JP2018005975A (ja) * | 2016-06-27 | 2018-01-11 | 株式会社日立ハイテクノロジーズ | 荷電粒子線装置に取り付けられる振動抑制機構、及び荷電粒子線装置 |
ES2653767B1 (es) * | 2016-07-07 | 2019-03-28 | Consejo Superior Investigacion | Sensor de electrones para microscopia electronica |
JP6870904B2 (ja) * | 2017-02-28 | 2021-05-12 | 株式会社トプコン | 測量装置 |
US11569064B2 (en) | 2017-09-18 | 2023-01-31 | Ims Nanofabrication Gmbh | Method for irradiating a target using restricted placement grids |
US10651010B2 (en) | 2018-01-09 | 2020-05-12 | Ims Nanofabrication Gmbh | Non-linear dose- and blur-dependent edge placement correction |
US10840054B2 (en) * | 2018-01-30 | 2020-11-17 | Ims Nanofabrication Gmbh | Charged-particle source and method for cleaning a charged-particle source using back-sputtering |
JP7030566B2 (ja) * | 2018-03-06 | 2022-03-07 | 株式会社ニューフレアテクノロジー | パターン検査方法及びパターン検査装置 |
CN110716391A (zh) * | 2018-07-11 | 2020-01-21 | 上海微电子装备(集团)股份有限公司 | 大尺寸基板曝光机 |
CN109187595B (zh) * | 2018-09-27 | 2021-05-11 | 南京宁智高新材料研究院有限公司 | 一种测量透射电镜中微气体束引起的局部等效压强的装置 |
KR102188554B1 (ko) * | 2019-01-22 | 2020-12-09 | 주식회사 디이엔티 | 금속 3d 프린터의 레이저 헤드 광축 거리조절장치 |
US11099482B2 (en) | 2019-05-03 | 2021-08-24 | Ims Nanofabrication Gmbh | Adapting the duration of exposure slots in multi-beam writers |
KR102170679B1 (ko) * | 2019-06-07 | 2020-10-27 | 손효근 | 비파괴 평가 객체 평가 시스템 및 비파괴 평가 객체 평가 시스템에서의 객체 평가 방법 |
JP7222821B2 (ja) * | 2019-06-14 | 2023-02-15 | 株式会社ニューフレアテクノロジー | マルチビーム検査装置 |
CN110376475A (zh) * | 2019-06-20 | 2019-10-25 | 浙江四点灵机器人股份有限公司 | 玻璃表面线路缺陷快速检测装置及方法 |
US11239048B2 (en) | 2020-03-09 | 2022-02-01 | Kla Corporation | Arrayed column detector |
US11257656B2 (en) * | 2020-04-08 | 2022-02-22 | Fei Company | Rotating sample holder for random angle sampling in tomography |
KR20210132599A (ko) | 2020-04-24 | 2021-11-04 | 아이엠에스 나노패브릭케이션 게엠베하 | 대전 입자 소스 |
WO2022018840A1 (ja) | 2020-07-22 | 2022-01-27 | キヤノンアネルバ株式会社 | イオンガン及び真空処理装置 |
JP6985570B1 (ja) | 2020-07-22 | 2021-12-22 | キヤノンアネルバ株式会社 | イオンガン及び真空処理装置 |
US11004940B1 (en) * | 2020-07-31 | 2021-05-11 | Genesic Semiconductor Inc. | Manufacture of power devices having increased cross over current |
CN112299280B (zh) * | 2020-09-29 | 2022-01-25 | 合肥独领智能科技有限公司 | 一种防划伤的光窗上架装置 |
US20240021404A1 (en) * | 2020-12-10 | 2024-01-18 | Asml Netherlands B.V. | Charged-particle beam apparatus with beam-tilt and methods thereof |
JP2022098939A (ja) * | 2020-12-22 | 2022-07-04 | 富士フイルムビジネスイノベーション株式会社 | 照合装置及び照合プログラム |
US11958111B2 (en) | 2021-02-22 | 2024-04-16 | General Electric Company | Gaseous ionization detectors for monitoring and controlling energy beams used to additively manufacture three-dimensional objects |
CN113984813A (zh) * | 2021-09-27 | 2022-01-28 | 上海大学 | 一种高通量薄膜晶体结构表征装置及方法 |
US11648247B1 (en) | 2021-12-16 | 2023-05-16 | Lenz Therapeutics, Inc. | Compositions and methods for the treatment of presbyopia |
CN115421152B (zh) * | 2022-11-04 | 2023-03-24 | 中国航天三江集团有限公司 | 激光测距和大气光学参数测量的复合探测系统与方法 |
Citations (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62100936A (ja) * | 1985-10-28 | 1987-05-11 | Shimadzu Corp | 荷電粒子線を用いた分析装置の試料汚染防止方法 |
JPS636737A (ja) * | 1986-06-25 | 1988-01-12 | Sharp Corp | 電子線照射装置における帯電防止装置 |
JPH0195456A (ja) * | 1987-10-06 | 1989-04-13 | Jeol Ltd | 静電型レンズ |
US4944645A (en) * | 1985-04-16 | 1990-07-31 | Toshiba Kikai Kabushiki Kaisha | Method and apparatus for loading objects into evacuated treating chamber |
JPH0973872A (ja) * | 1995-09-04 | 1997-03-18 | Jeol Ltd | 荷電粒子ビーム装置 |
JPH10125271A (ja) * | 1996-10-16 | 1998-05-15 | Hitachi Ltd | 走査型電子顕微鏡 |
JP2000003692A (ja) * | 1998-06-12 | 2000-01-07 | Nikon Corp | 荷電粒子線写像投影光学系 |
JP2000067798A (ja) * | 1998-08-21 | 2000-03-03 | Nikon Corp | 写像型観察方法及び写像型荷電粒子線顕微鏡 |
JP2000100369A (ja) * | 1998-09-28 | 2000-04-07 | Jeol Ltd | 荷電粒子ビーム装置 |
EP0999572A2 (en) * | 1998-11-02 | 2000-05-10 | Advantest Corporation | Electrostatic deflector for electron beam exposure apparatus |
JP2000133565A (ja) * | 1998-10-23 | 2000-05-12 | Canon Inc | 荷電粒子線露光方法及び装置、ならびにデバイス製造方法 |
Family Cites Families (81)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
BE480180A (ja) * | 1946-05-31 | |||
US3983401A (en) * | 1975-03-13 | 1976-09-28 | Electron Beam Microfabrication Corporation | Method and apparatus for target support in electron projection systems |
JPS52115161A (en) | 1976-03-24 | 1977-09-27 | Toshiba Corp | Electron gun for electron beam exposing device |
JPS52117567A (en) | 1976-03-30 | 1977-10-03 | Toshiba Corp | Electronic beam exposure unit |
US4180738A (en) * | 1977-07-30 | 1979-12-25 | National Research Development Corporation | Astigmatism in electron beam probe instruments |
JPS5772326A (en) | 1980-10-24 | 1982-05-06 | Toshiba Corp | Insulation composition of electron beam exposing equipment |
JPS57125871A (en) | 1981-01-29 | 1982-08-05 | Toshiba Corp | Electron detector |
US4412133A (en) * | 1982-01-05 | 1983-10-25 | The Perkin-Elmer Corp. | Electrostatic cassette |
US4607167A (en) * | 1982-10-19 | 1986-08-19 | Varian Associates, Inc. | Charged particle beam lithography machine incorporating localized vacuum envelope |
US4584479A (en) * | 1982-10-19 | 1986-04-22 | Varian Associates, Inc. | Envelope apparatus for localized vacuum processing |
JPS60741A (ja) | 1983-06-16 | 1985-01-05 | Toshiba Mach Co Ltd | 電子線露光方法 |
US4692836A (en) * | 1983-10-31 | 1987-09-08 | Toshiba Kikai Kabushiki Kaisha | Electrostatic chucks |
JPS62195838A (ja) | 1986-02-21 | 1987-08-28 | Hitachi Ltd | 検査装置 |
US4911103A (en) * | 1987-07-17 | 1990-03-27 | Texas Instruments Incorporated | Processing apparatus and method |
JPH065691B2 (ja) * | 1987-09-26 | 1994-01-19 | 株式会社東芝 | 半導体素子の試験方法および試験装置 |
US5134348A (en) * | 1989-04-07 | 1992-07-28 | Canon Kabushiki Kaisha | Vibration wave motor |
JP2934707B2 (ja) | 1989-06-19 | 1999-08-16 | 株式会社ニコン | 走査電子顕微鏡 |
JP2935504B2 (ja) * | 1989-07-05 | 1999-08-16 | キヤノン株式会社 | モータ |
JP2946537B2 (ja) | 1989-07-20 | 1999-09-06 | 株式会社ニコン | 電子光学鏡筒 |
JPH03102814A (ja) | 1989-09-16 | 1991-04-30 | Nikon Corp | 静電チャック装置 |
JP2835097B2 (ja) * | 1989-09-21 | 1998-12-14 | 株式会社東芝 | 荷電ビームの非点収差補正方法 |
JPH03266350A (ja) | 1990-03-14 | 1991-11-27 | Jeol Ltd | E×b型エネルギーフィルタ |
JP3063107B2 (ja) | 1990-03-27 | 2000-07-12 | 株式会社ニコン | 静電偏向装置及び静電偏向装置の製造方法 |
US5581067A (en) * | 1990-05-08 | 1996-12-03 | Symbol Technologies, Inc. | Compact bar code scanning module with shock protection |
US5179498A (en) * | 1990-05-17 | 1993-01-12 | Tokyo Electron Limited | Electrostatic chuck device |
US5685684A (en) * | 1990-11-26 | 1997-11-11 | Hitachi, Ltd. | Vacuum processing system |
JPH04266350A (ja) | 1991-02-20 | 1992-09-22 | Nec Corp | 媒体検知回路 |
JPH0547649A (ja) | 1991-08-20 | 1993-02-26 | Fujitsu Ltd | 荷電粒子線露光によるパターン形成方法および荷電粒子線露光装置 |
JPH0563261A (ja) | 1991-08-30 | 1993-03-12 | Nikon Corp | レーザ反射鏡 |
JP3266336B2 (ja) | 1991-11-29 | 2002-03-18 | 富士通株式会社 | 電子ビーム走査装置 |
JPH05251408A (ja) * | 1992-03-06 | 1993-09-28 | Ebara Corp | 半導体ウェーハのエッチング装置 |
JP2877624B2 (ja) * | 1992-07-16 | 1999-03-31 | 株式会社東芝 | 走査電子顕微鏡の対物レンズアライメント制御装置及び制御方法 |
JPH07111215B2 (ja) * | 1992-08-26 | 1995-11-29 | 鹿島建設株式会社 | 除振装置 |
JP3151308B2 (ja) * | 1992-09-25 | 2001-04-03 | 株式会社東芝 | 光学鏡筒 |
JP3090802B2 (ja) * | 1992-12-17 | 2000-09-25 | 株式会社東芝 | 静電レンズおよびその製造方法 |
JP2772309B2 (ja) * | 1993-03-17 | 1998-07-02 | 矢崎総業株式会社 | レバー結合式コネクタ |
JPH0765766A (ja) | 1993-08-24 | 1995-03-10 | Nikon Corp | 静電偏向器 |
JPH07183354A (ja) * | 1993-12-24 | 1995-07-21 | Tokyo Electron Ltd | 基板の搬送システム及び基板の搬送方法 |
JPH07204962A (ja) * | 1994-01-25 | 1995-08-08 | Hitachi Ltd | 静電吸着装置 |
US5822171A (en) * | 1994-02-22 | 1998-10-13 | Applied Materials, Inc. | Electrostatic chuck with improved erosion resistance |
JP3409909B2 (ja) | 1994-03-11 | 2003-05-26 | 株式会社東芝 | ウェーハパターンの欠陥検出方法及び同装置 |
JPH08138611A (ja) | 1994-11-04 | 1996-05-31 | Nikon Corp | 荷電粒子線装置 |
US5552608A (en) * | 1995-06-26 | 1996-09-03 | Philips Electronics North America Corporation | Closed cycle gas cryogenically cooled radiation detector |
US5770863A (en) * | 1995-10-24 | 1998-06-23 | Nikon Corporation | Charged particle beam projection apparatus |
JPH09129543A (ja) * | 1995-11-01 | 1997-05-16 | Nikon Corp | 荷電粒子線転写装置 |
JPH09139184A (ja) * | 1995-11-15 | 1997-05-27 | Nikon Corp | 静電偏向器の製造方法 |
JPH09147779A (ja) * | 1995-11-20 | 1997-06-06 | Nikon Corp | 電磁偏向器 |
JPH09180663A (ja) * | 1995-12-26 | 1997-07-11 | Nikon Corp | 電子銃及び該電子銃を備えた電子線転写装置 |
US5892224A (en) * | 1996-05-13 | 1999-04-06 | Nikon Corporation | Apparatus and methods for inspecting wafers and masks using multiple charged-particle beams |
JPH09311112A (ja) | 1996-05-22 | 1997-12-02 | Nikon Corp | 欠陥検査装置 |
JPH1062503A (ja) | 1996-08-13 | 1998-03-06 | Nikon Corp | 欠陥検査装置 |
JPH1073424A (ja) | 1996-08-29 | 1998-03-17 | Nikon Corp | 欠陥検査装置 |
US5751538A (en) * | 1996-09-26 | 1998-05-12 | Nikon Corporation | Mask holding device and method for holding mask |
JPH10106926A (ja) * | 1996-10-01 | 1998-04-24 | Nikon Corp | 荷電粒子線リソグラフィ装置、荷電粒子線リソグラフィ装置の評価方法およびパターン形成方法 |
JP3697810B2 (ja) | 1996-12-18 | 2005-09-21 | 株式会社ニコン | 電子線を用いた転写装置 |
US5981947A (en) * | 1997-02-03 | 1999-11-09 | Nikon Corporation | Apparatus for detecting or collecting secondary electrons, charged-particle beam exposure apparatus comprising same, and related methods |
US5914493A (en) * | 1997-02-21 | 1999-06-22 | Nikon Corporation | Charged-particle-beam exposure apparatus and methods with substrate-temperature control |
JPH10302697A (ja) | 1997-04-23 | 1998-11-13 | Hitachi Ltd | 荷電粒子線顕微鏡 |
WO1999009582A1 (fr) * | 1997-08-19 | 1999-02-25 | Nikon Corporation | Dispositif et procede servant a observer un objet |
JP3534582B2 (ja) * | 1997-10-02 | 2004-06-07 | 株式会社日立製作所 | パターン欠陥検査方法および検査装置 |
JPH11132975A (ja) | 1997-10-31 | 1999-05-21 | Toshiba Corp | 電子ビームを用いた検査方法及びその装置 |
JPH11194824A (ja) * | 1997-12-26 | 1999-07-21 | Ebara Corp | ステージの位置決め装置 |
EP2099061A3 (en) * | 1997-11-28 | 2013-06-12 | Mattson Technology, Inc. | Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing |
JP3441955B2 (ja) * | 1998-02-23 | 2003-09-02 | 株式会社日立製作所 | 投射方式の荷電粒子顕微鏡および基板検査システム |
WO1999050651A1 (en) | 1998-03-27 | 1999-10-07 | Hitachi, Ltd. | Pattern inspection device |
JP4117427B2 (ja) * | 1998-12-22 | 2008-07-16 | 株式会社ニコン | 荷電粒子線写像投影光学系の調整方法及び荷電粒子線写像投影光学系 |
JP3724949B2 (ja) * | 1998-05-15 | 2005-12-07 | 株式会社東芝 | 基板検査装置およびこれを備えた基板検査システム並びに基板検査方法 |
US6025600A (en) * | 1998-05-29 | 2000-02-15 | International Business Machines Corporation | Method for astigmatism correction in charged particle beam systems |
JP2000011937A (ja) * | 1998-06-26 | 2000-01-14 | Advantest Corp | 電子ビーム露光装置の静電偏向器 |
JP2000090868A (ja) | 1998-09-17 | 2000-03-31 | Nikon Corp | 光学鏡筒及びそのクリーニング方法 |
US6999162B1 (en) | 1998-10-28 | 2006-02-14 | Nikon Corporation | Stage device, exposure system, method of device manufacture, and device |
US6344750B1 (en) * | 1999-01-08 | 2002-02-05 | Schlumberger Technologies, Inc. | Voltage contrast method for semiconductor inspection using low voltage particle beam |
TWI242111B (en) * | 1999-04-19 | 2005-10-21 | Asml Netherlands Bv | Gas bearings for use in vacuum chambers and their application in lithographic projection apparatus |
US6430022B2 (en) * | 1999-04-19 | 2002-08-06 | Applied Materials, Inc. | Method and apparatus for controlling chucking force in an electrostatic |
JP2000314710A (ja) * | 1999-04-28 | 2000-11-14 | Hitachi Ltd | 回路パターンの検査方法及び検査装置 |
JP2001144168A (ja) * | 1999-11-16 | 2001-05-25 | Nikon Corp | 静電チャック、それを有する荷電粒子線露光装置、ウエハ保持方法及びそれを用いたデバイス製造方法 |
JP3993094B2 (ja) * | 2000-07-27 | 2007-10-17 | 株式会社荏原製作所 | シートビーム式検査装置 |
DE10062011B4 (de) * | 2000-12-13 | 2005-02-24 | Infineon Technologies Ag | Halteeinrichtung |
EP1316831B1 (en) * | 2001-11-28 | 2005-05-11 | HITACHI VIA MECHANICS, Ltd. | Method and device for controlling an optical scanner |
US7138629B2 (en) * | 2003-04-22 | 2006-11-21 | Ebara Corporation | Testing apparatus using charged particles and device manufacturing method using the testing apparatus |
JP2007066402A (ja) * | 2005-08-30 | 2007-03-15 | Sony Corp | 対物レンズ駆動装置、光ピックアップ及び光ディスク装置 |
-
2001
- 2001-06-27 JP JP2002518494A patent/JP3993094B2/ja not_active Expired - Fee Related
- 2001-06-27 WO PCT/JP2001/005494 patent/WO2002013227A1/ja active Application Filing
- 2001-06-27 EP EP01945626A patent/EP1304717A4/en not_active Withdrawn
- 2001-06-27 US US09/891,612 patent/US7049585B2/en not_active Expired - Fee Related
- 2001-06-27 KR KR1020027015278A patent/KR100873447B1/ko active IP Right Grant
-
2004
- 2004-11-17 US US10/989,368 patent/US7109484B2/en not_active Expired - Lifetime
-
2006
- 2006-02-24 US US11/360,704 patent/US7417236B2/en not_active Expired - Lifetime
-
2008
- 2008-07-22 US US12/177,733 patent/US7829871B2/en not_active Expired - Fee Related
-
2012
- 2012-01-16 JP JP2012006612A patent/JP5302423B2/ja not_active Expired - Lifetime
-
2013
- 2013-05-13 JP JP2013101200A patent/JP5736003B2/ja not_active Expired - Lifetime
Patent Citations (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4944645A (en) * | 1985-04-16 | 1990-07-31 | Toshiba Kikai Kabushiki Kaisha | Method and apparatus for loading objects into evacuated treating chamber |
JPS62100936A (ja) * | 1985-10-28 | 1987-05-11 | Shimadzu Corp | 荷電粒子線を用いた分析装置の試料汚染防止方法 |
JPS636737A (ja) * | 1986-06-25 | 1988-01-12 | Sharp Corp | 電子線照射装置における帯電防止装置 |
JPH0195456A (ja) * | 1987-10-06 | 1989-04-13 | Jeol Ltd | 静電型レンズ |
JPH0973872A (ja) * | 1995-09-04 | 1997-03-18 | Jeol Ltd | 荷電粒子ビーム装置 |
JPH10125271A (ja) * | 1996-10-16 | 1998-05-15 | Hitachi Ltd | 走査型電子顕微鏡 |
JP2000003692A (ja) * | 1998-06-12 | 2000-01-07 | Nikon Corp | 荷電粒子線写像投影光学系 |
JP2000067798A (ja) * | 1998-08-21 | 2000-03-03 | Nikon Corp | 写像型観察方法及び写像型荷電粒子線顕微鏡 |
JP2000100369A (ja) * | 1998-09-28 | 2000-04-07 | Jeol Ltd | 荷電粒子ビーム装置 |
JP2000133565A (ja) * | 1998-10-23 | 2000-05-12 | Canon Inc | 荷電粒子線露光方法及び装置、ならびにデバイス製造方法 |
EP0999572A2 (en) * | 1998-11-02 | 2000-05-10 | Advantest Corporation | Electrostatic deflector for electron beam exposure apparatus |
Non-Patent Citations (1)
Title |
---|
See also references of EP1304717A4 * |
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7005641B2 (en) | 2001-06-15 | 2006-02-28 | Ebara Corporation | Electron beam apparatus and a device manufacturing method by using said electron beam apparatus |
US7361895B2 (en) | 2001-06-15 | 2008-04-22 | Ebara Corporation | Electron beam apparatus and a device manufacturing method by using said electron beam apparatus |
US8368016B1 (en) | 2001-06-15 | 2013-02-05 | Ebara Corporation | Electron beam apparatus and a device manufacturing method by using said electron beam apparatus |
JP2005523459A (ja) * | 2002-04-17 | 2005-08-04 | 株式会社荏原製作所 | 試料表面の検査装置及び方法 |
US8674317B2 (en) | 2002-04-17 | 2014-03-18 | Ebara Corporation | Sample surface inspection apparatus and method |
US8076654B2 (en) | 2002-04-17 | 2011-12-13 | Ebara Corporation | Sample surface inspection apparatus and method |
EP1389793A3 (de) * | 2002-08-02 | 2009-06-10 | Carl Zeiss NTS GmbH | Elektronenmikroskopiesystem |
JP2014112087A (ja) * | 2003-05-09 | 2014-06-19 | Ebara Corp | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 |
JP2013080722A (ja) * | 2006-01-25 | 2013-05-02 | Ebara Corp | 試料表面検査方法及び検査装置 |
US8859984B2 (en) | 2006-01-25 | 2014-10-14 | Ebara Corporation | Method and apparatus for inspecting sample surface |
WO2008053518A1 (fr) * | 2006-10-30 | 2008-05-08 | Topcon Corporation | Appareil de vérification de semi-conducteur et procédé de vérification de semi-conducteur |
US20100281578A1 (en) * | 2009-05-04 | 2010-11-04 | Pioneer Hi-Bred International, Inc. | Yield Enhancement in Plants by Modulation of AP2 Transcription Factor |
US8779239B2 (en) * | 2009-05-04 | 2014-07-15 | Pioneeri Hi-Bred International, Inc. | Yield enhancement in plants by modulation of AP2 transcription factor |
JP2016027604A (ja) * | 2014-06-24 | 2016-02-18 | 株式会社荏原製作所 | 表面処理装置 |
JPWO2020090580A1 (ja) * | 2018-10-29 | 2021-09-16 | 京セラ株式会社 | 電子線描画装置用枠部材および電子線描画装置 |
JP7075499B2 (ja) | 2018-10-29 | 2022-05-25 | 京セラ株式会社 | 電子線描画装置用枠部材および電子線描画装置 |
Also Published As
Publication number | Publication date |
---|---|
JP2012119704A (ja) | 2012-06-21 |
JP5302423B2 (ja) | 2013-10-02 |
US20060138343A1 (en) | 2006-06-29 |
KR20030029046A (ko) | 2003-04-11 |
EP1304717A1 (en) | 2003-04-23 |
US7829871B2 (en) | 2010-11-09 |
JP5736003B2 (ja) | 2015-06-17 |
US20050092921A1 (en) | 2005-05-05 |
US20080302963A1 (en) | 2008-12-11 |
US7417236B2 (en) | 2008-08-26 |
JP2013175781A (ja) | 2013-09-05 |
JP3993094B2 (ja) | 2007-10-17 |
KR100873447B1 (ko) | 2008-12-11 |
US7109484B2 (en) | 2006-09-19 |
US7049585B2 (en) | 2006-05-23 |
EP1304717A4 (en) | 2009-12-09 |
US20020036264A1 (en) | 2002-03-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5736003B2 (ja) | ウェハを吸着保持する静電チャック | |
JP6220423B2 (ja) | 検査装置 | |
KR100875230B1 (ko) | 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법 | |
JP5647327B2 (ja) | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 | |
US6855929B2 (en) | Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former | |
US8946629B2 (en) | Inspection apparatus | |
WO2002001597A1 (fr) | Appareil d'inspection a faisceau de particules chargees et procede de fabrication d'un dispositif utilisant cet appareil d'inspection | |
WO2002040980A1 (fr) | Procede et instrument d'inspection de tranches, et appareil a faisceau electronique | |
JP2004363085A (ja) | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 | |
JP4939235B2 (ja) | シートビーム式検査装置 | |
JP2003173756A (ja) | 電子線装置及び該装置を用いたデバイス製造方法 | |
JP4221428B2 (ja) | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 | |
JP2008193119A (ja) | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 | |
JP4224089B2 (ja) | 撮像装置、欠陥検査装置、欠陥検査方法及び電子線検査装置 | |
JP3890015B2 (ja) | 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): JP KR US |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): DE FR GB NL |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) | ||
WWE | Wipo information: entry into national phase |
Ref document number: 2001945626 Country of ref document: EP Ref document number: 1020027015278 Country of ref document: KR |
|
WWP | Wipo information: published in national office |
Ref document number: 1020027015278 Country of ref document: KR |
|
WWP | Wipo information: published in national office |
Ref document number: 2001945626 Country of ref document: EP |