WO2000061837A1 - Workpiece processor having processing chamber with improved processing fluid flow - Google Patents

Workpiece processor having processing chamber with improved processing fluid flow Download PDF

Info

Publication number
WO2000061837A1
WO2000061837A1 PCT/US2000/010210 US0010210W WO0061837A1 WO 2000061837 A1 WO2000061837 A1 WO 2000061837A1 US 0010210 W US0010210 W US 0010210W WO 0061837 A1 WO0061837 A1 WO 0061837A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing
fluid flow
workpiece
processing container
flow chamber
Prior art date
Application number
PCT/US2000/010210
Other languages
French (fr)
Other versions
WO2000061837A9 (en
Inventor
Gregory J. Wilson
Kyle M. Hanson
Paul R. Mchugh
Original Assignee
Semitool, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semitool, Inc. filed Critical Semitool, Inc.
Priority to EP00922257A priority Critical patent/EP1194613A4/en
Priority to JP2000610882A priority patent/JP4288010B2/en
Publication of WO2000061837A1 publication Critical patent/WO2000061837A1/en
Priority to US09/804,696 priority patent/US6569297B2/en
Publication of WO2000061837A9 publication Critical patent/WO2000061837A9/en
Priority to US10/400,186 priority patent/US7267749B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S204/00Chemistry: electrical and wave energy
    • Y10S204/07Current distribution within the bath

Definitions

  • a microelectronic workpiece such as a semiconductor wafer substrate, polymer substrate, etc.
  • a microelectronic workpiece is defined to include a workpiece formed from a substrate upon which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are formed.
  • microelectronic component(s) there are a number of different processing operations performed on the workpiece to fabricate the microelectronic component(s). Such operations include, for example, material deposition, patterning, doping, chemical mechanical polishing, electropolishing, and heat treatment.
  • Material deposition processing involves depositing thin layers of material to the surface of the workpiece. Patterning provides removal of selected portions of these added layers.
  • Doping of the microelectronic workpiece is the process of adding impurities known as "dopants" to the selected portions of the microelectronic workpiece to alter the electrical characteristics of the substrate material.
  • Heat treatment of the microelectronic workpiece involves heating and/or cooling the microelectronic workpiece to achieve specific process results.
  • Chemical mechanical polishing involves the removal of material through a combined chemical/mechanical process while electropolishing involves the removal of material from a workpiece surface using electrochemical reactions.
  • processing devices known as processing “tools”
  • tools take on different configurations depending on the type of workpiece used in the fabrication process and the process or processes executed by the tool.
  • One tool configuration known as the Equinox(R) wet processing tool and available from Semitool, Inc., of Kalispell, Montana, includes one or more workpiece processing stations that utilize a workpiece holder and a process bowl or container for implementing wet processing operations.
  • Such wet processing operations include electroplating, etching, cleaning, electroless deposition, electropolishing, etc.
  • the workpiece holder and the processing container are disposed proximate one another and function to bring the microelectronic workpiece held by the workpiece holder into contact with a processing fluid disposed in the processing container thereby forming a processing chamber.
  • Restricting the processing fluid to the appropriate portions of the workpiece is often problematic. Additionally, ensuring proper mass transfer conditions between the processing fluid and the surface of the workpiece can be difficult. Absent such mass transfer control, the processing of the workpiece surface can often be non-uniform.
  • processing fluid may be brought into contact with the surface of the workpiece using a controlled spray.
  • the processing fluid resides in a bath and at least one surface of the workpiece is brought into contact with or below the surface of the processing fluid. Electroplating, electroless plating, etching, cleaning, anodization, etc. are examples of such partial or full immersion processing.
  • FIG. 1 A A general illustration of such a system is shown in Figure 1 A.
  • the diffuser 1 includes a plurality of apertures 2 that are provided to disburse the stream of fluid provided from the processing fluid inlet 3 as evenly as possible across the surface of the workpiece 4.
  • the present inventors have found that these localized areas of increased flow velocity at the surface of the workpiece affect the diffusion layer conditions and can result in non-uniform processing of the surface of the workpiece.
  • the diffusion layer tends to be thinner at the localized areas 5 when compared to other areas of the workpiece surface.
  • the surface reactions occur at a higher rate in the localized areas in which the diffusion layer thickness is reduced thereby resulting in radially, non-uniform processing of the workpiece.
  • Diffuser hole pattern configurations also affect the distribution of the electric field in electrochemical processes, such as electroplating, which can similarly result in non-uniform processing of the workpiece surface (e.g., non-uniform deposition of the electroplated material).
  • Bubbles can be created in the plumbing and pumping system of the processing equipment and enter the processing chamber where they migrate to sites on the surface of the workpiece under process. Processing is inhibited at those sites due, for example, to the disruption of the diffusion layer.
  • the present inventors have developed an improved processing chamber that addresses the diffusion layer non-uniformities and disturbances that exist in the workpiece processing tools currently employed in the microelectronic fabrication industry.
  • the improved processing chamber set forth below is discussed in connection with a specific embodiment that is adapted for electroplating, it will be recognized that the improved chamber may be used in any workpiece processing tool in which process uniformity across the surface of a workpiece is desired.
  • Figure 1 A is schematic block diagram of an immersion processing reactor assembly that incorporates a diffuser to distribute a flow of processing fluid across a surface of a workpiece.
  • Figure IB is a cross-sectional view of one embodiment of a reactor assembly that may incorporate the present invention.
  • Figure 2 is a schematic diagram of one embodiment of a reactor chamber that may be used in the reactor assembly of Figure IB and includes an illustration of the velocity flow profiles associated with the flow of processing fluid through the reactor chamber.
  • Figures 3-5 illustrate a specific construction of a complete processing chamber assembly that has been specifically adapted for electrochemical processing of a semiconductor wafer and that has been implemented to achieve the velocity flow profiles set forth in Figure 2.
  • FIGS 6 and 7 illustrate two embodiments of processing tools that may incorporate one or more processing stations constructed in accordance with the teachings of the present invention.
  • a processing container for providing a flow of a processing fluid during immersion processing of at least one surface of a microelectronic workpiece comprises a principal fluid flow chamber providing a flow of processing fluid to at least one surface of the workpiece and a plurality of nozzles disposed to provide a flow of processing fluid to the principal fluid flow chamber.
  • the plurality of nozzles are arranged and directed to provide vertical and radial fluid flow components that combine to generate a substantially uniform normal flow component radially across the surface of the workpiece.
  • An exemplary apparatus using such a processing container is also set forth that is particularly adapted to carry out an electrochemical process, such as an electroplating process.
  • a reactor for immersion processing of a microelectronic workpiece includes a processing container having a processing fluid inlet through which a processing fluid flows into the processing container.
  • the processing container also has an upper rim forming a weir over which processing fluid flows to exit from processing container.
  • At least one helical flow chamber is disposed exterior to the processing container to receive processing fluid exiting from the processing container over the weir.
  • FIGURE IB there is shown a reactor assembly 20 for immersion- processing a microelectronic workpiece 25, such as a semiconductor wafer.
  • a microelectronic workpiece 25 such as a semiconductor wafer.
  • reactor assembly 20 is comprised of a reactor head 30 and a corresponding processing base,
  • the reactor assembly of the specifically illustrated embodiment is particularly adapted for effecting electrochemical processing of semiconductor wafers or like workpieces. It will be recognized, however, that the general reactor configuration of FIGURE IB is suitable for other workpiece types and processes as well.
  • the reactor head 30 of the reactor assembly 20 may be comprised of a stationary assembly 70 and a rotor assembly 75.
  • Rotor assembly 75 is configured to receive and carry an associated microelectronic workpiece 25, position the workpiece in a process-side down orientation within a processing container in processing base 37, and to rotate or spin the
  • rotor assembly 75 also includes a cathode contact assembly 85 that provides electroplating power to the surface of the microelectronic workpiece. It will be recognized, however, that backside contact and/or support of the workpiece on the reactor head 30 may be implemented in lieu of front side contact/support illustrated here.
  • the reactor head 30 is typically mounted on a lift/rotate apparatus which is configured to rotate the reactor head 30 from an upwardly-facing disposition in which it receives the microelectronic workpiece to be plated, to a downwardly facing disposition in which the surface of the microelectronic workpiece to be plated is positioned so that it may be brought into contact with the processing fluid that is held within a processing container of the processing base 37.
  • a robotic arm which preferably includes an end effector, is typically employed for placing the microelectronic workpiece 25 in position on the rotor assembly 75, and for removing the plated microelectronic workpiece from within the rotor assembly.
  • assembly 85 may be operated between an open state that allows the microelectronic workpiece to be placed on the rotor assembly 75, and a closed state that secures the microelectronic workpiece to the rotor assembly for subsequent processing. In the context of an electroplating reactor, such operation also brings the electrically conductive components of the contact assembly 85 into electrical engagement with the surface of the microelectronic workpiece that is to be plated.
  • FIGURE 2 illustrates the basic construction of processing base 37 and the corresponding flow velocity contour pattern resulting from the processing container construction.
  • the processing base 37 generally comprises a main fluid flow chamber 505, an antechamber 510, a fluid inlet 515, a plenum 520, a flow diffuser 525 separating the plenum 520 from the antechamber 510, and a nozzle/slot assembly 530 separating the plenum 520 from the main fluid flow chamber 505.
  • These components cooperate to provide a flow (here, of the electroplating solution) at the microelectronic workpiece 25 with a substantially radially independent normal component.
  • the impinging flow is centered about central axis 537 and possesses a nearly uniform component normal to the surface of the microelectronic workpiece 25. This results in a substantially uniform mass flux to the microelectronic workpiece surface that, in turn, enables substantially uniform processing thereof.
  • Processing fluid is provided through fluid inlet 515 disposed at the bottom of the container 35.
  • the fluid from the fluid inlet 515 is directed therefrom at a relatively high velocity through antechamber 510.
  • antechamber 510 includes an acceleration channel 540 through which the processing fluid flows radially from the fluid inlet 515 toward fluid flow region 545 of antechamber 510.
  • Fluid flow region 545 has a generally inverted U-shaped cross-section that is substantially wider at its outlet region proximate flow diffuser 525 than at its inlet region proximate acceleration channel 540. This variation in the cross-section assists in removing any gas bubbles from the processing fluid before the processing fluid is allowed to enter the main fluid flow chamber 505. Gas bubbles that would otherwise enter the main fluid flow chamber 505 are allowed to exit the processing base 37 through a gas outlet (not illustrated in FIGURE 2, but illustrated in the embodiment shown in FIGURES 3-5) disposed at an upper portion of the antechamber 510.
  • Processing fluid within antechamber 510 is ultimately supplied to main fluid flow chamber 505.
  • the processing fluid is first directed to flow from a relatively high- pressure region 550 of the antechamber 510 to the comparatively lower-pressure plenum 520 through flow diffuser 525.
  • Nozzle assembly 530 includes a plurality of nozzles or slots 535 that are disposed at a slight angle with respect to horizontal. Processing fluid exits plenum 520 through nozzles 535 with fluid velocity components in the vertical and radial directions.
  • Main fluid flow chamber 505 is defined at its upper region by a contoured sidewall 560 and a slanted sidewall 565.
  • the contoured sidewall 560 assists in preventing fluid flow separation as the processing fluid exits nozzles 535 (particularly the uppermost nozzle(s)) and turns upward toward the surface of microelectronic workpiece 25. Beyond breakpoint 570, fluid flow separation will not substantially affect the uniformity of the normal flow.
  • slanted sidewall 565 can generally have any shape, including a continuation of the shape of contoured sidewall 560. In the specific embodiment disclosed here, sidewall 565 is slanted and, in those applications involving electrochemical processing, is used to support one or more anodes/electrical conductors.
  • Processing fluid exits from main fluid flow chamber 505 through a generally annular
  • Fluid exiting annular outlet 572 may be provided to a further exterior chamber for disposal or may be replenished for re-circulation through the processing fluid supply system.
  • the processing base 37 is provided with one or more anodes.
  • a central anode 580 is disposed in the lower portion of the main fluid flow chamber 505. If the peripheral edges of the surface of the microelectronic workpiece 25 extend radially beyond the extent of contoured sidewall 560, then the peripheral edges are electrically shielded from central anode 580 and reduced plating will take place in those regions. However, if plating is desired in the peripheral regions, one or more further anodes may be employed proximate the peripheral
  • annular anodes 585 are disposed in a generally concentric manner on slanted sidewall 565 to provide a flow of electroplating current to the peripheral regions.
  • An alternative embodiment would include a single anode or multiple anodes with no shielding from
  • the anodes 580, 585 may be provided with electroplating power in a variety of manners. For example, the same or different levels of electroplating power may be multiplexed to the anodes 580, 585. Alternatively, all of the anodes 580, 585 may be connected to receive the same level of electroplating power from the same power source. Still further, each of the anodes 580, 585 may be connected to receive different levels of electroplating power to compensate for the variations in the resistance of the plated film.
  • An advantage of the close proximity of the anodes 585 to the microelectronic workpiece 25 is that it provides a high degree of control of the radial film growth resulting from each anode.
  • processing base 37 includes several unique features. With respect to central anode 580, a Venturi flow path 590 is provided between the underside of central anode 580 and the relatively lower pressure region of acceleration channel 540.
  • this path results in a Venturi effect that causes the processing fluid proximate the surfaces disposed at the lower portion of the chamber, such as at the surface of central anode 580, to be drawn into acceleration channel 540 and may assist in sweeping gas bubbles away from the surface of the anode. More significantly, this Venturi effect provides a suction flow that affects the uniformity of the impinging flow at the central portion of the surface of the microelectronic workpiece along central axis 537. Similarly, processing fluid sweeps across the surfaces at the upper portion of the chamber, such as the surfaces of anodes 585, in a radial direction toward annular outlet 572 to remove gas bubbles present at such surfaces. Further, the radial components of the fluid flow at the surface of the microelectronic workpiece assists in sweeping gas bubbles therefrom.
  • microelectronic workpiece surface and, as such, there are no substantial localized normal of flow
  • any non-uniformity will be relatively gradual as a result. Further, in those instances in which the microelectronic workpiece is rotated, such remaining non-uniformities in the diffusion layer can often be tolerated while consistently achieving processing goals.
  • dome-shaped meniscus whenever the microelectronic workpiece is not present (i.e., before the microelectronic workpiece is lowered into the fluid).
  • the dome-shaped meniscus assists in minimizing bubble entrapment as the microelectronic workpiece is lowered into the processing solution.
  • the flow at the bottom of the main fluid flow chamber 505 resulting from the Venturi flow path influences the fluid flow at the centerline thereof.
  • the centerline flow velocity is otherwise difficult to implement and control.
  • the strength of the Venturi flow provides a non-intrusive design variable that may be used to affect this aspect of the flow.
  • a still further advantage of the foregoing reactor design is that it assists in preventing bubbles that find their way to the chamber inlet from reaching the microelectronic workpiece.
  • the flow pattern is such that the solution travels downward just before entering the main chamber. As such, bubbles remain in the antechamber and escape through holes at the top thereof. Further, bubbles are prevented from entering the main chamber through the Venturi flow path through the use of the shield that covers the Venturi flow path (see description of the embodiment of the reactor illustrated in FIGURES 3-5). Still further, the upward sloping inlet path (see FIGURE 5 and appertaining description) to the antechamber prevents bubbles from entering the main chamber through the Venturi flow path.
  • FIGURES 3-5 illustrate a specific construction of a complete processing chamber assembly 610 that has been specifically adapted for electrochemical processing of a semiconductor microelectronic workpiece. More particularly, the illustrated embodiment is specifically adapted for depositing a uniform layer of material on the surface of the workpiece using electroplating.
  • processing base 37 shown in FIGURE IB is comprised of processing chamber assembly 610 along with a corresponding exterior cup 605.
  • Processing chamber assembly 610 is disposed within exterior cup 605 to allow exterior cup 605 to receive spent processing fluid that overflows from the processing chamber assembly 610.
  • a flange 615 extends about the assembly 610 for securement with, for example, the frame of the corresponding tool.
  • the flange of the exterior cup 605 is formed to engage or otherwise accept rotor assembly 75 of reactor head 30 (shown in Figure IB) and allow contact between the microelectronic workpiece 25 and the processing solution, such as
  • the exterior cup 605 also includes a main cylindrical housing 625 into which a drain cup member 627 is disposed.
  • the drain cup member 627 includes an outer surface having channels 629 that, together with the interior wall of
  • main cylindrical housing 625 form one or more helical flow chambers 640 that serve as an outlet for the processing solution.
  • Processing fluid overflowing a weir member 739 at the top of processing cup 35 drains through the helical flow chambers 640 and exits an outlet (not illustrated) where it is either disposed of or replenished and re-circulated.
  • antechamber 510 is defined by the walls of a plurality of separate components. More particularly, antechamber 510 is defined by the interior walls of drain cup member 627, an anode support member 697, the interior and exterior walls of a mid- chamber member 690, and the exterior walls of flow diffuser 525.
  • FIGURES 3B and 4 illustrate the manner in which the foregoing components are brought
  • the mid-chamber member 690 is disposed interior of the drain cup member 627 and includes a plurality of leg supports 692 that sit upon a bottom wall thereof.
  • the anode support member 697 includes an outer wall that engages a flange that is disposed about the interior of drain cup member 627.
  • the anode support member 697 also includes a channel 705 that sits upon and engages an upper portion of flow diffuser 525, and a further channel 710 that sits upon and engages an upper rim of nozzle assembly 530.
  • chamber member 690 also includes a centrally disposed receptacle 715 that is dimensioned to
  • annular channel 725 is disposed radially exterior of the annular receptacle 715 to engage a lower portion of flow diffuser 525.
  • the flow diffuser 525 is formed as a single piece and includes a plurality of vertically oriented slots 670.
  • the nozzle assembly 530 is formed
  • the anode support member 697 includes a plurality of annular grooves that are dimensioned to accept corresponding annular anode assemblies 785. Each anode assembly 785
  • anode 585 preferably formed from platinized titanium or in other inert metal
  • conduit 730 extending from a central portion of the anode 585 through which a metal conductor may be disposed to electrically connect the anode 585 of each assembly 785 to an external source of electrical power.
  • Conduit 730 is shown to extend entirely through the processing chamber assembly 610 and is secured at the bottom thereof by a respective fitting 733.
  • anode assemblies 785 effectively urge the anode support member 697 downward to clamp the flow diffuser 525, nozzle assembly 530, mid-chamber member 690, and drain cup member 627 against the bottom portion 737 of the exterior cup 605.
  • This allows for easy assembly and disassembly of the processing chamber 610.
  • other means may be used to secure the chamber elements together as well as to conduct the necessary electrical power to the anodes.
  • the illustrated embodiment also includes a weir member 739 that detachably snaps or otherwise easily secures to the upper exterior portion of anode support member 697.
  • weir member 739 includes a rim 742 that forms a weir over which the processing solution flows into the helical flow chamber 640.
  • Weir member 739 also includes a transversely extending flange 744 that extends radially inward and forms an electric field shield over all or portions of one or more of the anodes 585. Since the weir member 739 may be easily removed and replaced, the processing chamber assembly 610 may be readily reconfigured and adapted to provide different electric field shapes. Such differing electrical field shapes are particularly useful in those instances in which the reactor must be configured to process more than one size or shape of a workpiece. Additionally, this allows the reactor to be configured to accommodate workpieces that are of the same size, but have different plating area requirements.
  • the anode support member 697 forms the contoured sidewall 560 and slanted sidewall 565 that is illustrated in FIGURE 2.
  • the lower region of anode support member 697 is contoured to define the upper interior wall of antechamber 510 and preferably includes one or more gas outlets 665 that are disposed therethrough to allow gas bubbles to exit from the antechamber 510 to the exterior environment.
  • fluid inlet 515 is defined by an inlet fluid guide, shown generally at 810, that is secured to mid-chamber member 690 by one or more fasteners 815.
  • Inlet fluid guide 810 includes a plurality of open channels 817 that guide fluid received at fluid inlet 515 to an area beneath mid-chamber member 690.
  • Channels 817 of the illustrated embodiment are defined by upwardly angled walls 819. Processing fluid exiting channels 817 flows therefrom to one or more further channels 821 that are likewise defined by walls that angle upward.
  • Central anode 580 includes an electrical connection rod 581 that proceeds to the exterior of the processing chamber assembly 610 through central apertures formed in nozzle assembly 530, mid-chamber member 690and inlet fluid guide 810.
  • the Venturi flow path regions shown at 590 in FIGURE 2 are formed in FIGURE 5 by vertical channels 823 that proceed through drain cup member 627 and the bottom wall of nozzle member 530.
  • the fluid inlet guide 810 and, specifically, the upwardly angled walls 819 extend radially beyond the shielded vertical channels 823 so that any bubbles entering the inlet proceed through the upward channels 821 rather than through the vertical channels 823.
  • the foregoing reactor assembly may be readily integrated in a processing tool that is capable of executing a plurality of processes on a workpiece, such as a semiconductor microelectronic workpiece.
  • a processing tool is the LT-210TM electroplating apparatus available from Semitool, Inc., of Kalispell, Montana.
  • Figs. 6 and 7 illustrate such integration.
  • the system of Fig. 6 includes a plurality of processing stations 1610.
  • these processing stations include one or more rinsing/drying stations and one or more electroplating stations (including one or more electroplating reactors such as the one above), although further immersion-chemical processing stations constructed in accordance with the of the present invention may also be employed.
  • the system also preferably includes a thermal processing station, such as at 1615, that includes at least one thermal reactor that is adapted for rapid thermal processing (RTP).
  • RTP rapid thermal processing
  • the workpieces are transferred between the processing stations 1610 and the RTP station 1615 using one or more robotic transfer mechanisms 1620 that are disposed for linear movement along a central track 1625.
  • One or more of the stations 1610 may also incorporate structures that are adapted for executing an in-situ rinse.
  • all of the processing stations as well as the robotic transfer mechanisms are disposed in a cabinet that is provided with filtered air at a positive pressure to thereby limit airborne contaminants that may reduce the effectiveness of the microelectronic workpiece processing.
  • Fig. 7 illustrates a further embodiment of a processing tool in which an RTP station 1635, located in portion 1630, that includes at least one thermal reactor, may be integrated in a tool set.
  • at least one thermal reactor is serviced by a dedicated robotic mechanism 1640.
  • the dedicated robotic mechanism 1640 accepts workpieces that are transferred to it by the robotic transfer mechanisms 1620. Transfer may take place through an intermediate staging door/area 1645. As such, it becomes possible to hygienically separate the RTP portion 1630 of the processing tool from other portions of the tool.
  • the illustrated annealing station may be implemented as a separate module that is attached to upgrade an existing tool set. It will be recognized that other types of processing stations may be located in portion 1630 in addition to or instead of RTP station 1635.

Abstract

A processing container (610) for providing a flow of a processing fluid during immersion processing of at least one surface of a microeletronic workpiece is set forth. The processing container comprises a principal fluid flow chamber (505) providing a flow of processing fluid to at least one surface of the workpiece and a plurality of nozzles (535) disposed to provide a flow of processing fluid to the principal fluid flow chamber. The plurality of nozzles are arranged and directed to provide vertical and radial fluid flow components that combine to generate a substantially uniform normal flow component radially across the surface of the workpiece. An exemplary apparatus using such a processing container is also set forth that is particularly adapted to carry out an electroplating process. In accordance with a further aspect of the present disclosure, an improved fluid removal path (640) is provided for removing fluid from a principal fluid flow chamber during immersion processing of a microelectronic workpiece.

Description

TITLE OF THE INVENTION
WORKPIECE PROCESSOR HAVING PROCESSING CHAMBER WITH IMPROVED PROCESSING FLUID FLOW
CROSS-REFERENCE TO RELATED APPLICATIONS The present application claims priority from the following US Provisional Applications: U.S.S.N. 60/129,055, entitled "WORKPIECE PROCESSOR HAVING IMPROVED PROCESSING CHAMBER", filed April 13, 1999 (Attorney Docket No. SEM4492P0830US; U.S.S.N. 60/143,769, entitled "WORKPIECE PROCESSOR HAVING IMPROVED PROCESSING CHAMBER", filed July 12, 1999 (Attorney Docket No. SEM4492P0831US); U.S.S.N. 60/182,160 entitled "WORKPIECE PROCESSOR HAVING IMPROVED PROCESSING CHAMBER", filed February 14, 2000 (Attorney Docket No. SEM4492P0832US).
STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT
Not Applicable
BACKGROUND OF THE INVENTION The fabrication of microelectronic components from a microelectronic workpiece, such as a semiconductor wafer substrate, polymer substrate, etc., involves a substantial number of processes. For purposes of the present application, a microelectronic workpiece is defined to include a workpiece formed from a substrate upon which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are formed.
There are a number of different processing operations performed on the workpiece to fabricate the microelectronic component(s). Such operations include, for example, material deposition, patterning, doping, chemical mechanical polishing, electropolishing, and heat treatment. Material deposition processing involves depositing thin layers of material to the surface of the workpiece. Patterning provides removal of selected portions of these added layers. Doping of the microelectronic workpiece is the process of adding impurities known as "dopants" to the selected portions of the microelectronic workpiece to alter the electrical characteristics of the substrate material. Heat treatment of the microelectronic workpiece involves heating and/or cooling the microelectronic workpiece to achieve specific process results. Chemical mechanical polishing involves the removal of material through a combined chemical/mechanical process while electropolishing involves the removal of material from a workpiece surface using electrochemical reactions.
Numerous processing devices, known as processing "tools", have been developed to implement the foregoing processing operations. These tools take on different configurations depending on the type of workpiece used in the fabrication process and the process or processes executed by the tool. One tool configuration, known as the Equinox(R) wet processing tool and available from Semitool, Inc., of Kalispell, Montana, includes one or more workpiece processing stations that utilize a workpiece holder and a process bowl or container for implementing wet processing operations. Such wet processing operations include electroplating, etching, cleaning, electroless deposition, electropolishing, etc.
In accordance with one configuration of the foregoing Equinox(R) tool, the workpiece holder and the processing container are disposed proximate one another and function to bring the microelectronic workpiece held by the workpiece holder into contact with a processing fluid disposed in the processing container thereby forming a processing chamber. Restricting the processing fluid to the appropriate portions of the workpiece, however, is often problematic. Additionally, ensuring proper mass transfer conditions between the processing fluid and the surface of the workpiece can be difficult. Absent such mass transfer control, the processing of the workpiece surface can often be non-uniform.
Conventional workpiece processors have utilized various techniques to bring the processing fluid into contact with the surface of the workpiece in a controlled manner. For example, the processing fluid may be brought into contact with the surface of the workpiece using a controlled spray. In other types of processes, such as in partial or full immersion processing, the processing fluid resides in a bath and at least one surface of the workpiece is brought into contact with or below the surface of the processing fluid. Electroplating, electroless plating, etching, cleaning, anodization, etc. are examples of such partial or full immersion processing.
Existing processing containers often provide a continuous flow of processing solution to the processing chamber through one or more inlets disposed at the bottom portion of the chamber. Even distribution of the processing solution over the workpiece surface to control the thickness and uniformity of the diffusion layer conditions is facilitated, for example, by a diffuser or the like that is disposed between the one or more inlets and the workpiece surface. A general illustration of such a system is shown in Figure 1 A. The diffuser 1 includes a plurality of apertures 2 that are provided to disburse the stream of fluid provided from the processing fluid inlet 3 as evenly as possible across the surface of the workpiece 4.
Although substantial improvements in diffusion layer control result from the use of a diffuser, such control is limited. With reference to Figure 1A, localized areas 5 of increased flow velocity normal to the surface of the microelectronic workpiece are often still present notwithstanding the diffuser 1. These localized areas generally correspond to the apertures 2 of the diffuser 1. This effect is increased as the diffuser 1 is placed closer to the microelectronic workpiece 4 since the distance over which the fluid is allowed to disburse as it travels from the diffuser to the workpiece is decreased. This reduced diffusion length results in a more concentrated stream of processing fluid at the localized areas 5.
The present inventors have found that these localized areas of increased flow velocity at the surface of the workpiece affect the diffusion layer conditions and can result in non-uniform processing of the surface of the workpiece. The diffusion layer tends to be thinner at the localized areas 5 when compared to other areas of the workpiece surface. The surface reactions occur at a higher rate in the localized areas in which the diffusion layer thickness is reduced thereby resulting in radially, non-uniform processing of the workpiece. Diffuser hole pattern configurations also affect the distribution of the electric field in electrochemical processes, such as electroplating, which can similarly result in non-uniform processing of the workpiece surface (e.g., non-uniform deposition of the electroplated material).
Another problem often encountered in immersion processing of the workpiece is disruption of the diffusion layer due to the entrapment of bubbles at the surface of the workpiece. Bubbles can be created in the plumbing and pumping system of the processing equipment and enter the processing chamber where they migrate to sites on the surface of the workpiece under process. Processing is inhibited at those sites due, for example, to the disruption of the diffusion layer.
As microelectronic circuit and device manufacturers decrease the size of the components and circuits that they manufacture, the need for tighter control over the diffusion layer conditions between the processing solution and the workpiece surface becomes more critical. To this end, the present inventors have developed an improved processing chamber that addresses the diffusion layer non-uniformities and disturbances that exist in the workpiece processing tools currently employed in the microelectronic fabrication industry. Although the improved processing chamber set forth below is discussed in connection with a specific embodiment that is adapted for electroplating, it will be recognized that the improved chamber may be used in any workpiece processing tool in which process uniformity across the surface of a workpiece is desired.
BRIEF DESCRIPTION OF THE DRAWINGS
Figure 1 A is schematic block diagram of an immersion processing reactor assembly that incorporates a diffuser to distribute a flow of processing fluid across a surface of a workpiece.
Figure IB is a cross-sectional view of one embodiment of a reactor assembly that may incorporate the present invention.
Figure 2 is a schematic diagram of one embodiment of a reactor chamber that may be used in the reactor assembly of Figure IB and includes an illustration of the velocity flow profiles associated with the flow of processing fluid through the reactor chamber.
Figures 3-5 illustrate a specific construction of a complete processing chamber assembly that has been specifically adapted for electrochemical processing of a semiconductor wafer and that has been implemented to achieve the velocity flow profiles set forth in Figure 2.
Figures 6 and 7 illustrate two embodiments of processing tools that may incorporate one or more processing stations constructed in accordance with the teachings of the present invention.
SUMMARY OF THE INVENTION
A processing container for providing a flow of a processing fluid during immersion processing of at least one surface of a microelectronic workpiece is set forth. The processing container comprises a principal fluid flow chamber providing a flow of processing fluid to at least one surface of the workpiece and a plurality of nozzles disposed to provide a flow of processing fluid to the principal fluid flow chamber. The plurality of nozzles are arranged and directed to provide vertical and radial fluid flow components that combine to generate a substantially uniform normal flow component radially across the surface of the workpiece. An exemplary apparatus using such a processing container is also set forth that is particularly adapted to carry out an electrochemical process, such as an electroplating process.
In accordance with a still further aspect of the present disclosure, a reactor for immersion processing of a microelectronic workpiece is set forth that includes a processing container having a processing fluid inlet through which a processing fluid flows into the processing container. The processing container also has an upper rim forming a weir over which processing fluid flows to exit from processing container. At least one helical flow chamber is disposed exterior to the processing container to receive processing fluid exiting from the processing container over the weir. Such a configuration assists in removing spent processing fluid from the site of the reactor while concurrently reducing turbulence during the removal process that might otherwise entrain air in the fluid stream or otherwise generate an unwanted degree of contact between the air and the processing fluid.
DETAILED DESCRIPTION OF THE INVENTIONS
BASIC REACTOR COMPONENTS
With reference to FIGURE IB, there is shown a reactor assembly 20 for immersion- processing a microelectronic workpiece 25, such as a semiconductor wafer. Generally stated, the
reactor assembly 20 is comprised of a reactor head 30 and a corresponding processing base,
shown generally at 37 and described in substantial detail below, in which the processing fluid is
disposed. The reactor assembly of the specifically illustrated embodiment is particularly adapted for effecting electrochemical processing of semiconductor wafers or like workpieces. It will be recognized, however, that the general reactor configuration of FIGURE IB is suitable for other workpiece types and processes as well.
The reactor head 30 of the reactor assembly 20 may be comprised of a stationary assembly 70 and a rotor assembly 75. Rotor assembly 75 is configured to receive and carry an associated microelectronic workpiece 25, position the workpiece in a process-side down orientation within a processing container in processing base 37, and to rotate or spin the
workpiece. Because the specific embodiment illustrated here is adapted for electroplating, the
rotor assembly 75 also includes a cathode contact assembly 85 that provides electroplating power to the surface of the microelectronic workpiece. It will be recognized, however, that backside contact and/or support of the workpiece on the reactor head 30 may be implemented in lieu of front side contact/support illustrated here.
The reactor head 30 is typically mounted on a lift/rotate apparatus which is configured to rotate the reactor head 30 from an upwardly-facing disposition in which it receives the microelectronic workpiece to be plated, to a downwardly facing disposition in which the surface of the microelectronic workpiece to be plated is positioned so that it may be brought into contact with the processing fluid that is held within a processing container of the processing base 37. A robotic arm, which preferably includes an end effector, is typically employed for placing the microelectronic workpiece 25 in position on the rotor assembly 75, and for removing the plated microelectronic workpiece from within the rotor assembly. During loading of the microelectronic workpiece, assembly 85 may be operated between an open state that allows the microelectronic workpiece to be placed on the rotor assembly 75, and a closed state that secures the microelectronic workpiece to the rotor assembly for subsequent processing. In the context of an electroplating reactor, such operation also brings the electrically conductive components of the contact assembly 85 into electrical engagement with the surface of the microelectronic workpiece that is to be plated.
It will be recognized that other reactor assembly configurations may be used with the inventive aspects of the disclosed reactor chamber, the foregoing being merely illustrative. PROCESSING CONTAINER
FIGURE 2 illustrates the basic construction of processing base 37 and the corresponding flow velocity contour pattern resulting from the processing container construction. As illustrated, the processing base 37 generally comprises a main fluid flow chamber 505, an antechamber 510, a fluid inlet 515, a plenum 520, a flow diffuser 525 separating the plenum 520 from the antechamber 510, and a nozzle/slot assembly 530 separating the plenum 520 from the main fluid flow chamber 505. These components cooperate to provide a flow (here, of the electroplating solution) at the microelectronic workpiece 25 with a substantially radially independent normal component. In the illustrated embodiment, the impinging flow is centered about central axis 537 and possesses a nearly uniform component normal to the surface of the microelectronic workpiece 25. This results in a substantially uniform mass flux to the microelectronic workpiece surface that, in turn, enables substantially uniform processing thereof.
Processing fluid is provided through fluid inlet 515 disposed at the bottom of the container 35. The fluid from the fluid inlet 515 is directed therefrom at a relatively high velocity through antechamber 510. In the illustrated embodiment, antechamber 510 includes an acceleration channel 540 through which the processing fluid flows radially from the fluid inlet 515 toward fluid flow region 545 of antechamber 510. Fluid flow region 545 has a generally inverted U-shaped cross-section that is substantially wider at its outlet region proximate flow diffuser 525 than at its inlet region proximate acceleration channel 540. This variation in the cross-section assists in removing any gas bubbles from the processing fluid before the processing fluid is allowed to enter the main fluid flow chamber 505. Gas bubbles that would otherwise enter the main fluid flow chamber 505 are allowed to exit the processing base 37 through a gas outlet (not illustrated in FIGURE 2, but illustrated in the embodiment shown in FIGURES 3-5) disposed at an upper portion of the antechamber 510.
Processing fluid within antechamber 510 is ultimately supplied to main fluid flow chamber 505. To this end, the processing fluid is first directed to flow from a relatively high- pressure region 550 of the antechamber 510 to the comparatively lower-pressure plenum 520 through flow diffuser 525. Nozzle assembly 530 includes a plurality of nozzles or slots 535 that are disposed at a slight angle with respect to horizontal. Processing fluid exits plenum 520 through nozzles 535 with fluid velocity components in the vertical and radial directions.
Main fluid flow chamber 505 is defined at its upper region by a contoured sidewall 560 and a slanted sidewall 565. The contoured sidewall 560 assists in preventing fluid flow separation as the processing fluid exits nozzles 535 (particularly the uppermost nozzle(s)) and turns upward toward the surface of microelectronic workpiece 25. Beyond breakpoint 570, fluid flow separation will not substantially affect the uniformity of the normal flow. As such, slanted sidewall 565 can generally have any shape, including a continuation of the shape of contoured sidewall 560. In the specific embodiment disclosed here, sidewall 565 is slanted and, in those applications involving electrochemical processing, is used to support one or more anodes/electrical conductors.
Processing fluid exits from main fluid flow chamber 505 through a generally annular
outlet 572. Fluid exiting annular outlet 572 may be provided to a further exterior chamber for disposal or may be replenished for re-circulation through the processing fluid supply system.
In those instances in which the processing base 37 forms part of an electroplating reactor, the processing base 37 is provided with one or more anodes. In the illustrated embodiment, a central anode 580 is disposed in the lower portion of the main fluid flow chamber 505. If the peripheral edges of the surface of the microelectronic workpiece 25 extend radially beyond the extent of contoured sidewall 560, then the peripheral edges are electrically shielded from central anode 580 and reduced plating will take place in those regions. However, if plating is desired in the peripheral regions, one or more further anodes may be employed proximate the peripheral
regions. Here, a plurality of annular anodes 585 are disposed in a generally concentric manner on slanted sidewall 565 to provide a flow of electroplating current to the peripheral regions. An alternative embodiment would include a single anode or multiple anodes with no shielding from
the contoured walls to the edge of the microelectronic workpiece.
The anodes 580, 585 may be provided with electroplating power in a variety of manners. For example, the same or different levels of electroplating power may be multiplexed to the anodes 580, 585. Alternatively, all of the anodes 580, 585 may be connected to receive the same level of electroplating power from the same power source. Still further, each of the anodes 580, 585 may be connected to receive different levels of electroplating power to compensate for the variations in the resistance of the plated film. An advantage of the close proximity of the anodes 585 to the microelectronic workpiece 25 is that it provides a high degree of control of the radial film growth resulting from each anode.
Gasses may undesirably be entrained in the processing fluid as the is circulated through the processing system. These gasses may form bubbles that ultimately find their way to the diffusion layer and thereby impair the uniformity of the processing that takes place at the surface of the workpiece. To reduce this problem, as well as to reduce the likelihood of the entry of bubbles into the main fluid flow chamber 505, processing base 37 includes several unique features. With respect to central anode 580, a Venturi flow path 590 is provided between the underside of central anode 580 and the relatively lower pressure region of acceleration channel 540. In addition to desirably influencing the flow effects along central axis 537, this path results in a Venturi effect that causes the processing fluid proximate the surfaces disposed at the lower portion of the chamber, such as at the surface of central anode 580, to be drawn into acceleration channel 540 and may assist in sweeping gas bubbles away from the surface of the anode. More significantly, this Venturi effect provides a suction flow that affects the uniformity of the impinging flow at the central portion of the surface of the microelectronic workpiece along central axis 537. Similarly, processing fluid sweeps across the surfaces at the upper portion of the chamber, such as the surfaces of anodes 585, in a radial direction toward annular outlet 572 to remove gas bubbles present at such surfaces. Further, the radial components of the fluid flow at the surface of the microelectronic workpiece assists in sweeping gas bubbles therefrom.
There are numerous processing advantages with respect to the illustrated flow through the reactor chamber. As illustrated, the flow through the nozzles/slots 535 is directed away from the
microelectronic workpiece surface and, as such, there are no substantial localized normal of flow
components of fluid created that disturb the substantial uniformity of the diffusion layer. Although the diffusion layer may not be perfectly uniform, any non-uniformity will be relatively gradual as a result. Further, in those instances in which the microelectronic workpiece is rotated, such remaining non-uniformities in the diffusion layer can often be tolerated while consistently achieving processing goals.
As is also evident from the foregoing reactor design, the flow that is normal to the microelectronic workpiece has a slightly greater magnitude near the center of the microelectronic
workpiece. This creates a dome-shaped meniscus whenever the microelectronic workpiece is not present (i.e., before the microelectronic workpiece is lowered into the fluid). The dome-shaped meniscus assists in minimizing bubble entrapment as the microelectronic workpiece is lowered into the processing solution.
The flow at the bottom of the main fluid flow chamber 505 resulting from the Venturi flow path influences the fluid flow at the centerline thereof. The centerline flow velocity is otherwise difficult to implement and control. However, the strength of the Venturi flow provides a non-intrusive design variable that may be used to affect this aspect of the flow.
A still further advantage of the foregoing reactor design is that it assists in preventing bubbles that find their way to the chamber inlet from reaching the microelectronic workpiece. To this end, the flow pattern is such that the solution travels downward just before entering the main chamber. As such, bubbles remain in the antechamber and escape through holes at the top thereof. Further, bubbles are prevented from entering the main chamber through the Venturi flow path through the use of the shield that covers the Venturi flow path (see description of the embodiment of the reactor illustrated in FIGURES 3-5). Still further, the upward sloping inlet path (see FIGURE 5 and appertaining description) to the antechamber prevents bubbles from entering the main chamber through the Venturi flow path.
FIGURES 3-5 illustrate a specific construction of a complete processing chamber assembly 610 that has been specifically adapted for electrochemical processing of a semiconductor microelectronic workpiece. More particularly, the illustrated embodiment is specifically adapted for depositing a uniform layer of material on the surface of the workpiece using electroplating.
As illustrated, the processing base 37 shown in FIGURE IB is comprised of processing chamber assembly 610 along with a corresponding exterior cup 605. Processing chamber assembly 610 is disposed within exterior cup 605 to allow exterior cup 605 to receive spent processing fluid that overflows from the processing chamber assembly 610. A flange 615 extends about the assembly 610 for securement with, for example, the frame of the corresponding tool.
With particular reference to FIGURES 4 and 5, the flange of the exterior cup 605 is formed to engage or otherwise accept rotor assembly 75 of reactor head 30 (shown in Figure IB) and allow contact between the microelectronic workpiece 25 and the processing solution, such as
electroplating solution, in the main fluid flow chamber 505. The exterior cup 605 also includes a main cylindrical housing 625 into which a drain cup member 627 is disposed. The drain cup member 627 includes an outer surface having channels 629 that, together with the interior wall of
main cylindrical housing 625, form one or more helical flow chambers 640 that serve as an outlet for the processing solution. Processing fluid overflowing a weir member 739 at the top of processing cup 35 drains through the helical flow chambers 640 and exits an outlet (not illustrated) where it is either disposed of or replenished and re-circulated. This configuration is
particularly suitable for systems that include fluid re-circulation since it assists in reducing the
mixing of gases with the processing solution thereby further reducing the likelihood that gas bubbles will interfere with the uniformity of the diffusion layer at the workpiece surface.
In the illustrated embodiment, antechamber 510 is defined by the walls of a plurality of separate components. More particularly, antechamber 510 is defined by the interior walls of drain cup member 627, an anode support member 697, the interior and exterior walls of a mid- chamber member 690, and the exterior walls of flow diffuser 525.
FIGURES 3B and 4 illustrate the manner in which the foregoing components are brought
together to form the reactor. To this end, the mid-chamber member 690 is disposed interior of the drain cup member 627 and includes a plurality of leg supports 692 that sit upon a bottom wall thereof. The anode support member 697 includes an outer wall that engages a flange that is disposed about the interior of drain cup member 627. The anode support member 697 also includes a channel 705 that sits upon and engages an upper portion of flow diffuser 525, and a further channel 710 that sits upon and engages an upper rim of nozzle assembly 530. Mid-
chamber member 690 also includes a centrally disposed receptacle 715 that is dimensioned to
accept the lower portion of nozzle assembly 530. Likewise, an annular channel 725 is disposed radially exterior of the annular receptacle 715 to engage a lower portion of flow diffuser 525.
In the illustrated embodiment, the flow diffuser 525 is formed as a single piece and includes a plurality of vertically oriented slots 670. Similarly, the nozzle assembly 530 is formed
as a single piece and includes a plurality of horizontally oriented slots that constitute the nozzles
535.
The anode support member 697 includes a plurality of annular grooves that are dimensioned to accept corresponding annular anode assemblies 785. Each anode assembly 785
includes an anode 585 (preferably formed from platinized titanium or in other inert metal) and a conduit 730 extending from a central portion of the anode 585 through which a metal conductor may be disposed to electrically connect the anode 585 of each assembly 785 to an external source of electrical power. Conduit 730 is shown to extend entirely through the processing chamber assembly 610 and is secured at the bottom thereof by a respective fitting 733. In this manner, anode assemblies 785 effectively urge the anode support member 697 downward to clamp the flow diffuser 525, nozzle assembly 530, mid-chamber member 690, and drain cup member 627 against the bottom portion 737 of the exterior cup 605. This allows for easy assembly and disassembly of the processing chamber 610. However, it will be recognized that other means may be used to secure the chamber elements together as well as to conduct the necessary electrical power to the anodes.
The illustrated embodiment also includes a weir member 739 that detachably snaps or otherwise easily secures to the upper exterior portion of anode support member 697. As shown, weir member 739 includes a rim 742 that forms a weir over which the processing solution flows into the helical flow chamber 640. Weir member 739 also includes a transversely extending flange 744 that extends radially inward and forms an electric field shield over all or portions of one or more of the anodes 585. Since the weir member 739 may be easily removed and replaced, the processing chamber assembly 610 may be readily reconfigured and adapted to provide different electric field shapes. Such differing electrical field shapes are particularly useful in those instances in which the reactor must be configured to process more than one size or shape of a workpiece. Additionally, this allows the reactor to be configured to accommodate workpieces that are of the same size, but have different plating area requirements.
The anode support member 697, with the anodes 585 in place, forms the contoured sidewall 560 and slanted sidewall 565 that is illustrated in FIGURE 2. As noted above, the lower region of anode support member 697 is contoured to define the upper interior wall of antechamber 510 and preferably includes one or more gas outlets 665 that are disposed therethrough to allow gas bubbles to exit from the antechamber 510 to the exterior environment.
With particular reference to FIGURE 5, fluid inlet 515 is defined by an inlet fluid guide, shown generally at 810, that is secured to mid-chamber member 690 by one or more fasteners 815. Inlet fluid guide 810 includes a plurality of open channels 817 that guide fluid received at fluid inlet 515 to an area beneath mid-chamber member 690. Channels 817 of the illustrated embodiment are defined by upwardly angled walls 819. Processing fluid exiting channels 817 flows therefrom to one or more further channels 821 that are likewise defined by walls that angle upward.
Central anode 580 includes an electrical connection rod 581 that proceeds to the exterior of the processing chamber assembly 610 through central apertures formed in nozzle assembly 530, mid-chamber member 690and inlet fluid guide 810. The Venturi flow path regions shown at 590 in FIGURE 2 are formed in FIGURE 5 by vertical channels 823 that proceed through drain cup member 627 and the bottom wall of nozzle member 530. As illustrated, the fluid inlet guide 810 and, specifically, the upwardly angled walls 819 extend radially beyond the shielded vertical channels 823 so that any bubbles entering the inlet proceed through the upward channels 821 rather than through the vertical channels 823.
The foregoing reactor assembly may be readily integrated in a processing tool that is capable of executing a plurality of processes on a workpiece, such as a semiconductor microelectronic workpiece. One such processing tool is the LT-210™ electroplating apparatus available from Semitool, Inc., of Kalispell, Montana. Figs. 6 and 7 illustrate such integration. The system of Fig. 6 includes a plurality of processing stations 1610. Preferably, these processing stations include one or more rinsing/drying stations and one or more electroplating stations (including one or more electroplating reactors such as the one above), although further immersion-chemical processing stations constructed in accordance with the of the present invention may also be employed. The system also preferably includes a thermal processing station, such as at 1615, that includes at least one thermal reactor that is adapted for rapid thermal processing (RTP).
The workpieces are transferred between the processing stations 1610 and the RTP station 1615 using one or more robotic transfer mechanisms 1620 that are disposed for linear movement along a central track 1625. One or more of the stations 1610 may also incorporate structures that are adapted for executing an in-situ rinse. Preferably, all of the processing stations as well as the robotic transfer mechanisms are disposed in a cabinet that is provided with filtered air at a positive pressure to thereby limit airborne contaminants that may reduce the effectiveness of the microelectronic workpiece processing.
Fig. 7 illustrates a further embodiment of a processing tool in which an RTP station 1635, located in portion 1630, that includes at least one thermal reactor, may be integrated in a tool set. Unlike the embodiment of Fig. 6, in this embodiment, at least one thermal reactor is serviced by a dedicated robotic mechanism 1640. The dedicated robotic mechanism 1640 accepts workpieces that are transferred to it by the robotic transfer mechanisms 1620. Transfer may take place through an intermediate staging door/area 1645. As such, it becomes possible to hygienically separate the RTP portion 1630 of the processing tool from other portions of the tool. Additionally, using such a construction, the illustrated annealing station may be implemented as a separate module that is attached to upgrade an existing tool set. It will be recognized that other types of processing stations may be located in portion 1630 in addition to or instead of RTP station 1635.
Numerous modifications may be made to the foregoing system without departing from the basic teachings thereof. Although the present invention has been described in substantial detail with reference to one or more specific embodiments, those of skill in the art will recognize that changes may be made thereto without departing from the scope and spirit of the invention as set forth herein.

Claims

WE CLAIM:
1. A microelectronic workpiece immersion processing container comprising: a principal fluid flow chamber providing a flow of processing fluid to at least one surface of the workpiece; a plurality of nozzles disposed to provide a flow of processing fluid to the principal fluid flow chamber, the plurality of nozzles being arranged and directed to provide vertical, and radial fluid flow components that combine to generate a substantially uniform normal flow component radially across the at least one surface of the workpiece.
2. A microelectronic workpiece processing immersion container as claimed in claim 1 wherein the plurality of nozzles are disposed so that the substantially uniform normal flow component is slightly greater at a radial central portion thereby forming a meniscus that assists in preventing air entrapment as the workpiece is brought into engagement with the surface of the processing fluid in the processing container.
3. A microelectronic workpiece immersion processing container as claimed in claim 1 and further comprising an antechamber disposed in a flow path of the processing fluid prior to the plurality of nozzles, the antechamber being dimensioned to assist in the removal of gaseous components entrained in the processing fluid.
4. A microelectronic workpiece immersion processing container as claimed in claim 3 and further comprising a plenum disposed in the fluid flow path between the antechamber and the plurality of nozzles.
5. A microelectronic workpiece immersion processing container as claimed in claim 3 wherein the antechamber comprises an inlet portion and an outlet portion, the inlet portion having a smaller cross-section compared to the outlet portion.
6. A microelectronic workpiece immersion processing container as claimed in claim 1 wherein at least some of the plurality of nozzles are in the form of generally horizontal slots.
7. A microelectronic workpiece immersion processing container as claimed in claim 1 wherein the principal fluid flow chamber is defined by one or more sidewalls, at least some of the plurality of nozzles being disposed through the one or more sidewalls.
8. A microelectronic workpiece immersion processing container as claimed in claim 7 wherein the principal fluid flow chamber comprises one or more contoured sidewalls at an upper portion thereof to inhibit fluid flow separation as the processing fluid flows toward an upper portion of the principal fluid flow chamber to contact the surface of the microelectronic workpiece.
9. A microelectronic workpiece immersion processing container as claimed in claim 1 wherein the principal fluid flow chamber is defined at an upper portion thereof by an angled wall.
10. A microelectronic workpiece immersion processing container as claimed in claim 1 wherein the principal fluid flow chamber further comprises an inlet disposed at a lower portion thereof that is configured to provide a Venturi effect that facilitates recirculation of processing fluid flow in a lower portion of the principal fluid flow chamber.
11. A reactor for immersion processing at least one surface of a microelectronic workpiece, the reactor comprising: a reactor head including a workpiece support;
a processing container including a plurality of nozzles angularly disposed in a sidewall of a principal fluid flow chamber at a level within the principal fluid flow chamber below a surface of a bath of processing fluid normally contained therein during immersion processing.
12. A reactor as claimed in claim 0 and further comprising an electrode disposed at a lower portion of the processing container to provide electrical contact between an electrical power supply and the processing fluid.
13. A reactor as claimed in claim 12 wherein the processing container is defined at an upper portion thereof by an angled wall, the processing container further comprising at least one further electrode in fixed positional alignment with the angled wall to provide electrical contact between an electrical power supply and the processing fluid.
14. A reactor as claimed in claim 1 and further comprising a motor connected to rotate the workpiece support and an associated microelectronic workpiece at least during processing of the at least one surface of the microelectronic workpiece.
15. A reactor for immersion processing of a microelectronic workpiece, the reactor comprising: a processing container having a processing fluid inlet through which a processing fluid flows into the processing container, the processing container further having an upper rim forming a weir over which processing fluid flows to exit from processing container; at least one helical flow chamber disposed exterior to the processing container to receive processing fluid exiting from the processing container over the weir.
16. A reactor as claimed in claim 15 wherein the helical flow chamber is disposed about and circumvents exterior sidewalls of the processing container.
17. A reactor as claimed in claim 16 wherein the processing container comprises one or more projections circumventing exterior sidewalls thereof that at least partially define the helical flow chamber.
18. A reactor as claimed in claim 17 wherein the reactor further comprises an outer container exterior to the processing container, interior sidewalls of the outer container cooperating with the one or more projections to define the helical flow chamber therebetween.
19. An apparatus for processing a microelectronic workpiece comprising: a plurality of workpiece processing stations; a microelectronic workpiece robotic transfer; at least one of the plurality of workpiece processing stations including a reactor having a processing container comprising a principal fluid flow chamber; a plurality of nozzles angularly disposed in one or more sidewalls of the principal fluid flow chamber at a level within the principal fluid flow chamber below a surface of a bath of processing fluid normally contained therein during immersion processing.
20. An apparatus as claimed in claim 19 wherein the plurality of nozzles are disposed with respect to one another to provide vertical and radial fluid flow components that combine to generate a substantially uniform normal flow component radially across the at least one surface of the workpiece.
21. An apparatus as claimed in claim 19 wherein the plurality of nozzles are arranged so that the substantially uniform normal flow component is slightly greater at a radial central portion as referenced to the workpiece thereby forming a meniscus that assists in preventing air entrapment as the workpiece is brought into engagement with the surface of the processing fluid in the processing container.
22. An apparatus as claimed in claim 19 wherein the processing container further comprises a vented antechamber upstream of the plurality of nozzles.
23. An apparatus as claimed in claim 22 wherein the processing container further comprises a plenum disposed between the vented antechamber and the plurality of nozzles.
24. An apparatus as claimed in claim 22 wherein the vented antechamber comprises an inlet portion and an outlet portion, the inlet portion having a smaller cross-section compared to the outlet portion.
25. An apparatus as claimed in claim 21 wherein at least some of the plurality of nozzles are generally horizontal slots in the one or more sidewalls of the principal fluid flow chamber.
26. An apparatus as claimed in claim 19 wherein the principal fluid flow chamber further comprises a Venturi effect inlet.
27. An apparatus as claimed in claim 25 wherein the Venturi effect inlet generates a Venturi effect that facilitates recirculation of processing fluid flow in a lower portion of the principal fluid flow chamber.
28. A processing container for providing a flow of a processing fluid during immersion processing of at least one surface of a microelectronic workpiece, the processing container comprising: a principal fluid flow chamber; a plurality of nozzles angularly disposed in one or more sidewalls of the principal fluid flow chamber at a level within the principal fluid flow chamber below a surface of a bath of processing fluid contained therein during immersion processing.
9. A microelectronic workpiece processing container as claimed in claim 28 wherein the plurality of nozzles are disposed in the one or more sidewalls of the principal fluid flow chamber so as to form a the substantially uniform normal flow component radially across the surface of the workpiece in which the substantially uniform normal flow component is slightly greater at a radial central portion thereby forming a meniscus that assists in preventing air entrapment as the workpiece is brought into engagement with the surface of the processing fluid in the processing container.
30. A microelectronic workpiece processing container as claimed in claim 26 and further comprising an antechamber upstream of the plurality of nozzles, the antechamber being dimensioned to assist in the removal of gaseous components entrained in the processing fluid.
31. A microelectronic workpiece processing container as claimed in claim 30 and further comprising a plenum disposed between the antechamber and the plurality of nozzles.
32. A microelectronic workpiece processing container as claimed in claim 31 wherein the antechamber comprises an inlet and an outlet, the inlet having a smaller cross-section compared to the outlet.
33. A microelectronic workpiece processing container as claimed in claim 28 wherein at least some of the plurality of nozzles are generally horizontal slots disposed through the one or more sidewalls of the principal fluid flow chamber.
34. A processing container as claimed in claim 28 wherein the principal fluid flow chamber comprises one or more contoured sidewalls at an upper portion thereof to inhibit fluid flow separation as the processing fluid flows toward an upper portion of the principal fluid flow chamber to contact the surface of the microelectronic workpiece.
35. A processing container as claimed in claim 28 wherein the principal fluid flow chamber is defined at an upper portion thereof by an angled wall.
36. A microelectronic workpiece processing container as claimed in claim 28 wherein the principal fluid flow chamber further comprises a Venturi effect inlet disposed at a lower portion thereof.
37. A microelectronic workpiece processing container as claimed in claim 36 wherein the Venturi effect inlet is configured to provide a Venturi effect that facilitates recirculation of processing fluid flow in a lower portion of the principal fluid flow chamber.
PCT/US2000/010210 1999-04-13 2000-04-13 Workpiece processor having processing chamber with improved processing fluid flow WO2000061837A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP00922257A EP1194613A4 (en) 1999-04-13 2000-04-13 Workpiece processor having processing chamber with improved processing fluid flow
JP2000610882A JP4288010B2 (en) 1999-04-13 2000-04-13 Workpiece processing apparatus having a processing chamber for improving the flow of processing fluid
US09/804,696 US6569297B2 (en) 1999-04-13 2001-03-12 Workpiece processor having processing chamber with improved processing fluid flow
US10/400,186 US7267749B2 (en) 1999-04-13 2003-03-26 Workpiece processor having processing chamber with improved processing fluid flow

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US12905599P 1999-04-13 1999-04-13
US60/129,055 1999-04-13
US14376999P 1999-07-12 1999-07-12
US60/143,769 1999-07-12
US18216000P 2000-02-14 2000-02-14
US60/182,160 2000-02-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/804,696 Continuation US6569297B2 (en) 1999-04-13 2001-03-12 Workpiece processor having processing chamber with improved processing fluid flow

Publications (2)

Publication Number Publication Date
WO2000061837A1 true WO2000061837A1 (en) 2000-10-19
WO2000061837A9 WO2000061837A9 (en) 2002-01-03

Family

ID=27383837

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2000/010120 WO2000061498A2 (en) 1996-07-15 2000-04-13 System for electrochemically processing a workpiece
PCT/US2000/010210 WO2000061837A1 (en) 1999-04-13 2000-04-13 Workpiece processor having processing chamber with improved processing fluid flow

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/US2000/010120 WO2000061498A2 (en) 1996-07-15 2000-04-13 System for electrochemically processing a workpiece

Country Status (7)

Country Link
US (10) US6569297B2 (en)
EP (2) EP1192298A4 (en)
JP (2) JP4288010B2 (en)
KR (2) KR100707121B1 (en)
CN (2) CN1296524C (en)
TW (2) TWI226387B (en)
WO (2) WO2000061498A2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6569297B2 (en) 1999-04-13 2003-05-27 Semitool, Inc. Workpiece processor having processing chamber with improved processing fluid flow
EP1335038A1 (en) * 2000-10-26 2003-08-13 Ebara Corporation Device and method for electroless plating
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6749391B2 (en) 1996-07-15 2004-06-15 Semitool, Inc. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6752584B2 (en) 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US7247223B2 (en) 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
CN101775637A (en) * 2010-03-09 2010-07-14 北京中冶设备研究设计总院有限公司 Static-pressure horizontal electroplating bath
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10190230B2 (en) 2010-07-02 2019-01-29 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10662545B2 (en) 2012-12-12 2020-05-26 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3942977A1 (en) * 1989-12-23 1991-06-27 Standard Elektrik Lorenz Ag METHOD FOR RESTORING THE CORRECT SEQUENCE OF CELLS, ESPECIALLY IN AN ATM SWITCHING CENTER, AND OUTPUT UNIT THEREFOR
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
TWI223678B (en) * 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6402923B1 (en) * 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
WO2001090434A2 (en) * 2000-05-24 2001-11-29 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
JP2004515918A (en) 2000-12-04 2004-05-27 株式会社荏原製作所 Substrate processing apparatus and method
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US7628898B2 (en) * 2001-03-12 2009-12-08 Semitool, Inc. Method and system for idle state operation
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US7281741B2 (en) * 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
DE60205457T2 (en) * 2002-05-03 2006-06-14 Lina Medical Aps Device for hemostasis of an open blood vessel
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
JP2004068151A (en) * 2002-07-25 2004-03-04 Matsushita Electric Ind Co Ltd Plating method of substrate and plating device
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
TWI229367B (en) * 2002-12-26 2005-03-11 Canon Kk Chemical treatment apparatus and chemical treatment method
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7332062B1 (en) * 2003-06-02 2008-02-19 Lsi Logic Corporation Electroplating tool for semiconductor manufacture having electric field control
US20050035046A1 (en) * 2003-06-06 2005-02-17 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US20050063798A1 (en) * 2003-06-06 2005-03-24 Davis Jeffry Alan Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces
US7393439B2 (en) * 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US20050050767A1 (en) * 2003-06-06 2005-03-10 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US7390382B2 (en) * 2003-07-01 2008-06-24 Semitool, Inc. Reactors having multiple electrodes and/or enclosed reciprocating paddles, and associated methods
DE10327578A1 (en) * 2003-06-18 2005-01-13 Micronas Gmbh Method and device for filtering a signal
US20070144912A1 (en) * 2003-07-01 2007-06-28 Woodruff Daniel J Linearly translating agitators for processing microfeature workpieces, and associated methods
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7372682B2 (en) * 2004-02-12 2008-05-13 Power-One, Inc. System and method for managing fault in a power system
US8082932B2 (en) * 2004-03-12 2011-12-27 Applied Materials, Inc. Single side workpiece processing
US7938942B2 (en) * 2004-03-12 2011-05-10 Applied Materials, Inc. Single side workpiece processing
US20070110895A1 (en) * 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7214297B2 (en) 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US7531060B2 (en) * 2004-07-09 2009-05-12 Semitool, Inc. Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US7165768B2 (en) * 2005-04-06 2007-01-23 Chih-Chung Fang Variable three-dimensional labyrinth
US7935240B2 (en) * 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US20070043474A1 (en) * 2005-08-17 2007-02-22 Semitool, Inc. Systems and methods for predicting process characteristics of an electrochemical treatment process
WO2007062114A2 (en) 2005-11-23 2007-05-31 Semitool, Inc. Apparatus and method for agitating liquids in wet chemical processing of microfeature workpieces
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US8104488B2 (en) * 2006-02-22 2012-01-31 Applied Materials, Inc. Single side workpiece processing
US7655126B2 (en) 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
GB2440139A (en) * 2006-07-20 2008-01-23 John Bostock Electrocoagulation unit for the removal of contaminants from a fluid
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8291921B2 (en) * 2008-08-19 2012-10-23 Lam Research Corporation Removing bubbles from a fluid flowing down through a plenum
US20080178460A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
US8069750B2 (en) 2007-08-09 2011-12-06 Ksr Technologies Co. Compact pedal assembly with improved noise control
DE102008045256A1 (en) * 2008-09-01 2010-03-04 Rena Gmbh Apparatus and method for the wet treatment of different substrates
US8475637B2 (en) 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US9752111B2 (en) * 2009-02-25 2017-09-05 Corning Incorporated Cell culture system with manifold
CN101864587B (en) * 2009-04-20 2013-08-21 鸿富锦精密工业(深圳)有限公司 Device and method for forming nanoscale metal particles/metal composite coatings
US8795480B2 (en) * 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
TWI550139B (en) 2011-04-04 2016-09-21 諾菲勒斯系統公司 Electroplating apparatus for tailored uniformity profile
US9017528B2 (en) 2011-04-14 2015-04-28 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US9005409B2 (en) 2011-04-14 2015-04-14 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US8496789B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US8496790B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US9245719B2 (en) * 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US8900425B2 (en) 2011-11-29 2014-12-02 Applied Materials, Inc. Contact ring for an electrochemical processor
US8968531B2 (en) 2011-12-07 2015-03-03 Applied Materials, Inc. Electro processor with shielded contact ring
US9393658B2 (en) 2012-06-14 2016-07-19 Black & Decker Inc. Portable power tool
CN202925123U (en) * 2012-08-28 2013-05-08 南通市申海工业技术科技有限公司 Copper-and-nickel plating mirror surface process device for vacuum valve inside nuclear reactor
US9598788B2 (en) * 2012-09-27 2017-03-21 Applied Materials, Inc. Electroplating apparatus with contact ring deplating
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9945044B2 (en) * 2013-11-06 2018-04-17 Lam Research Corporation Method for uniform flow behavior in an electroplating cell
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
CN104947172B (en) * 2014-03-28 2018-05-29 通用电气公司 Plating tool and the method using the plating tool
US9689084B2 (en) 2014-05-22 2017-06-27 Globalfounries Inc. Electrodeposition systems and methods that minimize anode and/or plating solution degradation
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9469911B2 (en) 2015-01-21 2016-10-18 Applied Materials, Inc. Electroplating apparatus with membrane tube shield
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
CN105463537B (en) * 2016-01-14 2017-11-21 深圳市启沛实业有限公司 A kind of one side electroplating method
KR102501328B1 (en) 2016-07-13 2023-02-20 아이온트라 엘엘씨 Electrochemical methods, devices and compositions
GB201701166D0 (en) 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US11142840B2 (en) * 2018-10-31 2021-10-12 Unison Industries, Llc Electroforming system and method
JP7150768B2 (en) * 2020-01-30 2022-10-11 Jx金属株式会社 Electrolysis apparatus and electrolysis method
CN111501080B (en) * 2020-05-26 2021-08-06 青岛维轮智能装备有限公司 Disordered electronic plating equipment based on electric field transformation
US11618951B2 (en) 2020-05-27 2023-04-04 Global Circuit Innovations Incorporated Chemical evaporation control system
CN114421318B (en) * 2022-01-13 2023-10-03 湖南程微电力科技有限公司 A flip formula safety type low tension cable feeder pillar for it is outdoor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046105A (en) * 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US5376176A (en) * 1992-01-08 1994-12-27 Nec Corporation Silicon oxide film growing apparatus
US5681392A (en) * 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow

Family Cites Families (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US2001A (en) * 1841-03-12 Sawmill
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US640892A (en) * 1899-01-21 1900-01-09 Samuel Mawhinney Upright-piano action.
US1255395A (en) * 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US1881713A (en) * 1928-12-03 1932-10-11 Arthur K Laukel Flexible and adjustable anode
US2256274A (en) 1938-06-30 1941-09-16 Firm J D Riedel E De Haen A G Salicylic acid sulphonyl sulphanilamides
US3309263A (en) * 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3616284A (en) 1968-08-21 1971-10-26 Bell Telephone Labor Inc Processing arrays of junction devices
US3664933A (en) 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3930693A (en) * 1970-05-22 1976-01-06 The Torrington Company Full complement bearing having preloaded hollow rollers
US3716462A (en) 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3798033A (en) * 1971-05-11 1974-03-19 Spectral Data Corp Isoluminous additive color multispectral display
US3930963A (en) 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
BE791401A (en) 1971-11-15 1973-05-14 Monsanto Co ELECTROCHEMICAL COMPOSITIONS AND PROCESSES
US3798003A (en) * 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
DE2244434C3 (en) 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Aqueous bath for the galvanic deposition of gold and gold alloys
US4022679A (en) 1973-05-10 1977-05-10 C. Conradty Coated titanium anode for amalgam heavy duty cells
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4000046A (en) 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
US4072557A (en) * 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4032422A (en) 1975-10-03 1977-06-28 National Semiconductor Corporation Apparatus for plating semiconductor chip headers
US4030015A (en) 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
US4165252A (en) 1976-08-30 1979-08-21 Burroughs Corporation Method for chemically treating a single side of a workpiece
US4137867A (en) * 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4134802A (en) 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4132567A (en) * 1977-10-13 1979-01-02 Fsi Corporation Apparatus for and method of cleaning and removing static charges from substrates
US4170959A (en) 1978-04-04 1979-10-16 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4341629A (en) 1978-08-28 1982-07-27 Sand And Sea Industries, Inc. Means for desalination of water through reverse osmosis
US4246088A (en) * 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
US4276855A (en) 1979-05-02 1981-07-07 Optical Coating Laboratory, Inc. Coating apparatus
US4222834A (en) 1979-06-06 1980-09-16 Western Electric Company, Inc. Selectively treating an article
SU921124A1 (en) 1979-06-19 1982-04-15 Институт Физико-Химических Основ Переработки Минерального Сырья Со Ан Ссср Method of metallization of printed circuit board apertures
US4286541A (en) 1979-07-26 1981-09-01 Fsi Corporation Applying photoresist onto silicon wafers
JPS56102590A (en) 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4422915A (en) 1979-09-04 1983-12-27 Battelle Memorial Institute Preparation of colored polymeric film-like coating
US4238310A (en) 1979-10-03 1980-12-09 United Technologies Corporation Apparatus for electrolytic etching
US4259166A (en) * 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4437943A (en) * 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
EP0047132B1 (en) * 1980-09-02 1985-07-03 Heraeus Quarzschmelze Gmbh Method of and apparatus for transferring semiconductor wafers between carrier members
US4323433A (en) 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4443117A (en) 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
SE8101046L (en) 1981-02-16 1982-08-17 Europafilm DEVICE FOR PLANTS, Separate for the matrices of gramophone discs and the like
US4360410A (en) 1981-03-06 1982-11-23 Western Electric Company, Inc. Electroplating processes and equipment utilizing a foam electrolyte
JPS57198315U (en) * 1981-06-12 1982-12-16
JPS584382A (en) 1981-06-26 1983-01-11 ファナック株式会社 Control system for industrial robot
US4378283A (en) * 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4384930A (en) 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
US4463503A (en) 1981-09-29 1984-08-07 Driall, Inc. Grain drier and method of drying grain
JPS58154842A (en) 1982-02-03 1983-09-14 Konishiroku Photo Ind Co Ltd Silver halide color photographic sensitive material
LU83954A1 (en) * 1982-02-17 1983-09-02 Arbed METHOD FOR INCREASING THE REFRIGERANT SETS IN THE PRODUCTION OF STEEL BY OXYGEN BLOWING
JPS58149189A (en) * 1982-03-01 1983-09-05 セイコーインスツルメンツ株式会社 Turning lifting mechanism of industrial robot
US4440597A (en) 1982-03-15 1984-04-03 The Procter & Gamble Company Wet-microcontracted paper and concomitant process
US4475823A (en) 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4451197A (en) 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4585539A (en) 1982-08-17 1986-04-29 Technic, Inc. Electrolytic reactor
US4541895A (en) 1982-10-29 1985-09-17 Scapa Inc. Papermakers fabric of nonwoven layers in a laminated construction
DE3240330A1 (en) * 1982-10-30 1984-05-03 Eberhard Hoesch & Söhne Metall und Kunststoffwerk GmbH & Co, 5166 Kreuzau BATHROOM WITH SWIRL JETS
US4982753A (en) * 1983-07-26 1991-01-08 National Semiconductor Corporation Wafer etching, cleaning and stripping apparatus
US4529480A (en) 1983-08-23 1985-07-16 The Procter & Gamble Company Tissue paper
US4469566A (en) 1983-08-29 1984-09-04 Dynamic Disk, Inc. Method and apparatus for producing electroplated magnetic memory disk, and the like
US4864239A (en) 1983-12-05 1989-09-05 General Electric Company Cylindrical bearing inspection
US4466864A (en) 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4500394A (en) 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4544446A (en) 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
DE8430403U1 (en) 1984-10-16 1985-04-25 Gebr. Steimel, 5202 Hennef CENTERING DEVICE
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3500005A1 (en) 1985-01-02 1986-07-10 ESB Elektrostatische Sprüh- und Beschichtungsanlagen G.F. Vöhringer GmbH, 7758 Meersburg COATING CABIN FOR COATING THE SURFACE OF WORKPIECES WITH COATING POWDER
US4600463A (en) * 1985-01-04 1986-07-15 Seiichiro Aigo Treatment basin for semiconductor material
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4685414A (en) 1985-04-03 1987-08-11 Dirico Mark A Coating printed sheets
US4576685A (en) * 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
JPS61178187U (en) 1985-04-26 1986-11-06
US4648944A (en) 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
US4760671A (en) 1985-08-19 1988-08-02 Owens-Illinois Television Products Inc. Method of and apparatus for automatically grinding cathode ray tube faceplates
FR2587915B1 (en) 1985-09-27 1987-11-27 Omya Sa DEVICE FOR CONTACTING FLUIDS IN THE FORM OF DIFFERENT PHASES
JPH0444216Y2 (en) 1985-10-07 1992-10-19
US4949671A (en) 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JPH088723B2 (en) * 1985-11-02 1996-01-29 日立機電工業株式会社 Conveyor device using linear motor
US4715934A (en) 1985-11-18 1987-12-29 Lth Associates Process and apparatus for separating metals from solutions
US4761214A (en) 1985-11-27 1988-08-02 Airfoil Textron Inc. ECM machine with mechanisms for venting and clamping a workpart shroud
US4687552A (en) 1985-12-02 1987-08-18 Tektronix, Inc. Rhodium capped gold IC metallization
US4849054A (en) 1985-12-04 1989-07-18 James River-Norwalk, Inc. High bulk, embossed fiber sheet material and apparatus and method of manufacturing the same
ATE92544T1 (en) * 1985-12-24 1993-08-15 Gould Inc METHOD AND APPARATUS FOR ELECTRICAL PLATING OF A COPPER SHEET.
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4924890A (en) 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPH0768639B2 (en) * 1986-12-10 1995-07-26 トヨタ自動車株式会社 Electrodeposition coating method
JPH0815582B2 (en) * 1987-02-28 1996-02-21 本田技研工業株式会社 Body surface treatment method
US4773436A (en) * 1987-03-09 1988-09-27 Cantrell Industries, Inc. Pot and pan washing machines
DD260260A1 (en) 1987-05-04 1988-09-21 Polygraph Leipzig ROTATION HEADING DEVICE WITH SEPARATELY DRIVEN HEADING HEAD
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US6139708A (en) * 1987-08-08 2000-10-31 Nissan Motor Co., Ltd. Dip surface-treatment system and method of dip surface-treatment using same
JP2624703B2 (en) * 1987-09-24 1997-06-25 株式会社東芝 Method and apparatus for forming bump
US4781800A (en) * 1987-09-29 1988-11-01 President And Fellows Of Harvard College Deposition of metal or alloy film
DE3735449A1 (en) * 1987-10-20 1989-05-03 Convac Gmbh MANUFACTURING SYSTEM FOR SEMICONDUCTOR SUBSTRATES
AT389959B (en) * 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer DEVICE FOR SETTING DISC-SHAPED OBJECTS, ESPECIALLY SILICONE DISC
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US4868992A (en) 1988-04-22 1989-09-26 Intel Corporation Anode cathode parallelism gap gauge
US4902398A (en) 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US4988533A (en) 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
DE3818757A1 (en) * 1988-05-31 1989-12-07 Mannesmann Ag PORTAL OF AN INDUSTRIAL ROBOT
US4959278A (en) 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
JPH0264646A (en) * 1988-08-31 1990-03-05 Toshiba Corp Developing method for resist pattern and developing device using the same
JPH03125453A (en) * 1989-10-09 1991-05-28 Toshiba Corp Semiconductor wafer transfer device
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
KR0153250B1 (en) * 1990-06-28 1998-12-01 카자마 겐쥬 Vertical heat-treating apparatus
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5115430A (en) 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5135636A (en) 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
EP0502475B1 (en) 1991-03-04 1997-06-25 Toda Kogyo Corporation Method of plating a bonded magnet and a bonded magnet carrying a metal coating
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5209817A (en) 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5399564A (en) * 1991-09-03 1995-03-21 Dowelanco N-(4-pyridyl or 4-quinolinyl) arylacetamide and 4-(aralkoxy or aralkylamino) pyridine pesticides
JP2888001B2 (en) * 1992-01-09 1999-05-10 日本電気株式会社 Metal plating equipment
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
DE69205573T2 (en) * 1992-08-04 1996-06-13 Ibm Production line architecture with fully automated and computer-controlled conveyors suitable for sealable portable pressurized containers.
US5372848A (en) 1992-12-24 1994-12-13 International Business Machines Corporation Process for creating organic polymeric substrate with copper
US5684713A (en) 1993-06-30 1997-11-04 Massachusetts Institute Of Technology Method and apparatus for the recursive design of physical structures
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
JP3194823B2 (en) 1993-09-17 2001-08-06 富士通株式会社 CAD library model creation device
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
DE9404771U1 (en) * 1994-03-21 1994-06-30 Thyssen Aufzuege Gmbh Locking device
JP3388628B2 (en) * 1994-03-24 2003-03-24 東京応化工業株式会社 Rotary chemical processing equipment
JP3146841B2 (en) * 1994-03-28 2001-03-19 信越半導体株式会社 Wafer rinse equipment
US5718763A (en) * 1994-04-04 1998-02-17 Tokyo Electron Limited Resist processing apparatus for a rectangular substrate
JPH07283077A (en) * 1994-04-11 1995-10-27 Ngk Spark Plug Co Ltd Thin film capacitor
JP3621151B2 (en) * 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP3143770B2 (en) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 Substrate transfer device
US5625233A (en) 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
JPH08238463A (en) * 1995-03-03 1996-09-17 Ebara Corp Cleaning method and cleaning apparatus
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US6042712A (en) * 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US5741435A (en) 1995-08-08 1998-04-21 Nano Systems, Inc. Magnetic memory having shape anisotropic magnetic elements
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
KR0182006B1 (en) 1995-11-10 1999-04-15 김광호 Semiconductor device
US5597460A (en) 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5877829A (en) * 1995-11-14 1999-03-02 Sharp Kabushiki Kaisha Liquid crystal display apparatus having adjustable viewing angle characteristics
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6162488A (en) 1996-05-14 2000-12-19 Boston University Method for closed loop control of chemical vapor deposition process
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6350319B1 (en) * 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5989397A (en) 1996-11-12 1999-11-23 The United States Of America As Represented By The Secretary Of The Air Force Gradient multilayer film generation process control
AUPO473297A0 (en) 1997-01-22 1997-02-20 Industrial Automation Services Pty Ltd Coating thickness control
DE69703798T2 (en) 1997-02-03 2001-08-02 Okuno Chem Ind Co METHOD FOR ELECTRO-COATING NON-CONDUCTIVE MATERIALS
US6090260A (en) * 1997-03-31 2000-07-18 Tdk Corporation Electroplating method
JP3405517B2 (en) * 1997-03-31 2003-05-12 ティーディーケイ株式会社 Electroplating method and apparatus
JPH10303106A (en) * 1997-04-30 1998-11-13 Toshiba Corp Development processing device and its processing method
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5999886A (en) 1997-09-05 1999-12-07 Advanced Micro Devices, Inc. Measurement system for detecting chemical species within a semiconductor processing device chamber
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
JP3501937B2 (en) * 1998-01-30 2004-03-02 富士通株式会社 Method for manufacturing semiconductor device
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
WO1999041434A2 (en) * 1998-02-12 1999-08-19 Acm Research, Inc. Plating apparatus and method
US6151532A (en) 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TWI223678B (en) 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
US6228232B1 (en) 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) * 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6201240B1 (en) * 1998-11-04 2001-03-13 Applied Materials, Inc. SEM image enhancement using narrow band detection and color assignment
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7351315B2 (en) * 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
EP1192298A4 (en) 1999-04-13 2006-08-23 Semitool Inc System for electrochemically processing a workpiece
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046105A (en) * 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US5376176A (en) * 1992-01-08 1994-12-27 Nec Corporation Silicon oxide film growing apparatus
US5681392A (en) * 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1194613A4 *

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6749391B2 (en) 1996-07-15 2004-06-15 Semitool, Inc. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US6752584B2 (en) 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6569297B2 (en) 1999-04-13 2003-05-27 Semitool, Inc. Workpiece processor having processing chamber with improved processing fluid flow
US6660137B2 (en) 1999-04-13 2003-12-09 Semitool, Inc. System for electrochemically processing a workpiece
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
EP1335038A4 (en) * 2000-10-26 2008-05-14 Ebara Corp Device and method for electroless plating
EP1335038A1 (en) * 2000-10-26 2003-08-13 Ebara Corporation Device and method for electroless plating
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US7247223B2 (en) 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US7857958B2 (en) 2002-05-29 2010-12-28 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
CN101775637A (en) * 2010-03-09 2010-07-14 北京中冶设备研究设计总院有限公司 Static-pressure horizontal electroplating bath
US10190230B2 (en) 2010-07-02 2019-01-29 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10662545B2 (en) 2012-12-12 2020-05-26 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11047059B2 (en) 2016-05-24 2021-06-29 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating

Also Published As

Publication number Publication date
WO2000061498A2 (en) 2000-10-19
JP4219562B2 (en) 2009-02-04
EP1194613A1 (en) 2002-04-10
JP2002541334A (en) 2002-12-03
CN1217034C (en) 2005-08-31
TWI226387B (en) 2005-01-11
US20050109628A1 (en) 2005-05-26
US20050167265A1 (en) 2005-08-04
US7267749B2 (en) 2007-09-11
CN1353778A (en) 2002-06-12
CN1296524C (en) 2007-01-24
US20050109633A1 (en) 2005-05-26
TW527444B (en) 2003-04-11
WO2000061498A3 (en) 2001-01-25
EP1192298A4 (en) 2006-08-23
JP4288010B2 (en) 2009-07-01
JP2002541326A (en) 2002-12-03
US6569297B2 (en) 2003-05-27
EP1194613A4 (en) 2006-08-23
US20020079215A1 (en) 2002-06-27
US20040055877A1 (en) 2004-03-25
EP1192298A2 (en) 2002-04-03
US7566386B2 (en) 2009-07-28
KR100707121B1 (en) 2007-04-16
KR20020016772A (en) 2002-03-06
US20050109625A1 (en) 2005-05-26
US20050109629A1 (en) 2005-05-26
US20040099533A1 (en) 2004-05-27
WO2000061837A9 (en) 2002-01-03
KR100695660B1 (en) 2007-03-19
CN1353779A (en) 2002-06-12
US6660137B2 (en) 2003-12-09
US20020008037A1 (en) 2002-01-24
KR20020016771A (en) 2002-03-06
US20050224340A1 (en) 2005-10-13

Similar Documents

Publication Publication Date Title
US7267749B2 (en) Workpiece processor having processing chamber with improved processing fluid flow
US7264698B2 (en) Apparatus and methods for electrochemical processing of microelectronic workpieces
US20050000818A1 (en) Method, chemistry, and apparatus for noble metal electroplating on a microelectronic workpiece
US20050061676A1 (en) System for electrochemically processing a workpiece
US7438788B2 (en) Apparatus and methods for electrochemical processing of microelectronic workpieces

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 00808191.3

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 09804696

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020017013072

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2000 610882

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2000922257

Country of ref document: EP

AK Designated states

Kind code of ref document: C2

Designated state(s): CN JP KR SG US

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

COP Corrected version of pamphlet

Free format text: PAGES 1/8-8/8, DRAWINGS, REPLACED BY NEW PAGES 1/8-8/8; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

WWP Wipo information: published in national office

Ref document number: 1020017013072

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000922257

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1020017013072

Country of ref document: KR