USRE43837E1 - Substrate supporting apparatus - Google Patents

Substrate supporting apparatus Download PDF

Info

Publication number
USRE43837E1
USRE43837E1 US13/049,056 US201113049056A USRE43837E US RE43837 E1 USRE43837 E1 US RE43837E1 US 201113049056 A US201113049056 A US 201113049056A US RE43837 E USRE43837 E US RE43837E
Authority
US
United States
Prior art keywords
plate member
pit
edge portion
open edge
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US13/049,056
Inventor
Naoya Kida
Toshihiro Tachikawa
Jun Futakuchiya
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NHK Spring Co Ltd
Original Assignee
NHK Spring Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NHK Spring Co Ltd filed Critical NHK Spring Co Ltd
Priority to US13/049,056 priority Critical patent/USRE43837E1/en
Application granted granted Critical
Publication of USRE43837E1 publication Critical patent/USRE43837E1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • F16C29/04Ball or roller bearings
    • F16C29/045Ball or roller bearings having rolling elements journaled in one of the moving parts
    • F16C29/046Ball or roller bearings having rolling elements journaled in one of the moving parts with balls journaled in pockets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Abstract

A substrate supporting apparatus includes a plate member of an aluminum alloy having a flat upper surface, bottomed pits formed in the plate member, and spacer members held in the pits, individually. The spacer members are sapphire spheres. The diameter of each spacer member is a little smaller than that of each pit. The upper end of each spacer member projects from the upper surface of the plate member. A spot facing is formed in a region that includes the open edge portion of the pit. A bending portion which is obtained by plastically deforming the open edge portion of the pit toward the spacer member is formed on a bottom surface of the spot facing. A V-shaped groove is formed behind the bending portion.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is based upon and claims the benefit of priority from prior Japanese Patent Application No. 2005-376558, filed Dec. 27, 2005, the entire contents of which are incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a substrate supporting apparatus used in an apparatus for processing, for example, a semiconductor wafer or the like and a manufacturing method therefor.
2. Description of the Related Art
In semiconductor manufacturing processes, a substrate supporting apparatus that has a heating function and the like is used to process a substrate, such as a wafer. The substrate supporting apparatus has a plate member for supporting the substrate. The substrate is placed on the upper surface of the plate member.
If any particulate dust, a contributor to contamination, exists on the plate member and adheres to the reverse side of the wafer, it lowers the quality of semiconductors. To prevent adhesion of the particulate dust, therefore, an attempt is made to arrange a plurality of small spacer members on the upper surface of the plate member and place the wafer on the spacer members. Described in FIGS. 2a and 2b of Japanese Patent Publication No. 2001-508599, for example, are a plate member and a plurality of small spacer members that are arranged on the upper surface of the plate member.
Each of the spacer members is in the form of a sphere or column, which is fitted into a pit in the plate member by press fit or some other technique. The spacer members are formed of a ceramic or another material that has brittleness and a thermal expansion coefficient lower than that of metal. Possibly, on the other hand, the plate member may be formed of an aluminum alloy or other material that has a high thermal expansion coefficient.
In some cases, the plate member and the spacer members may be considerably different in thermal expansion coefficient. If the substrate supporting apparatus is exposed to high temperature in, for example, a wafer treatment process, in such cases, the spacer members, which are lower in thermal expansion coefficient than the plate member, may possibly slip out of their corresponding pits of the plate member. The spacer members can be made less easy to slip out of the pits by only being brought tightly into contact with the respective inner surfaces of the pits. If this is done, however, the plate member thermally contracts to a higher degree than the spacer members do when the substrate supporting apparatus is cooled, for example. Accordingly, an excessive stress is generated in the spacer members and may possibly break the spacer members that are brittle. Thus, the conventional substrate supporting apparatus has a problem in structural solidity to resist a heat cycle or the like.
In the conventional substrate supporting apparatus, moreover, the upper end of each spacer member simply projects from the upper surface of the plate member. If any particulate dust that causes contamination exists on the upper surface of the plate member, in this arrangement, it moves from the upper surface of the plate member toward the spacer member and may possibly adhere to the reverse side of the wafer.
BRIEF SUMMARY OF THE INVENTION
An object of the present invention is to provide a substrate supporting apparatus, capable of preventing slipping-off or breakage of spacer members and also supporting a substrate in a cleaner state.
A substrate supporting apparatus according to the invention comprises a metallic plate member having a flat upper surface, a pit formed in each of a plurality of portions of the upper surface of the plate member and having a circular open edge portion and a bottom, a spacer member which has a diameter smaller than an inside diameter of the pit, is held in the pit, and has an upper end projecting from the upper surface, a bending portion which is formed by plastically deforming the open edge portion toward the spacer member so that an opening width of the open edge portion is smaller than the diameter of the spacer member, and a groove formed behind the bending portion so as to extend along a circumferential direction of the open edge portion.
According to this arrangement, there is no possibility of the spacer member slipping out of the pit, and the spacer member can be prevented from being cracked owing to a difference in thermal expansion coefficient or the like. If any particulate dust or the like that causes contamination exists on the plate member, the groove and a bump near the bending portion can restrain it from being transferred from the upper surface of the plate member onto the spacer member. Thus, a substrate can be kept cleaner when it is supported.
In a preferred aspect of the invention, the groove has a V-shaped cross section, and the groove and the bending portion are arranged individually in continuous rings covering an entire circumference of the open edge portion.
Preferably, a spot facing should be formed on that part of the upper surface of the plate member which surrounds the open edge portion, the bending portion and the groove being formed on a bottom surface of the spot facing. Further, a bump should be formed around the groove of the bottom surface of the spot facing, the bump being confined to a position lower than the upper surface of the plate member.
An example of the spacer member is a sphere of aluminum oxide (e.g., corundum such as sapphire or ruby) or a ceramic material, such as silicon carbide, alumina, aluminum nitride, or magnesia. The plate member is formed of a metallic material selected from a group of metals including aluminum, aluminum alloy, stainless steel, nickel, nickel alloy, titanium, titanium alloy, copper, copper alloy, etc.
Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate embodiments of the invention, and together with the general description given above and the detailed description of the embodiments given below, serve to explain the principles of the invention.
FIG. 1 is a sectional view of a wafer processor provided with a substrate supporting apparatus according to a first embodiment of the invention;
FIG. 2 is a perspective view of a plate member of the substrate supporting apparatus shown in FIG. 1;
FIG. 3 is an enlarged plan view of a spacer arrangement portion of the plate member shown in FIG. 1;
FIG. 4 is a sectional view of the spacer arrangement portion taken along line F4-F4 of FIG. 3;
FIG. 5 is a sectional view of a part of the plate member before the formation of a bending portion of the spacer arrangement portion shown in FIG. 4;
FIG. 6 is a sectional view showing the plate member, a spacer member, and a wedgy tool;
FIG. 7 is a sectional view showing a state in which the bending portion is formed on the plate member;
FIG. 8 is a sectional view of a spacer arrangement portion according to a second embodiment of the invention; and
FIG. 9 is a sectional view of a spacer arrangement portion according to a third embodiment of the invention.
DETAILED DESCRIPTION OF THE INVENTION
Substrate supporting apparatuses according to embodiments of the present invention will now be described with reference to FIGS. 1 to 9.
FIG. 1 shows a wafer processor 10 that is used in, for example, semiconductor manufacturing processes. The wafer processor 10 is used in processes such as chemical vapor deposition (CVD), plasma vapor deposition (PVD), etching, etc. The processor 10 comprises a sealed case 11 and a substrate supporting apparatus 12 that is arranged in the case 11.
The substrate supporting apparatus 12 includes a disc-shaped plate member 20 of a metal, such as an aluminum alloy, a post member 21 that supports the plate member 20 from the underside, and the like. The plate member 20 contains a heater unit (not shown). The heater unit heats the plate member 20 to a predetermined temperature. A substrate W, such as a wafer as an example of a supported object (workpiece), is placed on an upper surface 22 of the plate member 20.
FIG. 2 is a perspective view showing the plate member 20 from the side of the upper surface 22. The plate member 20 is circular, as viewed from above. The upper surface 22 of the plate member 20 is finished flat by machining or the like.
Spacer arrangement portions 30 of a common structure are provided in a plurality of spots of the upper surface 22 of the plate member 20, that is, in three or more spots on the plate member 20 at regular intervals in the circumferential direction thereof. Further, through-holes 31 (shown in FIG. 2) penetrate, e.g., three circumferential parts of the plate member 20 in its thickness direction. Pins (not shown) for pushing up the substrate W on the plate member 20 can be inserted individually into the through-holes 31. These pins are inserted into the through-holes 31 from under the plate member 20.
FIGS. 3 and 4 show details of one of the spacer arrangement portions 30. The spacer arrangement portion 30 has a pit 40 formed in the upper surface 22 of the plate member 20 and a spacer member 42 held in the pit 40. As shown in FIG. 4, the pit 40 has a bottom 41. The top end of the pit 40 is open. The bottom 41 of the pit 40 is in the form of a hemispherical recess. An open edge portion 45 of the pit 40 is circular, as viewed from above.
An example of the spacer member 42 is a sphere of sapphire. Alternatively, the spacer member 42 may be formed of a sphere of aluminum oxide, such as ruby, or any other ceramic material. The spacer member 42 has a diameter D1 of, for example, 2 mm. A diameter D2 of the pit 40 is a little larger than the diameter D1 of the spacer member 42. For example, D2 is about 0.01 mm larger than D1.
The diameter D2 of the pit 40 is a dimension that is not smaller than the diameter D1 of the spacer member 42 even when the plate member 20 is cooled so that its amount of thermal contraction is larger than that of the spacer member 42. An upper end 42a of the spacer member 42 projects by H (shown in FIG. 4) from the upper surface 22 of the plate member 20. The projection amount H of the spacer member 42 can be accurately regulated as the bottom surface of the spacer member 42 engages the bottom 41 of the pit 40. The top of the upper end 42a of the spacer member 42 is in contact with the under surface of the substrate W.
A spot facing 50 is formed in the upper surface 22 of the plate member 20 so as to surround the open edge portion 45 of the pit 40. The spot facing 50 is a plain spot facing that has a flat bottom surface 50a and is formed by machining using, for example, an NC machine or the like. A diameter D4 of the spot facing 50 is about twice or thrice as large as the diameter D1 of the spacer member 42. For example, D4 is 2 to 3 mm larger than D1. A depth D5 of the spot facing 50 is 0.1 mm, for example.
In the bottom surface 50a of the spot facing 50, a bending portion 55 is formed on the open edge portion 45 of the pit 40. The bending portion 55 is formed by plastically deforming the open edge portion 45 toward the spacer member 42 by means of a wedgy tool 70, which will be mentioned later. An opening width D3 of the open edge portion 45 is smaller than the diameter D1 of the spacer member 42. The opening width D3 is a dimension that does not exceeds the diameter D1 of the spacer member 42 even when the plate member 20 is heated and thermally expanded to become larger than the spacer member 42.
As shown in FIG. 4, a groove 60 with a V-shaped cross section is formed in the bottom surface 50a of the spot facing 50. The groove 60 is formed along the circumferential direction of the open edge portion 45 at the back of the bending portion 55 (or on the side opposite from the spacer member 42). Bumps 61 and 62 are formed around the groove 60 of the bottom surface 50a of the spot facing 50.
The inner bump 61 is raised higher than the outer bump 62. However, the respective upper ends of the bumps 61 and 62 are lower than the upper surface 22 of the plate member 20. Therefore, the bumps 61 and 62 are confined inside the recess of the spot facing 50. As shown in FIG. 3, the bending portion 55, groove 60, and bumps 61 and 62 are arranged in continuous rings covering the entire circumference of the open edge portion 45.
The substrate supporting apparatus 12 that comprises the spacer arrangement portions 30 is manufactured by the following processes.
First, the flat upper surface 22 is formed on the plate member 20 by machining. Thereafter, the pit 40 that has the bottom 41 and the spot facing 50 that has the bottom surface 50a are formed in the upper surface 22 of the plate member 20 by drilling and boring processes, as shown in FIG. 5. Then, the spacer member 42 is inserted into the pit 40.
The wedgy tool 70 shown as an example in FIG. 6 is used in a bending process. It has a cylindrical edge portion 72 that has a sharp V-shaped tip 71. The tip 71 is in the shape of a ring that extends along the open edge portion 45 of the pit 40, as viewed from the distal end side of the edge portion 72. A diameter D7 of the tip 71 is larger than an inside diameter D2 (shown in FIG. 4) of the pit 40. A taper angle θ1 of an inside slope 73 of the edge portion 72 is larger than a taper angle θ2 of an outside slope 74. The taper angle θ2 of the outside slope 74 may be zero.
As shown in FIG. 7, the tip 71 of the wedgy tool 70 is pushed in around the open edge portion 45. Thus, the open edge portion 45 is plastically deformed toward the spacer member 42 so that its opening width D3 (shown in FIG. 4) is smaller than the diameter D1 of the spacer member 42.
When the tip 71 of the wedgy tool 70 is pushed in around the open edge portion 45 of the pit 40, the open edge portion 45 is pushed toward the spacer member 42 by the inside slope 73 of the edge portion 72. Thereupon, the V-shaped groove 60 is formed, and the bending portion 55 is plastically deformed so that it inclines toward the spacer member 42. Further, the inside bump 61 is formed as a part of the bottom surface 50a of the spot facing 50 swells. Furthermore, the outer bump 62 is formed as a part of the bottom surface 50a of the spot facing 50 is raised by the outside slope 74 of the edge portion 72.
Since the taper angle θ1 of the inside slope 73 of the edge portion 72 is larger than the taper angle θ2 of the outside slope 74, the inside bump 61 is raised higher than the outer bump 62. These bumps 61 and 62 cannot be raised beyond the depth D5 of the spot facing 50 and is confined inside the recess of the spot facing 50.
In the semiconductor manufacturing processes, there is a possibility of particulate dust remaining in a corner 50c (shown in FIG. 4) of the spot facing 50. The particulate dust in the corner 50c cannot be easily removed even by washing. From some cause, therefore, the particulate dust may possibly move from the bottom surface 50a of the spot facing 50 toward the spacer member 42. If the moving particulate dust reaches the upper end 42a of the spacer member 42, it may contaminate the under surface of the substrate W to be supported.
Each spacer arrangement portion 30 of the present embodiment is formed with the V-shaped groove 60 and the bumps 61 and 62 on the opposite sides of the groove 60, covering the entire circumference of the spacer member 42. Therefore, the particulate dust or the like that is urged to move from the bottom surface 50a of the spot facing 50 toward the spacer member 42 is blocked by the bumps 61 and 62. Further, the particulate dust is captured by the groove 60. Accordingly, the particulate dust or the like that causes contamination can be restrained from being transferred onto the upper end 42a of the spacer member 42, so that the substrate W can be kept cleaner when it is supported. Since the groove 60 and the bumps 61 and 62 can be simultaneously formed by the wedgy tool 70, the working process is not laborious.
FIG. 8 shows a spacer arrangement portion 30 according to a second embodiment of the invention. A groove 60 of the spacer arrangement portion 30 of this embodiment is formed deeper than the groove 60 according to the first embodiment. A bending portion 55 of FIG. 8 is inclined more sharply toward the spacer member 42. Therefore, the bending portion 55 is located close to or in contact with the spacer member 42.
A plate member 20 that is formed of a metal, such as an aluminum alloy, has a thermal expansion coefficient higher than that of the spacer member 42. If the plate member 20 is contracted relatively greatly at low temperature, therefore, a compressive load P1 (shown in FIG. 8) acts on the spacer member 42. If the plate member 20 is warped in the direction of arrow P2 by thermal expansion at high temperature, moreover, the compressive load P1 may also acts on the spacer member 42.
In the spacer arrangement portion 30 of the present embodiment, the V-shaped groove 60 is formed behind the bending portion 55, and the bending portion 55 is liable to bend in the direction of arrow P3 (shown in FIG. 8). Thus, the load P1 is absorbed as the bending portion 55 bends, so that an excessive stress that can break the spacer member 42 can be avoided. This effect can be also obtained with use of the spacer arrangement portion 30 according to the first embodiment.
FIG. 9 shows a spacer arrangement portion 30 according to a third embodiment of the invention. A spacer member 42 of this embodiment has a columnar trunk portion 80, a hemispherical head portion 81, and a flat lower surface 82. A pit 40 that holds the spacer member 42 has a flat bottom 83. Since other configurations and effects of the third embodiment are the same as those of the first embodiment (FIGS. 1 to 7), common numbers are used to designate like portions of these two embodiments, and a description those portions is omitted.
The spacer member 42 is not limited to the foregoing embodiments, but may be in the shape of a rotationally symmetric body, such as a column, cone, frustum, etc. In short, the pit 40 used should only have a shape corresponding to the spacer member 42 of which the shape is properly selected as required. Further, a bending portion 55, a groove 60, and bumps 61 and 62 may be formed in a part around the pit 40.
The present invention may be also applied to a substrate supporting apparatus for supporting any other substrate than a semiconductor wafer. It is to be understood, in carrying out the present invention, that the components of the invention, including the plate member, spacer member, bending portion, and groove, may be modified suitably.
Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (5)

1. A substrate supporting apparatus comprising:
a metallic plate member having a flat upper surface; and a plurality of spacer arrangement portions provided at the upper surface of the plate member to support a substrate; wherein each of the spacer arrangement portion portions comprises:
a pit formed in the upper surface of the plate member and having a circular open edge portion and a bottom, wherein the open edge portion and the bottom of the pit are integrally formed with the plate member as one piece;
a spacer member which has a diameter smaller than an inside diameter of the pit, and which is held in the pit and has an upper end projecting from the upper surface of the plate member;
a bending portion which is formed by plastically deforming the open edge portion of the pit toward the spacer member so that an opening width of the open edge portion of the pit is smaller than the diameter of the spacer member, wherein the bending portion and the plate member are integrally formed as one piece; and
a groove formed in the plate member and located behind the bending portion so as to extend along a circumferential direction of the open edge portion of the pit;
wherein the groove has a V-shaped cross section, and the groove and the bending portion are arranged individually in continuous rings covering an entire circumference of the open edge portion;
wherein a spot facing is formed on a part of the upper surface of the plate member which surrounds the open edge portion of the pit, and wherein the bending portion and the groove are formed on a bottom surface of the spot facing; and
wherein an inner bump and an outer bump are formed around the groove of the bottom surface of the spot facing, wherein the inner bump is raised higher than the outer bump, and wherein the bumps are confined to positions lower than the upper surface of the plate member.
2. A substrate supporting apparatus according to claim 1, wherein the spacer member is a sphere of aluminum oxide, and the plate member is formed of an aluminum alloy.
3. A substrate supporting apparatus according to claim 1, wherein the spacer member is a sphere of a ceramic material, and the plate member is formed of an aluminum alloy.
4. A substrate supporting apparatus comprising:
a metallic plate member having a flat upper surface; and
a plurality of spacer arrangement portions provided at the upper surface of the plate member to support a substrate;
wherein each of the spacer arrangement portions comprises:
a pit formed in the upper surface of the plate member and having a circular open edge portion and a bottom, wherein the open edge portion and the bottom of the pit are integrally formed with the plate member as one piece;
a spacer member which has a diameter smaller than an inside diameter of the pit, and which is held in the pit and has an upper end projecting from the upper surface of the plate member;
a bending portion which is formed by plastically deforming the open edge portion of the pit toward the spacer member so that an opening width of the open edge portion of the pit is smaller than the diameter of the spacer member, wherein the bending portion and the plate member are integrally formed as one piece; and
a groove formed in the plate member and located behind the bending portion so as to extend along a circumferential direction of the open edge portion of the pit;
wherein the groove has a V-shaped cross section, and the groove and the bending portion are arranged individually in part on rings covering an entire circumference of the open edge portion;
wherein a spot facing is formed on a part of the upper surface of the plate member which surrounds the open edge portion of the pit, and wherein the bending portion and the groove are formed on a bottom surface of the spot facing; and
wherein an inner bump and an outer bump are formed around the groove of the bottom surface of the spot facing, wherein the inner bump is raised higher than the outer bump, and wherein the bumps are confined to positions lower than the upper surface of the plate member.
5. A substrate supporting apparatus comprising:
a metallic plate member having a flat upper surface; and
a plurality of spacer arrangement portions provided at the upper surface of the plate member to support a substrate;
wherein each of the spacer arrangement portions comprises:
a pit formed in the upper surface of the plate member and having a circular open edge portion and a bottom, wherein the open edge portion and the bottom of the pit are integrally formed with the plate member as one piece;
a spacer member which is a sphere and has a diameter smaller than an inside diameter of the pit, and which is held in the pit and has an upper end projecting from the upper surface of the plate member;
a bending portion which is formed by plastically deforming the open edge portion of the pit toward the spacer member so that an opening width of the open edge portion of the pit is smaller than the diameter of the spacer member, wherein the bending portion and the plate member are integrally formed as one piece, and the bending portion is configured to prevent the spacer member from slipping out of the pit;
a gap which is defined between a circumference of the sphere spacer member and the bending portion; and
a groove formed in the plate member and located behind the bending portion so as to extend along a circumferential direction of the open edge portion of the pit;
wherein the groove has a V-shaped cross section, and the groove and the bending portion are arranged individually in part on rings covering an entire circumference of the open edge portion;
wherein a spot facing is formed on a part of the upper surface of the plate member which surrounds the open edge portion of the pit, and wherein the bending portion and the groove are formed on a bottom surface of the spot facing; and
wherein an inner bump and an outer bump are formed around the groove of the bottom surface of the spot facing, wherein the inner bump is raised higher than the outer bump, and wherein the bumps are confined to positions lower than the upper surface of the plate member.
US13/049,056 2005-12-27 2011-03-16 Substrate supporting apparatus Active USRE43837E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/049,056 USRE43837E1 (en) 2005-12-27 2011-03-16 Substrate supporting apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005376558A JP4629574B2 (en) 2005-12-27 2005-12-27 Substrate support device and manufacturing method thereof
JP2005-376558 2005-12-27
US11/642,155 US7503980B2 (en) 2005-12-27 2006-12-20 Substrate supporting apparatus
US13/049,056 USRE43837E1 (en) 2005-12-27 2011-03-16 Substrate supporting apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/642,155 Reissue US7503980B2 (en) 2005-12-27 2006-12-20 Substrate supporting apparatus

Publications (1)

Publication Number Publication Date
USRE43837E1 true USRE43837E1 (en) 2012-12-04

Family

ID=38231358

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/642,155 Ceased US7503980B2 (en) 2005-12-27 2006-12-20 Substrate supporting apparatus
US13/049,056 Active USRE43837E1 (en) 2005-12-27 2011-03-16 Substrate supporting apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/642,155 Ceased US7503980B2 (en) 2005-12-27 2006-12-20 Substrate supporting apparatus

Country Status (2)

Country Link
US (2) US7503980B2 (en)
JP (1) JP4629574B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9543186B2 (en) 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US11052455B2 (en) 2016-02-24 2021-07-06 Nhk Spring Co., Ltd. Caulking jig and manufacturing method using same

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US9091491B2 (en) * 2008-02-22 2015-07-28 Applied Materials, Inc. Cooling plates and semiconductor apparatus thereof
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010129709A (en) * 2008-11-27 2010-06-10 Kyocera Corp Sample supporter, and heating device
US20100151680A1 (en) * 2008-12-17 2010-06-17 Optisolar Inc. Substrate carrier with enhanced temperature uniformity
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5384549B2 (en) * 2011-03-28 2014-01-08 株式会社小松製作所 Heating device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2012007622A (en) * 2011-10-07 2012-01-12 Nissin Kogyo Co Ltd Plunger pump and method of manufacturing the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
KR101621005B1 (en) * 2014-12-24 2016-05-13 (주)한화 Apparatus for supporting substrate
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016195068A1 (en) * 2015-06-05 2016-12-08 Ntn株式会社 Retainer for thrust bearing, and thrust bearing
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP1575661S (en) * 2015-11-24 2017-05-08
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6580973B2 (en) * 2015-12-17 2019-09-25 本田技研工業株式会社 Caulking structure of metal parts and caulking method of metal parts
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP6809991B2 (en) * 2017-06-30 2021-01-06 京セラ株式会社 Spectrometer
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US20190131162A1 (en) * 2017-11-01 2019-05-02 Suranjan Dabare Non-electrostatic chuck heater
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US848776A (en) * 1906-02-10 1907-04-02 Penn Mather W Ball-bearing.
US2998635A (en) * 1959-01-22 1961-09-05 Oscar C Rixson Co Method of making roller bearings
US5075256A (en) * 1989-08-25 1991-12-24 Applied Materials, Inc. Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5358337A (en) * 1992-03-19 1994-10-25 Sapim Amada S.P.A. Load bearing ball
WO1998032893A2 (en) 1997-01-23 1998-07-30 Asm America, Inc. Wafer support system
JP2000183146A (en) * 1998-12-18 2000-06-30 Ibiden Co Ltd Electrostatic chuck
US20050022746A1 (en) * 2003-08-01 2005-02-03 Sgl Carbon, Llc Holder for supporting wafers during semiconductor manufacture
JP3784253B2 (en) 2000-12-25 2006-06-07 京セラ株式会社 Wafer heating device
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0521876Y2 (en) * 1987-05-30 1993-06-04
JPH0739539Y2 (en) * 1987-12-11 1995-09-13 三菱自動車工業株式会社 Indentation caulking hand tools such as steel balls or cylindrical plugs
JP2806650B2 (en) * 1991-08-19 1998-09-30 東京エレクトロン株式会社 Temperature control device
JP3299485B2 (en) * 1997-10-08 2002-07-08 株式会社ケーヒン Method for fixing refrigerant receiver for air conditioning and ball plug
DE10003639C2 (en) * 2000-01-28 2003-06-18 Steag Rtp Systems Gmbh Device for the thermal treatment of substrates

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US848776A (en) * 1906-02-10 1907-04-02 Penn Mather W Ball-bearing.
US2998635A (en) * 1959-01-22 1961-09-05 Oscar C Rixson Co Method of making roller bearings
US5075256A (en) * 1989-08-25 1991-12-24 Applied Materials, Inc. Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5358337A (en) * 1992-03-19 1994-10-25 Sapim Amada S.P.A. Load bearing ball
US6343183B1 (en) * 1995-09-01 2002-01-29 Asm America, Inc. Wafer support system
WO1998032893A2 (en) 1997-01-23 1998-07-30 Asm America, Inc. Wafer support system
JP2001508599A (en) 1997-01-23 2001-06-26 エーエスエム アメリカ インコーポレイテッド Wafer support system
JP2000183146A (en) * 1998-12-18 2000-06-30 Ibiden Co Ltd Electrostatic chuck
JP3784253B2 (en) 2000-12-25 2006-06-07 京セラ株式会社 Wafer heating device
US20050022746A1 (en) * 2003-08-01 2005-02-03 Sgl Carbon, Llc Holder for supporting wafers during semiconductor manufacture
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9543186B2 (en) 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US11052455B2 (en) 2016-02-24 2021-07-06 Nhk Spring Co., Ltd. Caulking jig and manufacturing method using same

Also Published As

Publication number Publication date
JP4629574B2 (en) 2011-02-09
JP2007180246A (en) 2007-07-12
US20070157466A1 (en) 2007-07-12
US7503980B2 (en) 2009-03-17

Similar Documents

Publication Publication Date Title
USRE43837E1 (en) Substrate supporting apparatus
US8256754B2 (en) Lift pin for substrate processing
TWI501347B (en) Edge rings for electrostatic chucks
JP4669476B2 (en) Holder for supporting wafers during semiconductor manufacturing
JP4669606B2 (en) Substrate processing apparatus and substrate support method
KR20190090694A (en) Hybrid lift pin
US9905454B2 (en) Substrate transfer mechanisms
US20030072639A1 (en) Substrate support
TW201542865A (en) Removable substrate tray and assembly and reactor including same
US20080041798A1 (en) Wafer Platform
JP2004519089A (en) JMF type heater for wafer
TWI606546B (en) Electrostatic chuck apparatus
US20050110292A1 (en) Ceramic end effector for micro circuit manufacturing
JP4096636B2 (en) Wafer support jig and semiconductor element manufacturing method using the same
JP7290739B2 (en) Replaceable end effector contact pads, end effectors and maintenance methods
CN108796466B (en) Mechanical chuck and semiconductor processing equipment
US20020023590A1 (en) Susceptor for semiconductor wafers
WO2002005326A2 (en) Robotic end effector provided with wafer supporting pads elastically mounted
KR20110069097A (en) Support for a semiconductor wafer in a high temperature environment
US8420554B2 (en) Wafer support ring
US20040100110A1 (en) Ceramic end effector for micro circuit manufacturing
KR102363647B1 (en) Base plate structure, method of manufacturing thereof, and substrate fixing device
JP7041051B2 (en) Wafer chuck featuring a support surface with reduced friction
JP4029611B2 (en) Wafer support
TW586177B (en) Wafer holding device

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12