US6403388B1 - Nanomachining method for integrated circuits - Google Patents

Nanomachining method for integrated circuits Download PDF

Info

Publication number
US6403388B1
US6403388B1 US09/755,005 US75500501A US6403388B1 US 6403388 B1 US6403388 B1 US 6403388B1 US 75500501 A US75500501 A US 75500501A US 6403388 B1 US6403388 B1 US 6403388B1
Authority
US
United States
Prior art keywords
circuitry
selected portion
soi
analyzing
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/755,005
Inventor
Jeffrey D. Birdsley
Michael R. Bruce
Brennan V. Davis
Rosalinda M. Ring
Daniel L. Stone
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US09/755,005 priority Critical patent/US6403388B1/en
Assigned to ADVANCED MICRO DEVICES, INC. reassignment ADVANCED MICRO DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BIRDSLEY, JEFFREY D., STONE, DANIEL L., BRUCE, MICHAEL R., DAVIS, BRENNAN V., RING, ROSALINDA M.
Application granted granted Critical
Publication of US6403388B1 publication Critical patent/US6403388B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/316Testing of analog circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/308Contactless testing using non-ionising electromagnetic radiation, e.g. optical radiation
    • G01R31/311Contactless testing using non-ionising electromagnetic radiation, e.g. optical radiation of integrated circuits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/967Semiconductor on specified insulator
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Definitions

  • the present invention relates generally to semiconductor devices and their fabrication and, more particularly, to semiconductor devices and their manufacture involving techniques for analyzing and debugging circuitry within an integrated circuit.
  • DIP dual in-line package
  • flip chip packaging uses the bonding pads and metal (solder) bumps.
  • the bonding pads need not be on the periphery of the die and hence are moved to the site nearest the transistors and other circuit devices formed in the die. As a result, the electrical path to the pad is shorter. Electrical connections to the package are made when the die is flipped over the package with corresponding bonding pads. Each bump connects to a corresponding package inner lead. The resulting packages have a lower profile and have lower electrical resistance and a shortened electrical path.
  • the output terminals of the package may be ball-shaped conductive-bump contacts (usually solder or other similar conductive material) and are typically disposed in a rectangular array. These packages are occasionally referred to as “Ball Grid Array” (BGA). Alternatively, the output terminals of the package may be pins, and such a package is commonly known as the pin grid array (PGA) package.
  • BGA Ball Grid Array
  • PGA pin grid array
  • the transistors and other circuitry are generally formed in a very thin epitaxially grown silicon layer on a single crystal silicon wafer of which the die is singulated from.
  • a layer of insulating silicon dioxide is formed on one surface of a single crystal silicon wafer followed by the thin epitaxially grown silicon layer containing the transistors and other circuitry.
  • This wafer structure is termed “silicon on insulator” (SOI) and the silicon dioxide layer is called the buried oxide layer (BOX).
  • SOI silicon on insulator
  • BOX buried oxide layer
  • the side of the die including the epitaxial layer containing the transistors and the other active circuitry is often referred to as the circuit side of the die or front side of the die.
  • the circuit side of the die is positioned very near the package.
  • the circuit side opposes the backside of the die.
  • Between the backside and the circuit side of the die is single crystalline silicon and, in the case of SOI circuits, also a buried oxide layer. The positioning of the circuit side provides many of the advantages of the flip chip.
  • the orientation of the die with the circuit side face down on a substrate may be a disadvantage or present new challenges.
  • access to the transistors and circuitry near the circuit side is typically obtained only from the backside of the chip.
  • the circuit side of the flip chip die is not visible or accessible for viewing using optical or scanning electron microscopy.
  • the present invention is directed to a method and system for analyzing a semiconductor device having SOI structure where it is desired to electrically isolate a portion of the device circuitry.
  • the present invention is exemplified in a number of implementations and applications.
  • a nanomachining system is used to remove a selected portion of the backside of a semiconductor device having silicon on insulator (SOI) structure.
  • SOI silicon on insulator
  • a selected portion of the circuitry is electrically isolated and analyzed for integrity. In this manner, difficulties associated with flip chip analysis, such as those discussed above can be addressed.
  • FIG. 1 illustrates the general structure of a SOI transistor, suitable for use in connection with an example embodiment of the invention described herein;
  • FIG. 2 illustrates a SOI flip chip in cross section, suitable for use in connection with another example embodiment of the invention described herein;
  • FIG. 3 illustrates a SOI flip chip receiving nanomachining to remove a portion of the chip backside, consistent with another example embodiment of the invention described herein;
  • FIG. 4 illustrates a SOI flip chip in cross section after nanomachining, consistent with another example embodiment of the invention described herein.
  • FIG. 5 illustrates a SOI flip chip top view after nanomachining, consistent with another example embodiment of the invention described herein.
  • FIG. 6 illustrates a SOI flip chip after nanomachining and during analysis, consistent with another example embodiment of the invention described herein.
  • FIG. 1 Illustrates an example SOI transistor in a structure including a back-side 10 over which an SiO 2 layer 11 is formed to act as an insulator between a thin layer of silicon 12 in which a transistor 14 is formed. While the present invention is not necessarily limited to such SOI devices, various aspects of the invention may be appreciated through a discussion of various examples using this context.
  • a nanomachining system is adapted to electrically isolate a selected portion of circuitry in a SOI semiconductor device circuitry side.
  • a suitable removal device such as a focused ion beam (FIB) arrangement, is adapted to remove a selected portion of the semiconductor device in a manner that isolates a portion of circuitry in the device, and the isolated circuitry then is analyzed.
  • FIB focused ion beam
  • FIG. 2 shows a side view of a SOI flip chip type die 15 having a circuit side 20 and a backside 30 .
  • the flip chip design has a packaging portion (not shown) that covers the circuit side 20 , leaving only the back side readily accessible.
  • the circuit side 20 includes a number of circuit devices formed near the circuit side in a portion of the die referred to as the epitaxial layer 22 .
  • the epitaxial layer 22 has a thickness in the range of 1 to 15 micrometers.
  • Supporting the epitaxial layer 22 is the buried oxide layer (BOX) 24 , which has a thickness in the range of less than 1 micrometer.
  • the portion of the SOI die shown above the buried oxide layer 24 will be referred to as the bulk silicon layer 40 .
  • a plurality of contacts is made on the circuit side 20 at pads 28 . These contacts are the inputs and outputs to the circuitry associated with the SOI flip chip die 15 .
  • the die 15 may be attached to other devices, such as a package for a flip chip, via the solder bumps on the die 15 . Due to the contacts being present on the circuit side 20 , access to the circuit side 20 is typically achieved from the backside 30 through the bulk silicon layer 40 .
  • FIG. 3 shows a nanomachining system 100 for analyzing an integrated circuit die 15 having SOI structure, according to an example embodiment of the present invention.
  • the nanomachining system 100 is adapted to remove a selected portion of the backside 30 of a semiconductor device having SOI structure.
  • a substrate removal arrangement 110 generates a focused ion beam 120 .
  • the arrangement 110 is aligned to direct the ion beam 120 at the backside 30 of the SOI die 15 .
  • the ion beam 120 removes a selected portion of the backside 30 of the SOI die 15 , stopping short of the buried oxide layer (BOX) 24 and supported circuitry, thereby forming an exposed region.
  • the ion beam 120 is controlled and stopped before penetrating the BOX 24 using, for example, a stage current endpointing tool or contrast collection of secondary ions and electrons during milling.
  • an ion beam of femtosecond pulsing is used in order to produce a cut with edges as nearly vertical as possible.
  • One commonly used ion beam suitable for use in connection with the present invention is a beam of gallium ions operated at a high beam current for site specific milling. Additionally, a reactive gas contained near the chip backside during ion beam milling can be used to hasten removal of the substrate material.
  • a commercially available focused ion beam device is the Micrion 9800 available from Micrion Corporation, Peabody, Mass.
  • the ion beam 120 is directed at a selected circuitry portion in the exposed region of the SOI flip chip 15 , such as a transistor.
  • the beam is employed to sever the electrical connections of the selected circuitry portion from the remainder of the circuitry, such as represented in FIG. 4 . This may require removing the remaining backside 30 and the buried oxide layer 24 from one or more areas of the exposed region already milled, before severing the electrical connections of the circuitry portion of interest.
  • an ion beam of femto-second pulsing is used in order to produce a cut with edges as nearly vertical as possible, and avoid any adjacent circuitry.
  • the focused nature of the ion beam imposes a minimal intrusion on the area of interest on the SOI flip chip 15 .
  • the circuitry component of interest in the exposed region is thus electrically isolated from the remainder of the circuitry on the SOI flip chip 15 , as represented in FIG. 5, where severing interconnect lines on each side of a transistor isolate that portion of circuitry.
  • the electrically isolated component is then analyzed for integrity, as well as for other operational parameters that are appropriate.
  • the component electrical contacts are provided with suitable stimulation, such as heat or electrical stimulus.
  • the isolated component may be stimulated using various devices, such as a laser, a probe card, a test fixture or other probe devices.
  • One means of providing electrical stimulus is by use of an Alessi programmable micropositioner wafer probe station, commercially available from Cascade Microtech, Inc. of Beaverton, Oreg.
  • FIG. 6 shows the flip chip 15 being probed, according to another example embodiment of the present invention.
  • a probe system 200 employs micro electrical contacts 210 that are coupled to the circuitry connections of the isolated component of the flip chip device 15 .
  • the microprobe system 200 shown in FIG. 6 is connected to the electrically isolated component through the backside of the flip chip die 15 . Electrical stimulus is applied to the isolated component, and a response from the component is detected and used to analyze the component.
  • Such application of stimulation or response detection from the isolated component can involve the use of typical analyzing devices 220 , such as power supply, a test fixture, a computer, a signal detection device or a laser.
  • a focused ion beam is employed to establish connection to the component electrical contacts. Conductors are deposited extending from the contacts using the focused ion beam to decompose a metal-containing gas contained near the component of interest.
  • An analysis device 220 such as a signal detector or a power source, is coupled to the conductors and used to analyze the isolated component.
  • the isolated selected circuitry portion is reconnected to the remainder of the circuitry.
  • Conductors are deposited to electrically reconnect the isolated circuitry, for example, by using a focused ion beam to decompose a metal-containing gas contained near the circuitry of interest.

Abstract

A system and method provides for effective analysis of an integrated circuit having silicon on insulator (SOI) structure. According to one example embodiment of the present invention, the system includes a system (e.g., a nanomachining arrangement) adapted to remove a selected portion of the backside of a semiconductor device having SOI structure, and to electrically isolate a selected portion of circuitry on the SOI semiconductor device circuitry side. The isolated circuitry then is analyzed.

Description

FIELD OF THE INVENTION
The present invention relates generally to semiconductor devices and their fabrication and, more particularly, to semiconductor devices and their manufacture involving techniques for analyzing and debugging circuitry within an integrated circuit.
BACKGROUND OF THE INVENTION
The semiconductor industry has recently experienced technological advances that have permitted dramatic increases in circuit density and complexity, and equally dramatic decreases in power consumption and package sizes. Present semiconductor technology now permits single-chip microprocessors with many millions of transistors, operating at speeds of hundreds of millions of instructions per second to be packaged in relatively small, air-cooled semiconductor device packages. A by-product of such high-density and high functionality in semiconductor devices has been the demand for increased numbers of external electrical connections to be present on the exterior of the die and on the exterior of the semiconductor packages which receive the die, for connecting the packaged device to external systems, such as a printed circuit board.
As the manufacturing processes for semiconductor devices and integrated circuits increase in difficulty, methods for testing and debugging these devices become increasingly important. Not only is it important to ensure that individual chips are functional, it is also important to ensure that batches of chips perform consistently. In addition, the ability to detect a defective manufacturing process early is helpful for reducing the number of defective devices manufactured.
To increase the number of pad sites available for a die, different chip packaging techniques have been used. One technique is referred to as a dual in-line package (DIP), in which bonding pads are along the periphery of the device. Another technique, called controlled-collapse chip connection or flip chip packaging, uses the bonding pads and metal (solder) bumps. The bonding pads need not be on the periphery of the die and hence are moved to the site nearest the transistors and other circuit devices formed in the die. As a result, the electrical path to the pad is shorter. Electrical connections to the package are made when the die is flipped over the package with corresponding bonding pads. Each bump connects to a corresponding package inner lead. The resulting packages have a lower profile and have lower electrical resistance and a shortened electrical path. The output terminals of the package may be ball-shaped conductive-bump contacts (usually solder or other similar conductive material) and are typically disposed in a rectangular array. These packages are occasionally referred to as “Ball Grid Array” (BGA). Alternatively, the output terminals of the package may be pins, and such a package is commonly known as the pin grid array (PGA) package.
For BGA, PGA and other types of packages, once the die is attached to the package, the backside portion of the die remains exposed. The transistors and other circuitry are generally formed in a very thin epitaxially grown silicon layer on a single crystal silicon wafer of which the die is singulated from. In a structural variation, a layer of insulating silicon dioxide is formed on one surface of a single crystal silicon wafer followed by the thin epitaxially grown silicon layer containing the transistors and other circuitry. This wafer structure is termed “silicon on insulator” (SOI) and the silicon dioxide layer is called the buried oxide layer (BOX). The transistors formed on the SOI structure show decreased drain capacitance, resulting in a faster switch transistor.
The side of the die including the epitaxial layer containing the transistors and the other active circuitry is often referred to as the circuit side of the die or front side of the die. The circuit side of the die is positioned very near the package. The circuit side opposes the backside of the die. Between the backside and the circuit side of the die is single crystalline silicon and, in the case of SOI circuits, also a buried oxide layer. The positioning of the circuit side provides many of the advantages of the flip chip.
In some instances the orientation of the die with the circuit side face down on a substrate may be a disadvantage or present new challenges. For example, when a circuit fails or when it is necessary to modify a particular chip, access to the transistors and circuitry near the circuit side is typically obtained only from the backside of the chip. This is challenging for SOI circuits, since the transistors are in a very thin layer (about 10 micrometers) of silicon covered by the buried oxide layer (less than about 1 micrometer) and the bulk silicon (greater than 500 micrometers). Thus, the circuit side of the flip chip die is not visible or accessible for viewing using optical or scanning electron microscopy.
Post manufacture analysis of SOI flip chip dies having a buried oxide layer (BOX) typically is destructive for various reasons, such as a need to remove substrate from the flip chip back side in order to access the circuitry. Substrate removal can be difficult to achieve and even destructive. For instance, when too much substrate is removed, the circuitry in the die can be damaged. Analysis of SOI flip chips dies during and after manufacture by nondestructive methods adds to the efficiency of the whole process, in that problems are discovered early and solutions are effected with minimal delays. Thus, there is an unmet need for a method of analyzing and testing flip chip BOX die circuit operations without necessarily destroying the die.
SUMMARY
The present invention is directed to a method and system for analyzing a semiconductor device having SOI structure where it is desired to electrically isolate a portion of the device circuitry. The present invention is exemplified in a number of implementations and applications.
According to one such example embodiment, a nanomachining system is used to remove a selected portion of the backside of a semiconductor device having silicon on insulator (SOI) structure. A selected portion of the circuitry is electrically isolated and analyzed for integrity. In this manner, difficulties associated with flip chip analysis, such as those discussed above can be addressed.
The above summary is not intended to describe each illustrated embodiment or every implementation of the present invention. The figures and detailed description which follow more particularly exemplify these embodiments.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention may be more completely understood in consideration of the following detailed description of various embodiments of the invention in connection with the accompanying drawings, in which:
FIG. 1 illustrates the general structure of a SOI transistor, suitable for use in connection with an example embodiment of the invention described herein;
FIG. 2 illustrates a SOI flip chip in cross section, suitable for use in connection with another example embodiment of the invention described herein;
FIG. 3 illustrates a SOI flip chip receiving nanomachining to remove a portion of the chip backside, consistent with another example embodiment of the invention described herein;
FIG. 4 illustrates a SOI flip chip in cross section after nanomachining, consistent with another example embodiment of the invention described herein.
FIG. 5 illustrates a SOI flip chip top view after nanomachining, consistent with another example embodiment of the invention described herein.
FIG. 6 illustrates a SOI flip chip after nanomachining and during analysis, consistent with another example embodiment of the invention described herein.
While the invention is amenable to various modifications and alternative forms, specifics thereof have been shown by way of example in the drawings and will be described in detail. It should be understood, however, that the intention is not necessarily to limit the invention to the particular embodiments described. On the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
DETAILED DESCRIPTION
The present invention is believed to be applicable for a variety of different types of semiconductor devices, and the invention has been found to be particularly suited for back-side analysis and testing silicon on insulator (SOI) integrated circuits. FIG. 1. Illustrates an example SOI transistor in a structure including a back-side 10 over which an SiO2 layer 11 is formed to act as an insulator between a thin layer of silicon 12 in which a transistor 14 is formed. While the present invention is not necessarily limited to such SOI devices, various aspects of the invention may be appreciated through a discussion of various examples using this context.
In an example embodiment of the present invention, a nanomachining system is adapted to electrically isolate a selected portion of circuitry in a SOI semiconductor device circuitry side. A suitable removal device, such as a focused ion beam (FIB) arrangement, is adapted to remove a selected portion of the semiconductor device in a manner that isolates a portion of circuitry in the device, and the isolated circuitry then is analyzed.
FIG. 2 shows a side view of a SOI flip chip type die 15 having a circuit side 20 and a backside 30. As described in the background discussion, the flip chip design has a packaging portion (not shown) that covers the circuit side 20, leaving only the back side readily accessible. The circuit side 20 includes a number of circuit devices formed near the circuit side in a portion of the die referred to as the epitaxial layer 22. The epitaxial layer 22 has a thickness in the range of 1 to 15 micrometers. Supporting the epitaxial layer 22 is the buried oxide layer (BOX) 24, which has a thickness in the range of less than 1 micrometer. The portion of the SOI die shown above the buried oxide layer 24 will be referred to as the bulk silicon layer 40. A plurality of contacts, such as solder bumps 26, is made on the circuit side 20 at pads 28. These contacts are the inputs and outputs to the circuitry associated with the SOI flip chip die 15. The die 15 may be attached to other devices, such as a package for a flip chip, via the solder bumps on the die 15. Due to the contacts being present on the circuit side 20, access to the circuit side 20 is typically achieved from the backside 30 through the bulk silicon layer 40.
FIG. 3 shows a nanomachining system 100 for analyzing an integrated circuit die 15 having SOI structure, according to an example embodiment of the present invention. The nanomachining system 100 is adapted to remove a selected portion of the backside 30 of a semiconductor device having SOI structure. A substrate removal arrangement 110 generates a focused ion beam 120. The arrangement 110 is aligned to direct the ion beam 120 at the backside 30 of the SOI die 15. The ion beam 120 removes a selected portion of the backside 30 of the SOI die 15, stopping short of the buried oxide layer (BOX) 24 and supported circuitry, thereby forming an exposed region. The ion beam 120 is controlled and stopped before penetrating the BOX 24 using, for example, a stage current endpointing tool or contrast collection of secondary ions and electrons during milling.
Due to the small dimensions of the SOI flip chip device, an ion beam of femtosecond pulsing is used in order to produce a cut with edges as nearly vertical as possible. One commonly used ion beam suitable for use in connection with the present invention is a beam of gallium ions operated at a high beam current for site specific milling. Additionally, a reactive gas contained near the chip backside during ion beam milling can be used to hasten removal of the substrate material. A commercially available focused ion beam device is the Micrion 9800 available from Micrion Corporation, Peabody, Mass.
Once the selected portion of the backside 30 is removed from the SOI flip chip integrated circuit 15, the ion beam 120 is directed at a selected circuitry portion in the exposed region of the SOI flip chip 15, such as a transistor. The beam is employed to sever the electrical connections of the selected circuitry portion from the remainder of the circuitry, such as represented in FIG. 4. This may require removing the remaining backside 30 and the buried oxide layer 24 from one or more areas of the exposed region already milled, before severing the electrical connections of the circuitry portion of interest. Again, due to the small dimensions of the SOI flip chip device circuitry components, an ion beam of femto-second pulsing is used in order to produce a cut with edges as nearly vertical as possible, and avoid any adjacent circuitry. The focused nature of the ion beam imposes a minimal intrusion on the area of interest on the SOI flip chip 15. The circuitry component of interest in the exposed region is thus electrically isolated from the remainder of the circuitry on the SOI flip chip 15, as represented in FIG. 5, where severing interconnect lines on each side of a transistor isolate that portion of circuitry.
The electrically isolated component is then analyzed for integrity, as well as for other operational parameters that are appropriate. In order to analyze the isolated component, the component electrical contacts are provided with suitable stimulation, such as heat or electrical stimulus. The isolated component may be stimulated using various devices, such as a laser, a probe card, a test fixture or other probe devices. One means of providing electrical stimulus is by use of an Alessi programmable micropositioner wafer probe station, commercially available from Cascade Microtech, Inc. of Beaverton, Oreg.
FIG. 6 shows the flip chip 15 being probed, according to another example embodiment of the present invention. A probe system 200 employs micro electrical contacts 210 that are coupled to the circuitry connections of the isolated component of the flip chip device 15. The microprobe system 200 shown in FIG. 6 is connected to the electrically isolated component through the backside of the flip chip die 15. Electrical stimulus is applied to the isolated component, and a response from the component is detected and used to analyze the component. Such application of stimulation or response detection from the isolated component can involve the use of typical analyzing devices 220, such as power supply, a test fixture, a computer, a signal detection device or a laser.
In one example implementation, a focused ion beam is employed to establish connection to the component electrical contacts. Conductors are deposited extending from the contacts using the focused ion beam to decompose a metal-containing gas contained near the component of interest. An analysis device 220, such as a signal detector or a power source, is coupled to the conductors and used to analyze the isolated component.
In another example embodiment of the present invention, the isolated selected circuitry portion is reconnected to the remainder of the circuitry. Conductors are deposited to electrically reconnect the isolated circuitry, for example, by using a focused ion beam to decompose a metal-containing gas contained near the circuitry of interest.
While the present invention has been described with reference to several particular example embodiments, those skilled in the art will recognize that many changes may be made thereto without departing from the spirit and scope of the present invention, which is set forth in the following claims.

Claims (20)

What is claimed is:
1. A method for analyzing a silicon on insulator (SOI) semiconductor device having at least two layers including circuitry in a circuit side opposite a backside, the method comprising:
using a femto-second focussed ion beam;
removing a selected portion of the SOI semiconductor device backside, and
electrically isolating a selected portion of circuitry in the SOI semiconductor device circuit side; and
analyzing the electrically isolated circuitry selected portion.
2. The method of claim 1, wherein analyzing the isolated circuitry includes making electrical connection by direct coupling.
3. The method of claim 1, wherein removing a selected portion of the SOI semiconductor device backside includes using a nanomachining system.
4. The method of claim 3, wherein using a nanomachining system includes applying the femto-second focused ion beam.
5. The method of claim 1, wherein electrically isolating the selected circuitry portion includes using of a focused ion beam.
6. The method of claim 1, wherein analyzing the electrically isolated circuitry selected portion includes connecting the isolated circuitry to an electrical power source.
7. The method of claim 1, wherein analyzing the electrically isolated circuitry selected portion includes connecting the isolated circuitry to a microprobe that provides an electrical power source.
8. The method of claim 1, wherein analyzing the electrically isolated circuitry selected portion includes ion beam fabrication of conductive metal lines between the electrically isolated circuitry selected portion and an electrical power source.
9. The method of claim 1, further including reconnecting the electrically isolated selected portion of circuitry to the SOI semiconductor device circuitry.
10. An arrangement for analyzing a silicon on insulator (SOI) semiconductor device having at least two layers including circuitry in a circuit side opposite a backside, the method comprising:
means for applying a femto-second focused ion beam, and
removing a selected portion of the SOI semiconductor device backside, and
electrically isolating a selected portion of circuitry in the SOI semiconductor device circuit side; and
means for analyzing the electrically isolated circuitry selected portion.
11. A system for analyzing a silicon on insulator (SOI) semiconductor device having at least two layers including circuitry in a circuit side opposite a backside, the system comprising:
a substrate removal arrangement, including a femto-second focused ion beam generator, adapted to remove a selected portion of the SOI semiconductor device backside, and to electrically isolate a selected portion of circuitry on the SOI semiconductor device circuit side; and
an analysis arrangement adapted to analyze the electrically isolated circuitry selected portion.
12. The system of claim 11, wherein the substrate removal arrangement for substrate removal comprises a focused ion beam.
13. The system of claim 11, further comprising means for establishing a connection to exposed circuitry.
14. The system of claim 11, further comprising means for establishing a connection between circuit components in the device.
15. The system of claim 11, wherein the substrate removal arrangement for circuitry isolation comprises a femto-second focused ion beam.
16. The system of claim 11, wherein the substrate removal arrangement for substrate removal and for circuitry isolation are one and the same.
17. The system of claim 11, wherein the analyzing arrangement includes a microprobe device for analyzing the electrically isolated circuitry selected portion.
18. The system of claim 17, wherein the microprobe device is at least one of: a power supply, a test fixture, a computer, a signal detection device and a laser.
19. The system of claim 17, wherein the microprobe device is a computer.
20. The system of claim 17, wherein the microprobe device is at least one of a signal detection device and a laser.
US09/755,005 2001-01-05 2001-01-05 Nanomachining method for integrated circuits Expired - Fee Related US6403388B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/755,005 US6403388B1 (en) 2001-01-05 2001-01-05 Nanomachining method for integrated circuits

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/755,005 US6403388B1 (en) 2001-01-05 2001-01-05 Nanomachining method for integrated circuits

Publications (1)

Publication Number Publication Date
US6403388B1 true US6403388B1 (en) 2002-06-11

Family

ID=25037303

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/755,005 Expired - Fee Related US6403388B1 (en) 2001-01-05 2001-01-05 Nanomachining method for integrated circuits

Country Status (1)

Country Link
US (1) US6403388B1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030122072A1 (en) * 2001-12-04 2003-07-03 Takashi Kaito Probe for scanning probe microscope
US20040180456A1 (en) * 2003-03-11 2004-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Acess trench probing of device elements
US20040206663A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Carrier with ultraphobic surfaces
US20040208791A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Ultraphobic surface for high pressure liquids
US20040209139A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Fuel cell with ultraphobic surfaces
US20040209047A1 (en) * 2003-04-15 2004-10-21 Extrand Charles W. Microfluidic device with ultraphobic surfaces
US20040206410A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Fluid handling component with ultraphobic surfaces
US20040256311A1 (en) * 2003-04-15 2004-12-23 Extrand Charles W. Ultralyophobic membrane
US6938774B2 (en) 2003-04-15 2005-09-06 Entegris, Inc. Tray carrier with ultraphobic surfaces
US20050208268A1 (en) * 2003-04-15 2005-09-22 Extrand Charles W Article with ultraphobic surface
US20060211252A1 (en) * 2005-02-02 2006-09-21 Rave, Llc Apparatus and method for modifying an object

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
FIB: A Window Into Another World, Micrion Corporation http://www.micrion.com/b1.html.
Fixed Pattern Probe Card, Accuprobe. http://www.accuprobe.com/technic/pattern.htm.
Focused Ion Beam Milling System, National Law Enforcement and Corrections Technology Center-West wysiwyg://47/http://www.nlectc.org/nlectcwr/fib.html.
Focused Ion Beam Milling System, National Law Enforcement and Corrections Technology Center—West wysiwyg://47/http://www.nlectc.org/nlectcwr/fib.html.
Introduction to Focused Ion Beam Systems, Fibics Incorporated http://www.fibics.com/FIBbasics.htm.

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030122072A1 (en) * 2001-12-04 2003-07-03 Takashi Kaito Probe for scanning probe microscope
US6864481B2 (en) * 2001-12-04 2005-03-08 Sii Nanotechnology Inc. Probe for scanning probe microscope
US20040180456A1 (en) * 2003-03-11 2004-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Acess trench probing of device elements
US6902941B2 (en) * 2003-03-11 2005-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Probing of device elements
US20040209047A1 (en) * 2003-04-15 2004-10-21 Extrand Charles W. Microfluidic device with ultraphobic surfaces
US20050145285A1 (en) * 2003-04-15 2005-07-07 Entegris, Inc Fluid handling component with ultraphobic surfaces
US20040206410A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Fluid handling component with ultraphobic surfaces
US20040256311A1 (en) * 2003-04-15 2004-12-23 Extrand Charles W. Ultralyophobic membrane
US6845788B2 (en) 2003-04-15 2005-01-25 Entegris, Inc. Fluid handling component with ultraphobic surfaces
US6852390B2 (en) 2003-04-15 2005-02-08 Entegris, Inc. Ultraphobic surface for high pressure liquids
US20040208791A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Ultraphobic surface for high pressure liquids
US20040206663A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Carrier with ultraphobic surfaces
US6911276B2 (en) 2003-04-15 2005-06-28 Entegris, Inc. Fuel cell with ultraphobic surfaces
US20040209139A1 (en) * 2003-04-15 2004-10-21 Entegris, Inc. Fuel cell with ultraphobic surfaces
US6923216B2 (en) 2003-04-15 2005-08-02 Entegris, Inc. Microfluidic device with ultraphobic surfaces
US6938774B2 (en) 2003-04-15 2005-09-06 Entegris, Inc. Tray carrier with ultraphobic surfaces
US20050208268A1 (en) * 2003-04-15 2005-09-22 Extrand Charles W Article with ultraphobic surface
US6976585B2 (en) 2003-04-15 2005-12-20 Entegris, Inc. Wafer carrier with ultraphobic surfaces
US20060032781A1 (en) * 2003-04-15 2006-02-16 Entegris, Inc. Tray carrier with ultraphobic surfaces
US20060211252A1 (en) * 2005-02-02 2006-09-21 Rave, Llc Apparatus and method for modifying an object
US7323699B2 (en) 2005-02-02 2008-01-29 Rave, Llc Apparatus and method for modifying an object
US7495240B2 (en) 2005-02-02 2009-02-24 Rave Llc Apparatus and method for modifying an object
US20090114850A1 (en) * 2005-02-02 2009-05-07 Rave Llc Apparatus and method for modifying an object

Similar Documents

Publication Publication Date Title
US5481205A (en) Temporary connections for fast electrical access to electronic devices
US5838159A (en) Chip carrier to allow electron beam probing and FIB modifications
US10161965B2 (en) Method of test probe alignment control
US6159826A (en) Semiconductor wafer and fabrication method of a semiconductor chip
US6403388B1 (en) Nanomachining method for integrated circuits
CN113130447B (en) Package element and manufacturing method thereof
KR20110134350A (en) An interface assembly for a semiconductor wafer
JP2003243462A (en) Semiconductor device and its manufacturing method
US7279343B1 (en) De-packaging process for small outline transistor packages
US6210981B1 (en) Method for etching a flip chip using secondary particle emissions to detect the etch end-point
US6255124B1 (en) Test arrangement and method for thinned flip chip IC
US6472900B1 (en) Efficient device debug system
US6020748A (en) Method and apparatus for conducting failure analysis on IC chip package
US6372627B1 (en) Method and arrangement for characterization of focused-ion-beam insulator deposition
US6500699B1 (en) Test fixture for future integration
US6281029B1 (en) Probe points for heat dissipation during testing of flip chip IC
US6518783B1 (en) Circuit construction in back side of die and over a buried insulator
US7019511B1 (en) Optical analysis of integrated circuits
US6545490B1 (en) Trench-filled probe point for a semiconductor device
US6414335B1 (en) Selective state change analysis of a SOI die
US6991946B1 (en) Method and system for providing backside voltage contrast for silicon on insulator devices
US6294395B1 (en) Back side reactive ion etch
US6472760B1 (en) Nanomachining of integrated circuits
US7112981B1 (en) Method of debugging a 3D packaged IC
US6992773B1 (en) Dual-differential interferometry for silicon device damage detection

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO DEVICES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BIRDSLEY, JEFFREY D.;BRUCE, MICHAEL R.;DAVIS, BRENNAN V.;AND OTHERS;REEL/FRAME:012071/0601;SIGNING DATES FROM 20001128 TO 20001220

CC Certificate of correction
REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20060611