US6385742B1 - Microprocessor debugging mechanism employing scan interface - Google Patents

Microprocessor debugging mechanism employing scan interface Download PDF

Info

Publication number
US6385742B1
US6385742B1 US09/262,816 US26281699A US6385742B1 US 6385742 B1 US6385742 B1 US 6385742B1 US 26281699 A US26281699 A US 26281699A US 6385742 B1 US6385742 B1 US 6385742B1
Authority
US
United States
Prior art keywords
scan
processor
interrupt
breakpoint
instruction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/262,816
Inventor
Graham Kirsch
Simon Martin Kershaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies International Sales Pte Ltd
Original Assignee
LSI Logic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GB9804910A external-priority patent/GB2337834B/en
Application filed by LSI Logic Corp filed Critical LSI Logic Corp
Assigned to LSI LOGIC CORPORATION reassignment LSI LOGIC CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KERSHAW, SIMON, KIRSCH, GRAHAM
Application granted granted Critical
Publication of US6385742B1 publication Critical patent/US6385742B1/en
Assigned to DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT reassignment DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AGERE SYSTEMS LLC, LSI CORPORATION
Assigned to LSI CORPORATION reassignment LSI CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: LSI LOGIC CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LSI CORPORATION
Assigned to AGERE SYSTEMS LLC, LSI CORPORATION reassignment AGERE SYSTEMS LLC TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031) Assignors: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED MERGER (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE OF MERGER PREVIOUSLY RECORDED ON REEL 047195 FRAME 0026. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER. Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2205Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested
    • G06F11/2236Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested to test CPU or processors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31705Debugging aspects, e.g. using test circuits for debugging, using dedicated debugging test circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/261Functional testing by simulating additional hardware, e.g. fault simulation

Definitions

  • the present invention relates to a method and apparatus for carrying out debugging procedures on a processor, for example a microprocessor or Digital Signal Processing (DSP) processor.
  • a processor for example a microprocessor or Digital Signal Processing (DSP) processor.
  • DSP Digital Signal Processing
  • ICE In Circuit Emulation
  • FIG. 1 of the drawings shows a block diagram of a previously proposed technique for using an existing production test scan-chain in a microprocessor for software debugging.
  • a pipelined microprocessor 2 is coupled via an Address Bus 4 and Data Bus 6 to a program RAM 8 .
  • the Address Bus 4 is also coupled to a Breakpoint Detect Unit 10 .
  • a clock controller 12 is provided and a Scan Controller 14 external to the microprocessor controls the operation of the scan mode.
  • a chain of internal scan registers 16 is such that the state of the microprocessor is completely described in the registers. Further the registers may be accessed during normal operation of the microprocessor.
  • ScanICE Scan In-Circuit Emulation
  • FIG. 1 illustrates a previous approach to entering ScanICE mode where only breakpoints on program addresses are considered.
  • a register in the Breakpoint Detect Unit 10 is initialised to the required breakpoint address.
  • an Enter ScanICE signal on a line 18 is asserted. This triggers the Clock Controller 12 to halt appropriate clocks so that the off-chip Scan Controller 14 can initiate scanning.
  • the Scan Controller 14 uses the Scan Enable and Test signals to configure registers in the scan-chain 16 to shift serially when clocked and supplies the Scan Clock.
  • the controller 14 can provide all required facilities for debugging software running on the microprocessor.
  • Leave Scan is asserted on a line 20 forcing the Clock Controller to restart clocks and resume normal operation.
  • FIG. 1 for entering ScanICE mode suffers from a number of problems:
  • a processor It is often required that a processor be able to access slow-memory without using a very slow clock.
  • One way of achieving this is to provide a wait-state mechanism whereby the processor is halted during the memory access. This is illustrated in FIG. 3 .
  • a Memory Interface Unit 32 asserts a Wait signal and Clock Controller 12 stops the clock to the Microprocessor 2 .
  • the Wait signal is released and the clock to the Microprocessor is started again and normal operation resumes.
  • the Clock Controller must detect the fact that an access to slow memory is occurring and continue clocking the Memory Interface Unit to complete the access before stopping all clocks. This is an undesirable complication.
  • the present invention provides apparatus for carrying out debugging procedures on a processor, the processor including a production scan chain of scan registers, scan interface means for interfacing with a scan controller means external of the processor, a breakpoint interrupt means for executing an interrupt instruction and a processor clock control means, wherein, when in the operation of the processor a breakpoint is detected or generated, the breakpoint interrupt means executes an interrupt, the processor completes its current instruction and then branches to a Interrupt Service Vector, completion of which is signalled to the scan interface means which provides a Start Scan signal to the clock control means, following'which the scan interface means signals the external scan controller to begin a scanning operation.
  • the present invention provides a method for carrying out debugging procedures on a processor, the method comprising the following steps:
  • processor clock control means 1) providing a processor with a production scan chain of scan registers, a scan interface means for interfacing with an external scan controller means, a breakpoint interrupt means for executing an interrupt instruction, and a processor clock control means;
  • the breakpoint interrupt means executes an interrupt instruction as a result of which the processor completes its current instruction, and signals the same to the scan interface means;
  • the scan interface means asserts a Start Scan signal to the processor clock control means, which whereupon stops the processor clock or clocks;
  • an improved technique for entering an In Circuit Emulation session which avoids problems experienced in stopping the microprocessor clock without upsetting current actions of the microprocessor, and in recovering information of pipelined instructions.
  • the microprocessor pipeline is filled with no operation (NOP) instructions.
  • NOP no operation
  • the present invention is particularly applicable to pipelined processors, especially DSP processor cores.
  • FIG. 1 is a block diagram of a prior approach for entering In Circuit Emulation mode
  • FIG. 2 is a flow chart of a known method of operation of pipelined instructions
  • FIG. 3 is an example of a known technique of accessing slow operation memory
  • FIG. 4 is a block diagram of a preferred embodiment of the invention.
  • FIGS. 5 and 6 are timing diagrams of the operation of the embodiment of FIG. 4 for entering and leaving an ICE mode
  • FIG. 7 is a state diagram of a Finite State Machine in the Scan Interface Logic of FIG. 4 .
  • a core 40 of a processor comprising a pipelined DSP processor and including a production scan chain of scan registers 16 .
  • the scan registers are formed from existing registers in the processor by coupling a respective multiplexer to the input of the register to permit either the normal operation of the register or to use it in the scan mode wherein test logic values are loaded into the register. All processors, have a mechanism for “interrupting” the flow of instructions. The instigation of the interrupt can take numerous forms including processor input signals and software instructions.
  • ISV Interrupt Service Vector
  • ISR Interrupt Service Routine
  • FPI RETurn-from-Interrupt
  • Processor 40 has one particular interrupt instruction suitable for breakpoint implementation, Breakpoint Interrupt BI/TRAP, that can be instigated via an external input (BI) or a software instruction (TRAP). The following actions occur on the Core when a BI/TRAP is received.
  • program counter is stored on the stack, for use at the end of the ISR.
  • the processor has a dedicated module 42 that performs a Breakpoint Detect function. This monitors the activity at core 40 and determines when program execution should be halted and the state of the core communicated to an off-chip debugger.
  • the module 42 uses the BI/TRAP interrupt to stop the operation of the core 40 .
  • the clock can be stopped during the execution of a known instruction (the Breakpoint ISV);
  • the state of the processor can be restored by executing a RETurn from Interrupt.
  • NOP No-Operations
  • a scan interface logic 44 and a Clock Control Unit (CCU) 46 are provided.
  • Logic 44 is coupled to the CCU 46 by various control lines, including a Start Scan signal line 48 , and all Stop Scan signal on line 49 .
  • Scan Interface Logic 44 also provides control signals to an external scan controller (not shown), including a Scan Alert signal on line 56 .
  • the operation of Scan Interface 44 is determined by a Finite State Machine 60 , the state diagram of which is shown in FIG. 7 .
  • the module 42 When the module 42 either detects a breakpoint or is instructed to stop program execution by the Scan Interface 44 , it asserts a Breakpoint Interrupt input on line 52 to the core 40 .
  • the core completes its current instruction and then branches to the BI/TRAP ISV where NOPs are either read or forced into the instruction pipeline.
  • BTI Service is asserted at this time by the Core on line 54 to module 42 and Scan Interface 44 .
  • module 42 When the module 42 detects BTI Service being asserted (and is configured in ScanICE debug mode) it asserts module Scan Alert on line 50 to Scan Interface 44 .
  • the Scan Interface 44 is triggered by the assertion of module Scan Alert to begin entry to ScanICE mode. A key part of this is the assertion of Start Scan on line 48 .
  • the CCU 46 detects the assertion of Start Scan and cleanly stops the appropriate clocks (including the clock to the Core).
  • the Scan Interface 44 asserts one of the 6 Scan Interface signals, Scan Alert, on line 56 to indicate to the off-chip Scan Controller that the clocks have been stopped and it should take control.
  • the Master clock is replaced by Ext Scan Clk (another of the signals in the 6 pin Scan Interface) as the source for the clock signals.
  • Scan Flag is used internally to indicate ScanICE servicing in progress.
  • Clr Interrupt Service refers to the core decoder detecting an interrupt request and having completed decoding the previous instruction, it drives the appropriate control signals to service an interrupt. To indicate this special decode function and thus signal the start of the interrupt service routine, Clr Interrupt Service is asserted for one cycle.
  • IDB Instruction data bus—to/from program RAM
  • Exit from ScanICE is instigated by serially-loading a RETI instruction into the microprocessor's instruction register during the final full-scan and an appropriate instruction into the Scan Interface Logic's control register.
  • the Scan Interface Logic instigates restarting of the microprocessor's clock, thus executing the RETI instruction and returning to normal program operation. It is clearly important that during the final full-scan when the RETI instruction is loaded, the state of the core is updated correctly. This may simply involve reloading all registers with the values they held prior to entering ScanICE, or with new values as determined by the debugger.
  • One of the bits in the Scan Interface Logic instruction register is asserted to force exit from ScanICE mode.
  • This “Ctl Stop Scan” bit causes the Stop Scan signal from the Scan Interface to be asserted, and triggers the CCU to restart the stopped clocks.
  • the first instruction that must be executed is a RETurn-from-Interrupt that restores the state of the processor. This is forced into the instruction pipeline by asserting the appropriate bits ( 45 c 0 ) during the final full-scan.
  • the instruction register is effectively loaded directly via the scan-chain.
  • a second timing diagram illustrating the protocol for leaving ScanICE mode is depicted in FIG. 6 . An important feature of the protocol for leaving ScanICE is the requirement that Stop Scan on line 49 is cleared before the clocks are restarted.
  • FSM Finite State Machine
  • a principal advantage of this method is to enable clean entry and exit to and from ScanICE mode.
  • the alternative of simply stopping the clock leads to highly complex problems to correctly recover the programming model from the microprocessor's registers.
  • the method also prevents the potentially destructive effects of halting access to off-core memories and peripherals.

Abstract

In order to smooth the entry into a debugging operation using a scan chain of registers in a microprocessor, a method for carrying out debugging procedures. The method comprises providing a processor with a chain of scan registers, a scan interface for interfacing with an external scan controller, a breakpoint interrupt mechanism for executing an interrupt instruction, and a processor clock control mechanism. The method includes detecting or generating a breakpoint in the operation of the processor. The breakpoint interrupt mechanism executes an interrupt instruction as a result of which the processor completes its current instruction, and signals the same to the scan interface. The scan interface asserts a Start Scan signal to the clock signal control mechanism, which whereupon stops the processor clock or clocks. The external scan controller is alerted to start a scan sequence.

Description

The present invention relates to a method and apparatus for carrying out debugging procedures on a processor, for example a microprocessor or Digital Signal Processing (DSP) processor.
Software debugging is commonly carried out using In Circuit Emulation (ICE) wherein a monitor program located in the microprocessor provides information to an external host.
It has been recognised that software debugging using ICE techniques may be carried out more expeditiously using techniques adapted from production testing of microprocessors with production scan-chains. Such production scan chains are to be distinguished from boundary scan registers as in the known JTAG standards. In production scan chains, registers are provided throughout the processor so that the working of a software routine throughout the processor can be observed, i.e. it is “visible”. Such production scan chain of registers can be loaded with a random pattern of logic values. One or more machine cycles may then be executed, and the logic values are fed into the microprocessor logic. The resultant logic values in the registers may then be uploaded and examined to assess whether the microprocessor logic is working correctly.
Referring now to FIG. 1 of the drawings, this shows a block diagram of a previously proposed technique for using an existing production test scan-chain in a microprocessor for software debugging. As shown in FIG. 1, a pipelined microprocessor 2 is coupled via an Address Bus 4 and Data Bus 6 to a program RAM 8. The Address Bus 4 is also coupled to a Breakpoint Detect Unit 10. A clock controller 12 is provided and a Scan Controller 14 external to the microprocessor controls the operation of the scan mode.
A chain of internal scan registers 16 is such that the state of the microprocessor is completely described in the registers. Further the registers may be accessed during normal operation of the microprocessor.
The method of software debug using a production scan-chain is referred to herein as Scan In-Circuit Emulation (ScanICE). ScanICE mode is entered whenever access is required to the internal processor state, such as on reaching a software breakpoint. FIG. 1 illustrates a previous approach to entering ScanICE mode where only breakpoints on program addresses are considered. A register in the Breakpoint Detect Unit 10 is initialised to the required breakpoint address. When a match occurs between the Program Address Bus 4 and this register, an Enter ScanICE signal on a line 18 is asserted. This triggers the Clock Controller 12 to halt appropriate clocks so that the off-chip Scan Controller 14 can initiate scanning. The Scan Controller 14 uses the Scan Enable and Test signals to configure registers in the scan-chain 16 to shift serially when clocked and supplies the Scan Clock.
Using the scanned-but data for observability and scanned-in data for controllability the controller 14 can provide all required facilities for debugging software running on the microprocessor. When ScanICE functions are complete, Leave Scan is asserted on a line 20 forcing the Clock Controller to restart clocks and resume normal operation. The approach of FIG. 1 for entering ScanICE mode suffers from a number of problems:
1. To ease software development it is often required that the microprocessor's pipeline is hidden from the programmer. This means that all registers'contents refer to the same instruction. In a processor with, for example, a data pipeline, simply stopping the clock will result in several instructions being in varying states of execution. This is illustrated in FIG. 2 for a simple multiplier data path. The pipeline is used to separate the operation of reading from memory and actually multiplying so that they can be carried out in parallel using the X and Y registers 22,24 as a temporary store (which are part of the production scan chain). For successive single-cycle multiply instructions, two instructions are being executed at any one time; the result of one is loaded into the product register 26 on a particular clock-edge and the data operands of the next are loaded into the X and Y registers 22,24. By simply stopping the clock the Host debugger will have great difficulty in separating the instructions with just the knowledge of what is held in the X, Y and Product registers.
2. It is often required that a processor be able to access slow-memory without using a very slow clock. One way of achieving this is to provide a wait-state mechanism whereby the processor is halted during the memory access. This is illustrated in FIG. 3. Following an access to a slow memory 30, a Memory Interface Unit 32 asserts a Wait signal and Clock Controller 12 stops the clock to the Microprocessor 2. Following a defined number of clocks of the Memory Interface Unit 32 (as monitored by a counter 34) the Wait signal is released and the clock to the Microprocessor is started again and normal operation resumes. When a breakpoint is detected, the Clock Controller must detect the fact that an access to slow memory is occurring and continue clocking the Memory Interface Unit to complete the access before stopping all clocks. This is an undesirable complication.
Depending on the functionality of the microprocessor, there are many other scenarios when just stopping the main clock and entering ScanICE may cause problems. These problems get more numerous as pipelines get more complex and more elaborate schemes are implemented for improving microprocessor performance.
SUMMARY OF THE INVENTION
The present invention provides apparatus for carrying out debugging procedures on a processor, the processor including a production scan chain of scan registers, scan interface means for interfacing with a scan controller means external of the processor, a breakpoint interrupt means for executing an interrupt instruction and a processor clock control means, wherein, when in the operation of the processor a breakpoint is detected or generated, the breakpoint interrupt means executes an interrupt, the processor completes its current instruction and then branches to a Interrupt Service Vector, completion of which is signalled to the scan interface means which provides a Start Scan signal to the clock control means, following'which the scan interface means signals the external scan controller to begin a scanning operation.
In a further aspect, the present invention provides a method for carrying out debugging procedures on a processor, the method comprising the following steps:
1) providing a processor with a production scan chain of scan registers, a scan interface means for interfacing with an external scan controller means, a breakpoint interrupt means for executing an interrupt instruction, and a processor clock control means;
2) detecting or generating a breakpoint in the operation of the processor;
3) the breakpoint interrupt means executes an interrupt instruction as a result of which the processor completes its current instruction, and signals the same to the scan interface means;
4) the scan interface means asserts a Start Scan signal to the processor clock control means, which whereupon stops the processor clock or clocks; and
5) the external scan controller means is alerted to start a scan sequence.
In accordance with, the invention, an improved technique is provided for entering an In Circuit Emulation session which avoids problems experienced in stopping the microprocessor clock without upsetting current actions of the microprocessor, and in recovering information of pipelined instructions.
Preferably, in the operation of the invention, after the microprocessor has completed its current instructions, the microprocessor pipeline is filled with no operation (NOP) instructions.
The present invention is particularly applicable to pipelined processors, especially DSP processor cores.
BRIEF DESCRIPTION OF THE DRAWINGS
A preferred embodiment of the invention will now be described with reference to the accompanying drawings, wherein:
FIG. 1 is a block diagram of a prior approach for entering In Circuit Emulation mode;
FIG. 2 is a flow chart of a known method of operation of pipelined instructions;
FIG. 3 is an example of a known technique of accessing slow operation memory;
FIG. 4 is a block diagram of a preferred embodiment of the invention;
FIGS. 5 and 6 are timing diagrams of the operation of the embodiment of FIG. 4 for entering and leaving an ICE mode; and
FIG. 7 is a state diagram of a Finite State Machine in the Scan Interface Logic of FIG. 4.
DESCRIPTION OF THE PREFERRED EMBODIMENT
A preferred embodiment will now be described with reference to FIG. 4. In FIG. 4, a core 40 of a processor is shown, comprising a pipelined DSP processor and including a production scan chain of scan registers 16. In this embodiment, the scan registers are formed from existing registers in the processor by coupling a respective multiplexer to the input of the register to permit either the normal operation of the register or to use it in the scan mode wherein test logic values are loaded into the register. All processors, have a mechanism for “interrupting” the flow of instructions. The instigation of the interrupt can take numerous forms including processor input signals and software instructions. Typically, when an interrupt is received, the current instruction being executed is completed and the processor branches to an Interrupt Service Vector (ISV), a dedicated area of memory that determines the appropriate course of action. It is usual for a branch instruction to be located at, the ISV to an Interrupt Service Routine (ISR) that contains the interrupt handler. At the end of the ISR is some form of RETurn-from-Interrupt (RETI) instruction that indicates the end of the interrupt service routine and causes the program to resume operation from the point where the interrupt occurred. Processor 40 has one particular interrupt instruction suitable for breakpoint implementation, Breakpoint Interrupt BI/TRAP, that can be instigated via an external input (BI) or a software instruction (TRAP). The following actions occur on the Core when a BI/TRAP is received.
Stack Pointer→Stack Pointer -1
Program Counter→Stack
<ISV Address>0×0002>→Program Counter (forces branch to ISV)
Interrupt Acknowledge signal asserted
It is seen that the program counter is stored on the stack, for use at the end of the ISR.
The processor has a dedicated module 42 that performs a Breakpoint Detect function. This monitors the activity at core 40 and determines when program execution should be halted and the state of the core communicated to an off-chip debugger. The module 42 uses the BI/TRAP interrupt to stop the operation of the core 40.
The action of interrupting the processor using a Breakpoint with an appropriate ISV achieves two important goals:
1. The clock can be stopped during the execution of a known instruction (the Breakpoint ISV);
2. Following the ScanICE service, the state of the processor can be restored by executing a RETurn from Interrupt.
Good values for the ISV are clearly No-Operations (NOP). If the ISV is mapped to zero wait-stated program memory, these NOPs can be simply loaded into the appropriate memory locations otherwise they can be forced into the instruction pipeline using dedicated hardware inside or outside the core. By stopping the clock following the execution of a sufficient numbers of NOPs, the program pipeline is cleared and the state of the data pipeline is guaranteed to represent the last program instruction.
In FIG. 4, a scan interface logic 44 and a Clock Control Unit (CCU) 46 are provided. Logic 44 is coupled to the CCU 46 by various control lines, including a Start Scan signal line 48, and all Stop Scan signal on line 49. Scan Interface Logic 44 also provides control signals to an external scan controller (not shown), including a Scan Alert signal on line 56. The operation of Scan Interface 44 is determined by a Finite State Machine 60, the state diagram of which is shown in FIG. 7.
In operation, the sequence of events is as follows
1. When the module 42 either detects a breakpoint or is instructed to stop program execution by the Scan Interface 44, it asserts a Breakpoint Interrupt input on line 52 to the core 40.
2. The core completes its current instruction and then branches to the BI/TRAP ISV where NOPs are either read or forced into the instruction pipeline. BTI Service is asserted at this time by the Core on line 54 to module 42 and Scan Interface 44.
3. When the module 42 detects BTI Service being asserted (and is configured in ScanICE debug mode) it asserts module Scan Alert on line 50 to Scan Interface 44.
4. The Scan Interface 44 is triggered by the assertion of module Scan Alert to begin entry to ScanICE mode. A key part of this is the assertion of Start Scan on line 48.
5. The CCU 46 detects the assertion of Start Scan and cleanly stops the appropriate clocks (including the clock to the Core).
6. Finally, the Scan Interface 44 asserts one of the 6 Scan Interface signals, Scan Alert, on line 56 to indicate to the off-chip Scan Controller that the clocks have been stopped and it should take control. The Master clock is replaced by Ext Scan Clk (another of the signals in the 6 pin Scan Interface) as the source for the clock signals.
A timing diagram illustrating this protocol is included in FIG. 5. Scan Flag is used internally to indicate ScanICE servicing in progress.
In FIG. 5, Clr Interrupt Service refers to the core decoder detecting an interrupt request and having completed decoding the previous instruction, it drives the appropriate control signals to service an interrupt. To indicate this special decode function and thus signal the start of the interrupt service routine, Clr Interrupt Service is asserted for one cycle.
IAB Instruction address bus—to/from program RAM;
IDB: Instruction data bus—to/from program RAM;
It may be seen from FIG. 5 that 3 machine cycles following assertion of Breakpoint Interrupt on line 52, BTI Service on line 54 and module scan alert on line 50 are asserted. The data on!IDB bus is zero after 2 machine cycles, with a NOP instruction (0004) on the IAB after 4 cycles. The Scan Alert signal on line 56 is asserted one cycle after BTI Service.
Exit from ScanICE is instigated by serially-loading a RETI instruction into the microprocessor's instruction register during the final full-scan and an appropriate instruction into the Scan Interface Logic's control register. The Scan Interface Logic instigates restarting of the microprocessor's clock, thus executing the RETI instruction and returning to normal program operation. It is clearly important that during the final full-scan when the RETI instruction is loaded, the state of the core is updated correctly. This may simply involve reloading all registers with the values they held prior to entering ScanICE, or with new values as determined by the debugger.
One of the bits in the Scan Interface Logic instruction register is asserted to force exit from ScanICE mode. This “Ctl Stop Scan” bit causes the Stop Scan signal from the Scan Interface to be asserted, and triggers the CCU to restart the stopped clocks. The first instruction that must be executed is a RETurn-from-Interrupt that restores the state of the processor. This is forced into the instruction pipeline by asserting the appropriate bits (45c0) during the final full-scan. The instruction register is effectively loaded directly via the scan-chain. A second timing diagram illustrating the protocol for leaving ScanICE mode is depicted in FIG. 6. An important feature of the protocol for leaving ScanICE is the requirement that Stop Scan on line 49 is cleared before the clocks are restarted. This ensures clean operation if a breakpoint is required immediately after leaving scan (e.g. single-stepping). The extra Ext Scan Clk required during the assertion of Stop Scan is needed in the CCU to register the changing value of the Stop Scan. Following clearance of Stop Scan on line 49, BTI service on line 54 is cleared when the first instruction Instl is present on the core 40.
In the implementation of ScanICE, the protocols for entering and leaving scan are controlled by a Finite State Machine (FSM) 60 in the Scan Interface Logic 44. The state-diagram is illustrated in FIG. 7 and clarifies the operation discussed above.
A principal advantage of this method is to enable clean entry and exit to and from ScanICE mode. The alternative of simply stopping the clock leads to highly complex problems to correctly recover the programming model from the microprocessor's registers. The method also prevents the potentially destructive effects of halting access to off-core memories and peripherals.

Claims (11)

What is claimed is:
1. Apparatus for carrying out debugging procedures on a processor, the processor including a chain of scan registers, scan interface means for interfacing with a scan controller means external of the processor, a breakpoint interrupt means for executing an interrupt instruction, and a processor clock control means wherein, when, in the operation of the microprocessor a breakpoint is detected or generated, the breakpoint interrupt means executes an interrupt, the microprocessor completes its current instruction and then branches to an Interrupt Service Vector, the completion of which is signalled to the scan interface means which provides a Start Scan signal to the clock control means, following which the scan interface means signals the external scan controller to begin a scanning operation.
2. Apparatus according to claim 1, wherein the processor includes a pipeline, and the breakpoint interrupt means includes means for filling the pipeline with no operation (NOP) instructions.
3. Apparatus according to claim 1, wherein the processor comprises a DSP processor core.
4. Apparatus according to claim 1, wherein said scan interface means includes a finite state machine for controlling the logical state of the scan interface means.
5. Apparatus according to claim 1, including a signal line connecting the processor to the breakpoint interrupt means and scan interface means for asserting a breakpoint condition.
6. Apparatus according to claim 1, including a signal line connecting said scan interface means to said clock control means for asserting a Stop Scan signal.
7. Apparatus according to claim 5 or claim 6, wherein when the processor exits from the scanning mode, the stop scan signal is asserted while the processor returns to normal operation, at which time the breakpoint condition signal is cleared.
8. Apparatus according to claim 1, wherein one or more scan registers have a respective multiplexer coupled to the input whereby to permit use of the register in the normal operation of the processor or use in a debugging procedure.
9. A method for carrying out debugging procedures on a processor, the method comprising the following steps:
a. providing a processor with a chain of scan registers, a scan interface means for interfacing with an external scan controller means, a breakpoint interrupt means for executing an interrupt instruction, and a processor clock control means;
b. detecting or generating a breakpoint in the operation of the processor;
c. the breakpoint interrupt means executes an interrupt instruction as a result of which the processor completes its current instruction, and signals the same to the scan interface means;
d. the scan interface means asserts a Start Scan signal to the clock control means, which whereupon stops the processor clock or clocks; and
e. the external scan controller means is alerted to start a scan sequence.
10. A method according to claim 9, wherein in step c) following execution of the current instruction, a processor pipeline is filled with no operation (NOP) instructions.
11. A method according to claim 9, wherein when the scan sequence is to be terminated, a stop scan signal is asserted to the clock control means by the scan interface means, and a return from interrupt instruction is executed, the processor signalling return to normal operation to said scan interface means.
US09/262,816 1998-03-06 1999-03-05 Microprocessor debugging mechanism employing scan interface Expired - Lifetime US6385742B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GB9804910 1998-03-06
GB9804910A GB2337834B (en) 1998-03-06 1998-03-06 Microprocessor debugging
GB9812512 1998-06-10
GB9812512A GB2338320B (en) 1998-03-06 1998-06-10 Improvements in processor development systems

Publications (1)

Publication Number Publication Date
US6385742B1 true US6385742B1 (en) 2002-05-07

Family

ID=26313247

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/262,817 Expired - Lifetime US6473727B1 (en) 1998-03-06 1999-03-05 Processor development systems
US09/262,816 Expired - Lifetime US6385742B1 (en) 1998-03-06 1999-03-05 Microprocessor debugging mechanism employing scan interface

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/262,817 Expired - Lifetime US6473727B1 (en) 1998-03-06 1999-03-05 Processor development systems

Country Status (1)

Country Link
US (2) US6473727B1 (en)

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6691258B1 (en) * 1998-07-30 2004-02-10 Siemens Aktiengesellschaft Bus control unit for assisted program flow monitoring in star topologies, associated program flow monitoring system and method for program flow monitoring
US6820051B1 (en) * 1999-02-19 2004-11-16 Texas Instruments Incorporated Software emulation monitor employed with hardware suspend mode
GB2409741A (en) * 2003-12-29 2005-07-06 Agere Systems Inc Debugging System-on-a-Chips (SoCs) by halting clocks of functional blocks
US6957180B1 (en) * 2001-11-15 2005-10-18 Cypress Semiconductor Corp. System and a method for communication between an ICE and a production microcontroller while in a halt state
US7360117B1 (en) 2002-10-24 2008-04-15 Verisilicon Holdings (Cayman Islands) Co. Ltd. In-circuit emulation debugger and method of operation thereof
US7526422B1 (en) 2001-11-13 2009-04-28 Cypress Semiconductor Corporation System and a method for checking lock-step consistency between an in circuit emulation and a microcontroller
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US7761845B1 (en) 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US7825688B1 (en) 2000-10-26 2010-11-02 Cypress Semiconductor Corporation Programmable microcontroller architecture(mixed analog/digital)
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US7893724B2 (en) 2004-03-25 2011-02-22 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US8040266B2 (en) 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US8069428B1 (en) 2001-10-24 2011-11-29 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US8078894B1 (en) 2007-04-25 2011-12-13 Cypress Semiconductor Corporation Power management architecture, method and configuration system
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US8085100B2 (en) 2005-02-04 2011-12-27 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US8089461B2 (en) 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US8092083B2 (en) 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US8120408B1 (en) 2005-05-05 2012-02-21 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8130025B2 (en) 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8402313B1 (en) 2002-05-01 2013-03-19 Cypress Semiconductor Corporation Reconfigurable testing system and method
US8499270B1 (en) 2007-04-25 2013-07-30 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8522079B2 (en) 2011-02-16 2013-08-27 Industrial Technology Research Institute System and method for multi-core synchronous debugging of a multi-core platform
US8527949B1 (en) 2001-11-19 2013-09-03 Cypress Semiconductor Corporation Graphical user interface for dynamically reconfiguring a programmable device
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US10698662B2 (en) 2001-11-15 2020-06-30 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US10754759B1 (en) * 2018-02-05 2020-08-25 Xilinx, Inc. Breakpointing circuitry that evaluates breakpoint conditions while running clock to target circuit
US11507413B2 (en) 2017-06-27 2022-11-22 Alibaba Group Holding Limited Tracking method, apparatus, device, and machine-readable medium

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100448903B1 (en) * 2000-01-28 2004-09-16 삼성전자주식회사 Semiconductor integrated circuit device with scan signal converting circuit
US6587995B1 (en) * 2000-04-19 2003-07-01 Koninklijke Philips Electronics N.V. Enhanced programmable core model with integrated graphical debugging functionality
DE60212455T2 (en) * 2002-01-18 2007-04-05 Mentor Graphics Corp., Wilsonville SYSTEM AND METHOD FOR TAKING AN IP CORE DURING A TROUBLESHOOTING OPERATION
US7574585B1 (en) * 2003-01-31 2009-08-11 Zilog, Inc. Implementing software breakpoints and debugger therefor
US7367016B2 (en) * 2003-07-14 2008-04-29 Sun Microsystems, Inc. Method and system for expressing the algorithms for the manipulation of hardware state using an abstract language
JP4409349B2 (en) * 2004-04-27 2010-02-03 Okiセミコンダクタ株式会社 Debug circuit and debug control method
US20060248391A1 (en) * 2005-05-02 2006-11-02 Glover Jeffrey C State machine-based command line debugger
JP2008123106A (en) * 2006-11-09 2008-05-29 Nec Electronics Corp Microcomputer and debug method for microcomputer
JP4264110B2 (en) * 2007-01-23 2009-05-13 株式会社東芝 Simulation execution apparatus, method and program
US10860763B1 (en) 2015-09-24 2020-12-08 Cadence Design Systems, Inc. Data routing and multiplexing architecture to support serial links and advanced relocation of emulation models
US9910810B1 (en) * 2015-10-23 2018-03-06 Cadence Design Systems, Inc. Multiphase I/O for processor-based emulation system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0849672A2 (en) 1996-12-20 1998-06-24 Texas Instruments Incorporated Non-intrusive software breakpoints in a processor instructions execution pipeline
EP0854422A2 (en) 1996-12-20 1998-07-22 Texas Instruments Incorporated A processor test port with scan chains and data streaming
US5838897A (en) * 1996-02-27 1998-11-17 Cyrix Corporation Debugging a processor using data output during idle bus cycles
US6035422A (en) * 1995-08-30 2000-03-07 Motorola, Inc. Data processing system for controlling execution of a debug function and method therefor

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5329471A (en) * 1987-06-02 1994-07-12 Texas Instruments Incorporated Emulation devices, systems and methods utilizing state machines
EP0350538B1 (en) * 1988-07-13 1993-12-01 Koninklijke Philips Electronics N.V. Memory device containing a static RAM memory that is adapted for executing a self-test, and integrated circuit containing such a device as an embedded static RAM memory
US5551050A (en) * 1989-12-20 1996-08-27 Texas Instruments Incorporated System and method using synchronized processors to perform real time internal monitoring of a data processing device
US5153882A (en) * 1990-03-29 1992-10-06 National Semiconductor Corporation Serial scan diagnostics apparatus and method for a memory device
US5224101A (en) * 1990-05-16 1993-06-29 The United States Of America As Represented By The Secretary Of The Air Force Micro-coded built-in self-test apparatus for a memory array
US5581564A (en) * 1990-12-18 1996-12-03 Integrated Device Technology, Inc. Diagnostic circuit
JPH06214821A (en) * 1992-03-02 1994-08-05 Motorola Inc Data processing system provided with sequential self-address decoding function and its operating method
FR2693574B1 (en) * 1992-07-08 1994-09-09 Sgs Thomson Microelectronics Method for testing the operation of a specialized integrated circuit, and specialized integrated circuit relating thereto.
US5596734A (en) * 1993-12-17 1997-01-21 Intel Corporation Method and apparatus for programming embedded memories of a variety of integrated circuits using the IEEE test access port
US5515530A (en) * 1993-12-22 1996-05-07 Intel Corporation Method and apparatus for asynchronous, bi-directional communication between first and second logic elements having a fixed priority arbitrator
US5592493A (en) * 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5553249A (en) * 1995-03-08 1996-09-03 Unisys Corporation Dual bus adaptable data path interface system
US6055656A (en) * 1995-05-02 2000-04-25 Intel Corporation Control register bus access through a standardized test access port
US5661732A (en) * 1995-05-31 1997-08-26 International Business Machines Corporation Programmable ABIST microprocessor for testing arrays with two logical views
US5867507A (en) * 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
TW342483B (en) * 1997-11-14 1998-10-11 Winbond Electronics Corp Serial in-circuit emulator architecture
US6088823A (en) * 1998-06-12 2000-07-11 Synopsys, Inc. Circuit for efficiently testing memory and shadow logic of a semiconductor integrated circuit

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6035422A (en) * 1995-08-30 2000-03-07 Motorola, Inc. Data processing system for controlling execution of a debug function and method therefor
US5838897A (en) * 1996-02-27 1998-11-17 Cyrix Corporation Debugging a processor using data output during idle bus cycles
EP0849672A2 (en) 1996-12-20 1998-06-24 Texas Instruments Incorporated Non-intrusive software breakpoints in a processor instructions execution pipeline
EP0854422A2 (en) 1996-12-20 1998-07-22 Texas Instruments Incorporated A processor test port with scan chains and data streaming

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6691258B1 (en) * 1998-07-30 2004-02-10 Siemens Aktiengesellschaft Bus control unit for assisted program flow monitoring in star topologies, associated program flow monitoring system and method for program flow monitoring
US6820051B1 (en) * 1999-02-19 2004-11-16 Texas Instruments Incorporated Software emulation monitor employed with hardware suspend mode
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US10725954B2 (en) 2000-10-26 2020-07-28 Monterey Research, Llc Microcontroller programmable system on a chip
US8358150B1 (en) 2000-10-26 2013-01-22 Cypress Semiconductor Corporation Programmable microcontroller architecture(mixed analog/digital)
US10020810B2 (en) 2000-10-26 2018-07-10 Cypress Semiconductor Corporation PSoC architecture
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US9766650B2 (en) 2000-10-26 2017-09-19 Cypress Semiconductor Corporation Microcontroller programmable system on a chip with programmable interconnect
US9843327B1 (en) 2000-10-26 2017-12-12 Cypress Semiconductor Corporation PSOC architecture
US10248604B2 (en) 2000-10-26 2019-04-02 Cypress Semiconductor Corporation Microcontroller programmable system on a chip
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US8555032B2 (en) 2000-10-26 2013-10-08 Cypress Semiconductor Corporation Microcontroller programmable system on a chip with programmable interconnect
US7825688B1 (en) 2000-10-26 2010-11-02 Cypress Semiconductor Corporation Programmable microcontroller architecture(mixed analog/digital)
US8736303B2 (en) 2000-10-26 2014-05-27 Cypress Semiconductor Corporation PSOC architecture
US10261932B2 (en) 2000-10-26 2019-04-16 Cypress Semiconductor Corporation Microcontroller programmable system on a chip
US10466980B2 (en) 2001-10-24 2019-11-05 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US8069428B1 (en) 2001-10-24 2011-11-29 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US8793635B1 (en) 2001-10-24 2014-07-29 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US7526422B1 (en) 2001-11-13 2009-04-28 Cypress Semiconductor Corporation System and a method for checking lock-step consistency between an in circuit emulation and a microcontroller
US10698662B2 (en) 2001-11-15 2020-06-30 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US6957180B1 (en) * 2001-11-15 2005-10-18 Cypress Semiconductor Corp. System and a method for communication between an ICE and a production microcontroller while in a halt state
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US8370791B2 (en) 2001-11-19 2013-02-05 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US8527949B1 (en) 2001-11-19 2013-09-03 Cypress Semiconductor Corporation Graphical user interface for dynamically reconfiguring a programmable device
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US8533677B1 (en) 2001-11-19 2013-09-10 Cypress Semiconductor Corporation Graphical user interface for dynamically reconfiguring a programmable device
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US8402313B1 (en) 2002-05-01 2013-03-19 Cypress Semiconductor Corporation Reconfigurable testing system and method
US7761845B1 (en) 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
US7360117B1 (en) 2002-10-24 2008-04-15 Verisilicon Holdings (Cayman Islands) Co. Ltd. In-circuit emulation debugger and method of operation thereof
GB2409741A (en) * 2003-12-29 2005-07-06 Agere Systems Inc Debugging System-on-a-Chips (SoCs) by halting clocks of functional blocks
US20050149892A1 (en) * 2003-12-29 2005-07-07 Yee Oceager P. System and method for debugging system-on-chips using single or n-cycle stepping
GB2409741B (en) * 2003-12-29 2007-02-21 Agere Systems Inc System and method for debugging system-on-chips using single or n-cycle stepping
US7055117B2 (en) 2003-12-29 2006-05-30 Agere Systems, Inc. System and method for debugging system-on-chips using single or n-cycle stepping
US7893724B2 (en) 2004-03-25 2011-02-22 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US8539398B2 (en) 2004-08-13 2013-09-17 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8085100B2 (en) 2005-02-04 2011-12-27 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US8120408B1 (en) 2005-05-05 2012-02-21 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8089461B2 (en) 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US8717042B1 (en) 2006-03-27 2014-05-06 Cypress Semiconductor Corporation Input/output multiplexer bus
US8476928B1 (en) 2007-04-17 2013-07-02 Cypress Semiconductor Corporation System level interconnect with programmable switching
US8130025B2 (en) 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US8092083B2 (en) 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US8040266B2 (en) 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8909960B1 (en) 2007-04-25 2014-12-09 Cypress Semiconductor Corporation Power management architecture, method and configuration system
US8499270B1 (en) 2007-04-25 2013-07-30 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US8078894B1 (en) 2007-04-25 2011-12-13 Cypress Semiconductor Corporation Power management architecture, method and configuration system
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8522079B2 (en) 2011-02-16 2013-08-27 Industrial Technology Research Institute System and method for multi-core synchronous debugging of a multi-core platform
US11507413B2 (en) 2017-06-27 2022-11-22 Alibaba Group Holding Limited Tracking method, apparatus, device, and machine-readable medium
US10754759B1 (en) * 2018-02-05 2020-08-25 Xilinx, Inc. Breakpointing circuitry that evaluates breakpoint conditions while running clock to target circuit

Also Published As

Publication number Publication date
US6473727B1 (en) 2002-10-29

Similar Documents

Publication Publication Date Title
US6385742B1 (en) Microprocessor debugging mechanism employing scan interface
US6032268A (en) Processor condition sensing circuits, systems and methods
US6704895B1 (en) Integrated circuit with emulation register in JTAG JAP
US5329471A (en) Emulation devices, systems and methods utilizing state machines
US5805792A (en) Emulation devices, systems, and methods
US6085336A (en) Data processing devices, systems and methods with mode driven stops
US6539497B2 (en) IC with selectively applied functional and test clocks
US6598178B1 (en) Peripheral breakpoint signaler
US5841670A (en) Emulation devices, systems and methods with distributed control of clock domains
US5621651A (en) Emulation devices, systems and methods with distributed control of test interfaces in clock domains
US6522985B1 (en) Emulation devices, systems and methods utilizing state machines
US5479652A (en) Microprocessor with an external command mode for diagnosis and debugging
JP5536297B2 (en) Semiconductor integrated circuit and semiconductor integrated circuit test system supporting co-debugging function
US6760866B2 (en) Process of operating a processor with domains and clocks
EP0849673B1 (en) Single stepping a processor pipeline and subsystem pipelines during debugging of a data processing system
JPS63159946A (en) Parallel test interface and testing thereof
KR20010006193A (en) Debug interface including a compact trace record storage
US20040193957A1 (en) Emulation devices, systems and methods utilizing state machines
JP2003531436A (en) Method and apparatus for non-intrusive application code profiling
US20040250150A1 (en) Devices, systems and methods for mode driven stops notice
TW200837555A (en) Debug method
EP0411904A2 (en) Processor condition sensing circuits, systems and methods
JP2008507025A (en) Emulation and debug interface for integrated circuit testing
US6349392B1 (en) Devices, systems and methods for mode driven stops
US10970191B2 (en) Semiconductor device and debug method

Legal Events

Date Code Title Description
AS Assignment

Owner name: LSI LOGIC CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIRSCH, GRAHAM;KERSHAW, SIMON;REEL/FRAME:010284/0670

Effective date: 19990925

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AG

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:LSI CORPORATION;AGERE SYSTEMS LLC;REEL/FRAME:032856/0031

Effective date: 20140506

AS Assignment

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:LSI LOGIC CORPORATION;REEL/FRAME:033102/0270

Effective date: 20070406

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LSI CORPORATION;REEL/FRAME:035390/0388

Effective date: 20140814

AS Assignment

Owner name: AGERE SYSTEMS LLC, PENNSYLVANIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047195/0026

Effective date: 20180509

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE OF MERGER PREVIOUSLY RECORDED ON REEL 047195 FRAME 0026. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047477/0423

Effective date: 20180905