US20110089022A1 - Method and apparatus for surface processing of a substrate - Google Patents

Method and apparatus for surface processing of a substrate Download PDF

Info

Publication number
US20110089022A1
US20110089022A1 US12/977,430 US97743010A US2011089022A1 US 20110089022 A1 US20110089022 A1 US 20110089022A1 US 97743010 A US97743010 A US 97743010A US 2011089022 A1 US2011089022 A1 US 2011089022A1
Authority
US
United States
Prior art keywords
substrate
flux
energetic particles
source
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/977,430
Inventor
Boris Druz
Viktor Kanarov
Hariharakeshave S. Hegde
Alan V. Hayes
Emmanuel Lakios
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Veeco Instruments Inc
Original Assignee
Veeco Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Veeco Instruments Inc filed Critical Veeco Instruments Inc
Priority to US12/977,430 priority Critical patent/US20110089022A1/en
Publication of US20110089022A1 publication Critical patent/US20110089022A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • C23C14/044Coating on selected surface areas, e.g. using masks using masks using masks to redistribute rather than totally prevent coating, e.g. producing thickness gradient
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition

Definitions

  • This invention relates generally to materials processing and, more particularly, to apparatus and methods for processing or treating the surface of a substrate with a beam of energetic particles.
  • Sputter deposition and ion beam deposition are familiar methods for depositing thin film materials. These deposition processes require deposition on substrates with particular topographical features that affect the distribution and properties of deposited material across the substrate. For example, lift-off deposition processes in which thin films are deposited over a pattern of photoresist features are used in many important thin film device fabrication processes.
  • IBD is particularly well suited for lift-off deposition processes due to some unique advantages of the process, including low process pressures and directional deposition. As a result, the lift-off step is extremely clean and repeatable down to critical dimensions less than 0.5 microns. Primarily because of these advantages, IBD has become the dominant method for depositing stabilization layers for thin film magnetic heads as a lift-off step is required subsequent to the deposition of the stabilizing material. In addition to good lift-off properties, IBD films have extremely good magnetic properties. The substrate may be tilted to different angles to optimize the properties of the IBD deposited film and rotated to average out non-uniformities introduced by the tilting.
  • an IBD system generally includes a deposition gun 10 that directs an energized beam 12 of ions to a target 14 of material to be deposited.
  • the ion beam 12 sputters material from a finite, well-confined source region on the target 14 to generate a beam 16 of sputtered target material.
  • a substrate 18 is held on a fixture 20 and positioned so that the beam 16 impinges the substrate 18 .
  • the target 14 is approximately the size of substrate 18 , which is located the equivalent of a few substrate diameters away from the target 14 .
  • the fixture 20 is configured to tilt the normal to the surface of substrate 18 at an angle ⁇ relative to the direction of the deposition flux 16 and to continuously rotate the substrate 18 about the surface normal.
  • the divergence angle of the beam 16 depends on the geometrical relationship between the target 14 and substrate 18 .
  • One contribution to the divergence angle arises because the ion beam 12 is focused on the target 14 to prevent ion beam sputtering of nearby components in the process chamber.
  • Another contribution to the divergence angle originates from the target-to-substrate distances that are limited due to the deposition rate reduction.
  • Beam divergence in IBD systems cause asymmetrical shadowing of the substrate surface by the features projecting from the substrate surface, such as the features characterizing a photoresist pattern. This causes the deposited material to have an asymmetric deposition profile relative to the features, which reduces the area over which lift-off is acceptable and reduces magnetic property uniformity.
  • the substrate may be oriented relative to the flux direction so that its surface normal is aligned with the line of sight between substrate and the deposition flux source region on the sputter target, which is typically the center of the target, and rotated about its centerline. Under these circumstances, the substrate is not shadowed by the feature on the inboard or radially-innermost side of the feature. In contrast, the substrate will always be shadowed by the feature on the outboard or radially-outermost side of the feature. The degree of shadowing on the outboard side increases with increasing radial separation between the feature and the substrate centerline and also with increasing divergence of the deposition flux. The resulting deposition profile is highly asymmetrical.
  • Tilting the surface normal with respect to the line of sight between the target and the substrate during deposition improves the symmetry of the deposition profile by reducing the substrate shadowing on the outboard side of features.
  • the nature of the substrate shadowing on the outboard and inboard sides of the feature depends on the azimuthal position of the feature as the substrate is rotated, as described below.
  • FIGS. 2A and 2B illustrate the shadow cast on a substrate 21 by the inboard side and the outboard side of a feature 26 projecting from substrate 21 at a location between the substrate center and peripheral edge.
  • FIG. 2A shows the feature 26 with the substrate 21 oriented at a first azimuthal angle and tilted relative to a target 28 of an IBD system. The outboard side of the feature 26 shadows the substrate 21 over a distance 24 . The inboard side of the feature 26 does not shadow the substrate 21 .
  • FIG. 2B shows feature 26 with the substrate 21 oriented at a second azimuthal angle that locates feature 26 at an angular position diametrically opposite to the position at the first azimuthal angle. The inboard side of the feature 26 shadows the substrate 21 over a distance 22 , which is a smaller distance than distance 24 . The outboard side of the feature 26 does not shadow the substrate 21 at the second azimuthal angle.
  • the shadowing of the substrate 21 over distance 24 on the outboard side of the feature 26 differs from the shadowing of the substrate 21 over distance 22 by the inboard side.
  • the profile of the deposited material will differ on the inboard and outboard sides of the feature 26 adjacent to the sidewalls of feature 26 .
  • the longer shadow cast over distance 24 adjacent to the outboard side results in a relatively longer taper of the deposited material than adjacent to the inboard side.
  • the shadowed substrate region on the outboard side of the feature 26 also experiences a lower deposition rate because it is effectively further away from the target 28 when the substrate 21 is oriented at the first azimuthal angle.
  • the inboard substrate region experiences a higher deposition rate because it is closer to the target 28 when the substrate 21 is oriented at the second azimuthal angle. Therefore, the deposited material is thinner on the outboard side of feature 26 , due to the outboard region being further away from the target 28 .
  • the asymmetry and difference in deposition rate, which originate from the beam divergence of the target 28 increase with increasing radial distance from the center of substrate 21 .
  • Feature 30 which is at the same radial distance from the substrate center as feature 26 , experiences the same asymmetries and differences in deposition rate as feature 26 .
  • the deposited material is radially symmetrical about feature 32 at the substrate center because feature 32 symmetrically shadows the substrate 21 adjacent to its sidewalls.
  • Other types of surface treatments, such as etching, will have similar asymmetrical treatment profiles about the features 26 and 30 .
  • a system for processing a substrate includes a vacuum chamber, a source positioned inside the vacuum chamber, a fixture adapted to hold the substrate inside the vacuum chamber at a position spaced from the source, and a shield positioned between the source and the fixture.
  • the source is configured to emit a beam of energetic particles having a substantially uniform flux distribution across a major dimension.
  • the fixture is configured to translate the substrate in a plane spaced from, and generally parallel with, a plane containing the source.
  • the fixture is also configured to orient the substrate angularly relative to the source.
  • the shield includes a rectangular aperture having a major dimension oriented substantially parallel to the major dimension of the source.
  • the source is arranged relative to the rectangular aperture to transmit the beam over a treatment area in the plane of the substrate.
  • the fixture is adapted to translate the substrate substantially perpendicular to the major dimension of the rectangular aperture for passing the substrate through the treatment area so that the energetic particles in the beam treat the substrate.
  • the location of the rectangular aperture may be movable with respect to the source for changing the average incident angle of the beam relative to the surface normal of the substrate.
  • the rectangular aperture may also have an adjustable width in a direction perpendicular to the major axis or dimension of the source for changing the angular divergence of the flux of energetic particles in the beam.
  • a method of processing a substrate includes directing a beam of energetic particles having a substantially uniform flux distribution over a major dimension through a rectangular aperture having a major dimension oriented substantially parallel to the major dimension of the source and orienting the substrate such that a plurality of parallel features on the substrate are aligned substantially parallel to the major dimension of the rectangular aperture.
  • the method further includes providing mutual orthogonal movement between the substrate and the beam of energetic particles and exposing the substrate to the beam of energetic particles transmitted through the rectangular aperture.
  • the mutual orthogonal movement comprises moving the substrate substantially orthogonal to the major dimension of the rectangular aperture. Processing may be performed on one side of the feature if the substrate is moved relative to the aperture without rotation. Alternatively, the substrate may be processed adjacent to both sides of the feature if the substrate is rotated 180° after each cycle of the substrate surface treatment, as described herein.
  • FIG. 1 is a diagrammatic view of a conventional IBD system in accordance with the prior art
  • FIGS. 2A and 2B are diagrammatic views illustrating the asymmetrical deposition profile for features on a substrate of the conventional IBD system of FIG. 1 ;
  • FIG. 3 is a diagrammatic side view of a substrate processing apparatus in accordance with the invention.
  • FIG. 3A is a detailed view of a portion of FIG. 3 ;
  • FIGS. 3B and 3C are diagrammatic perspective views of the shield of FIG. 3 showing the ability to adjust the position of the aperture relative to the source and the ability to adjust the width of the aperture, respectively;
  • FIGS. 4A and 4B are diagrammatic perspective views of the substrate processing apparatus of FIG. 3 illustrating the geometrical relationships between the source, the aperture, and the substrate;
  • FIG. 5 is a diagrammatic perspective view of the substrate processing apparatus of FIG. 3 at an initial stage of a processing method in accordance with an embodiment of the invention
  • FIG. 5A is a detailed view of a portion of FIG. 5 illustrating the orientation of one of the features projecting from the substrate during processing;
  • FIG. 6 is a diagrammatic perspective view of the substrate processing apparatus of FIG. 3 at a subsequent stage of the processing method
  • FIG. 6A is a cross-sectional view of the feature of FIG. 5A receiving treatment while being translated past the aperture during processing;
  • FIGS. 7-9 are diagrammatic perspective views of the substrate processing apparatus of FIG. 6 at subsequent stages of the processing method
  • FIG. 9A is a detailed view illustrating the feature of FIG. 6A during processing after the substrate is rotated by 180° and immediately before the second half-cycle of the processing cycle;
  • FIG. 10 is a diagrammatic perspective view of the substrate processing apparatus of FIG. 9 at a subsequent stage of the processing method
  • FIG. 10A is a cross-sectional view of the feature of FIG. 9A receiving treatment while being translated past the aperture with the feature reoriented by 180° ;
  • FIGS. 11-13 are diagrammatic perspective views of the substrate processing apparatus of FIG. 10 at subsequent stages of the processing method
  • FIG. 13A is a detailed view of a portion of FIG. 13 illustrating feature orientation during processing and after a full cycle
  • FIGS. 14 and 14A are diagrammatic perspective views of a substrate processing apparatus in accordance with an alternative embodiment of the invention.
  • FIG. 15 is a diagrammatic perspective view of a substrate processing apparatus in accordance with another alternative embodiment of the invention.
  • a processing apparatus 40 includes a source 50 , which is preferably rectangular but not so limited, adapted to emit a beam 42 of energetic particles.
  • the energetic particles from source 50 may etch a substrate 44 , deposit a thin film or layer of material on substrate 44 , or otherwise treat substrate 44 .
  • the source 50 may have a geometrical shape similar to the geometrical shape of aperture 54 , which reduces the unused portion of the beam 42 from the source 50 that does not treat the substrate 44 .
  • the source 50 is characterized by a major dimension 49 ( FIG. 4A ) and a minor dimension 51 ( FIG. 4A ).
  • Beam 42 has a substantially uniform flux distribution along the major dimension 49 of the source 50 .
  • the apparatus 40 includes a vacuum chamber 46 that is isolated from the surrounding environment.
  • Vacuum chamber 46 may be evacuated to a suitable vacuum pressure by a vacuum pump 48 as recognized by a person of ordinary skill in the art.
  • a sealable port (not shown) is provided in the vacuum chamber 46 for accessing the interior of vacuum chamber 46 to exchange processed substrates 44 for unprocessed substrates 44 .
  • the source 50 of beam 42 is any ion beam source capable of generating energetic particles for performing a thin film deposition, an etching process, a reactive ion etching process, a sputtering process, or other ion beam treatment.
  • the source 50 may be a magnetron of with a sputtering target of any material that provides thin film deposition.
  • Another example is a rectangular ion beam source 50 with flat or dished grid ion optics to emit ions in direction to the aperture 54 that provides a substrate surface etch.
  • the source 50 is an ion beam deposition (MD) source including a target of deposition material sputtered by a beam of inert gas ions and a magnetron confining a plasma proximate to the target that provides the source of the gas ions.
  • MD ion beam deposition
  • a shield 52 is positioned between the substrate 44 and the source 50 so that the substrate 44 and source 50 are positioned in different parallel planes.
  • the aperture 54 is located in a plane that is substantially parallel to the plane of the substrate 44 .
  • the shield 52 has a rectangular opening or aperture 54 characterized by a major axis or dimension 65 ( FIG. 4A ) substantially aligned with the major dimension 49 ( FIG. 4A ) of the source 50 along which beam 42 is uniform.
  • the aperture 54 in shield 52 collimates beam 42 so that only a fraction of energetic particles emitted from source 50 are transmitted through the aperture 54 and strike the substrate 44 to thereby treat the substrate 44 .
  • the major dimension 65 ( FIG. 4A ) of aperture 54 is greater than the diameter of substrate 44 and the minor dimension 64 ( FIG. 4A ) of aperture 54 is less than or equal to the diameter of substrate 44 .
  • the substrate 44 is held and supported by a two-stage fixture 55 having a rotational stage 56 adapted to rotate the substrate 44 in at least one rotational sense about an azimuthal axis 45 .
  • Rotation of the substrate 44 about the azimuthal axis 45 changes the orientation of features 66 ( FIG. 5A ) on the substrate 44 relative to the direction of the beam 42 .
  • a translational stage 58 of fixture 55 which supports the rotational stage 56 , is adapted to move or translate the substrate 44 linearly and bi-directionally (i.e., reversibly) relative to the aperture 54 .
  • the translational stage 58 is movable over a range of motion adequate to position substrate 44 in flux-blocked positions on opposite sides of aperture 54 in which the shield 52 is interposed between the substrate 44 and source 50 .
  • the movements of stages 56 and 58 are mutually independent so that the substrate 44 may be translated by stage 58 without rotation and, conversely, the substrate 44 may be rotated by stage 56 without translation.
  • the translational stage 58 translates the substrate 44 in a direction approximately orthogonal to the major dimension 65 ( FIG. 4A ) of aperture 54 .
  • the translational stage 58 may be replaced by a planetary stage (not shown) that revolves the substrate 44 and rotational stage 56 about a relatively large radius of curvature in a plane parallel to the substrate plane.
  • the radius of the curve traced by the substrate 44 when moved by the planetary stage is large enough to be approximately linear over the minor dimension 64 of aperture 54 .
  • the center of the source 50 and aperture 54 , and the arc traced by the center of fixture 55 are in a cylindrical plane with a radius of curvature exceeding the distance between the source 50 and aperture 54 .
  • the position of aperture 54 with respect to the source 50 determines the incident angle at which energetic particles from the beam 42 (i.e., particle flux) impinge the substrate 44 .
  • the source 50 may be considered to be a line source having a centerline 59 extending across its major dimension 49 .
  • the average incident angle, ⁇ , of the particle flux is defined as the inverse sine of the quotient of a dividend given by the perpendicular distance from the centerline 59 of source 50 to the plane of the shield 52 , labeled with the alphanumeric character “n” in FIG.
  • the minor dimension 64 ( FIG. 4A ) of the aperture 54 determines the collimation of beam 42 .
  • the collimation angle is determined from the angular arc subtended from the source 50 to the opposite edges 60 , 62 of the aperture 54 and defines the angular distribution of the flux about the average incident angle.
  • Edge 60 is most distant from source 50 and edge 62 is closest to source 50 .
  • the distance in the plane of the shield 52 between edges 60 , 62 specifies the minor dimension 64 of the aperture 54 .
  • the aperture 54 also has a major dimension 65 orthogonal to the minor dimension 64 .
  • the collimation angle, ⁇ is equal to the difference between the inverse cosine of the quotient of a dividend given by the distance, n, divided by a divisor given by the distance from the centerline 59 to edge 60 minus the inverse cosine of the quotient of a dividend given by the distance, n, divided by a divisor given by the distance from the centerline 59 to edge 62 .
  • the collimation angle for the deposition flux may be reduced by reducing the separation between edges 60 , 62 .
  • the shield 52 may preferably include two members 52 a , 52 b that are relatively movable in a direction perpendicular to the major dimension 49 ( FIG. 4A ) of the source 50 .
  • the location of the aperture 54 may be adjusted relative to the source 50 by moving the members 52 a , 52 b toward or away from the source 50 , as shown in FIG. 3B .
  • This relocation of the aperture 54 is effective for changing the average incident angle of the beam 42 relative to the plane of the substrate 44 .
  • the movement of members 52 a , 52 b is illustrated as increasing the average incident angle relative to the arrangement shown in FIG. 4A , although not so limited.
  • the minor dimension 64 FIG.
  • aperture 54 may be adjusted by moving the members 52 a , 52 b relative to each other so that the distance between edges 60 , 62 changes, as shown in FIG. 3C .
  • This width adjustment of aperture 54 is effective for changing the collimation angle of the beam 42 across the treatment area.
  • the movement of members 52 a , 52 b is illustrated as increasing distance to provide a minor dimension 64 a greater than minor dimension 64 ( FIG. 4B ), which increases the collimation angle relative to the arrangement shown in FIG. 4B .
  • moving the edges 60 , 62 of the members 52 a , 52 b closer together will decrease the collimation angle relative to the arrangement shown in FIG. 4B .
  • a method of exposing the substrate 44 to a beam 42 of energetic particles is described that provides a symmetrical treatment profile on opposite sides of features 66 projecting upwardly from the substrate 44 .
  • Beam 42 will be described as a beam of deposition material that incrementally accumulates as a thin film on substrate 44 , although the invention is not so limited.
  • the beam 42 may etch the substrate 44 by sputtering, chemical reaction, or a combination thereof, remove contaminants from the surface of substrate 44 , or perform another type of ion beam treatment of substrate 44 .
  • the method will be described in terms of a single processing cycle or sequence including two distinguishable half-cycles, which may be repeated or iterated to thicken the deposited thin film or achieve the desired surface treatment.
  • substrate 44 is loaded onto the fixture 55 in a home position in which the substrate 44 is shielded from source 50 by the shield 52 . Accordingly, the beam 42 does not treat the substrate 44 in the home position. While the substrate 44 is stationary in the home position, the rotational stage 56 of fixture 55 aligns substrate 44 so that each of the features 66 , exemplified by feature 66 visible in FIG. 5A , has opposite first and second sidewalls 68 , 70 aligned generally parallel with the major dimension 65 of the aperture 54 and so that sidewall 68 is closest to edge 60 .
  • the features 66 may be, for example, portions of a patterned photoresist layer.
  • resist is applied by, for example, a spin-on process to substrate 44 , exposed with radiation projected through a photomask to impart a latent projected image pattern characteristic of features 66 , and developed to transform the latent image pattern into a final image pattern.
  • the resist is stripped from the substrate 44 after the substrate 44 is treated by beam 42 .
  • the features 66 of the patterned resist may be used as a mask in a lift-off process following deposition of the layer 71 of deposition material in processing apparatus 40 .
  • the source 50 is energized to generate the beam 42 of energetic particles, which are directed toward the rectangular aperture 54 in the shield 52 .
  • the projection of the beam 42 through the aperture 54 defines a treatment area in the plane of the substrate 44 .
  • the substrate 44 when positioned in the treatment area by fixture 55 , is exposed to the energetic particles of beam 42 .
  • the translational stage 58 of fixture 55 translates the substrate 44 in a plane below the shield 52 and past the rectangular aperture 54 .
  • the translation is in a direction substantially orthogonal to the major dimension 65 of the aperture 54 .
  • the beam 42 impinges the exposed surface of the substrate 44 and the energetic particles in the beam 42 provide the surface treatment.
  • the energetic particles in beam 42 are resident in a layer of deposition material 71 deposited on the substrate 44 .
  • Layer 71 extends up to the base of the sidewall 68 of feature 66 , as feature 66 does not block the line-of-sight of beam 42 to substrate 44 proximate to the base of sidewall 68 . However, feature 66 shadows the substrate 44 adjacent to sidewall 70 over a width 74 . As a result, energetic particles from beam 42 do not impinge the portion of substrate 44 adjacent to sidewall 70 , and layer 71 does not accumulate or thicken over width 74 during this segment of the cycle.
  • each feature 66 is exposed continuously to beam 42 over the entire extent of the apparatus collimation angle ( FIG. 4B ), beam divergence across the minor dimension 64 ( FIG. 4A ) between edges 60 , 62 does not cause variations in the profile of layer 71 adjacent to sidewall 70 among features 66 at different locations on substrate 44 .
  • the uniformity of the flux distribution of beam 42 along its major axis 49 promotes uniformity in the profile and thickness of layer 71 across the surface of substrate 44 .
  • the motion of the translational stage 58 is stopped at an end point beneath the shield 52 after passing the rectangular aperture 54 .
  • the substrate 44 is stationary and the beam 42 is blocked by shield 52 from reaching substrate 44 .
  • the translation direction of stage 58 is then reversed so that the substrate 44 moves back toward the rectangular aperture 54 in a direction again substantially orthogonal to the major dimension 65 of the aperture 54 .
  • the exposed surface of substrate 44 is again exposed to beam 42 while in the treatment area so that the energetic particles in the beam 42 provide the surface treatment.
  • Another thickness of layer 71 deposits on the substrate 44 .
  • Layer 71 again accumulates or thickens uniformly up to the base of sidewall 68 because, over the return path to the home position, feature 66 still does not block the line-of-sight of beam 42 to substrate 44 proximate to the base of sidewall 68 . However, the feature 66 again shadows the substrate 44 adjacent to sidewall 70 over width 74 . As a result, energetic particles from beam 42 do not impinge the portion of substrate 44 adjacent to the base of sidewall 70 and, therefore, layer 71 does not accumulate or thicken over width 74 during this segment of the processing cycle.
  • the translational stage 58 returns the substrate 44 to its home position in which beam 42 is blocked by shield 52 from reaching the substrate 44 . While the fixture 55 is stationary in this home position, the rotational stage 56 rotates the substrate 44 by 180° so that sidewall 70 is closest to edge 60 and sidewall 68 is remote from edge 60 . The sidewalls 68 , 70 are aligned generally parallel with the major dimension 65 of the aperture 54 after the 180° rotation.
  • the procedure shown in FIGS. 6-8 is repeated so that the region of substrate 44 adjacent to the base of sidewall 70 (i.e., width 74 shown in FIG. 6A ) receives a surface treatment identical to the region of substrate 44 adjacent to the base of sidewall 68 (i.e., width 72 ).
  • the widths 72 and 74 are equal, neglecting the thickness of layer 71 forming on the substrate 44 across widths 72 and 74 .
  • the substrate 44 is positioned beneath aperture 54 ( FIGS. 10 and 12 ), energetic particles from the beam 42 treat the substrate 44 . Accordingly, another thickness of layer 71 deposits on the substrate 44 .
  • Layer 71 thickens up to the base of sidewall 70 over each of the two passes beneath the aperture 54 because feature 66 does not block the path of beam 42 to substrate 44 adjacent to the base of sidewall 70 . However, feature 66 shadows the substrate 44 adjacent to sidewall 68 over width 72 . As a result, energetic particles from beam 42 do not impinge the portion of layer 71 adjacent to sidewall 68 and layer 71 does not accumulate or thicken over width 72 during these segments of the cycle.
  • the rotational stage 56 rotates the substrate 44 by 180° so that sidewall 68 of feature 66 is again closest to edge 60 .
  • the procedure embodied in the segments of FIGS. 5-13 is repeated for a number of cycles sufficient to achieve a targeted processing result. For example and as described, the procedure may be repeated for a number of cycles sufficient to provide a targeted thickness of material deposition.
  • Feature 66 may be removed from substrate 44 after the targeted thickness of deposition material in layer 71 is achieved.
  • the half-cycle depicted in FIGS. 6-8 may be repeated for a number of passes past aperture 54 with sidewalls 68 , 70 aligned generally parallel with the major dimension 65 of the aperture 54 and sidewall 68 nearest to edge 60 and the substrate 44 rotated by 180° . Then, the half-cycle depicted in FIGS. 10-12 repeated for an equivalent number of cycles with sidewalls 68 , 70 aligned generally parallel with the major dimension 65 of the aperture 54 and sidewall 70 nearest to edge 60 .
  • the two half-cycles of the sequence alternate as described herein.
  • the processing apparatus 40 may be employed to perform a static etch or other wafer surface treatment under oblique beam incidence. This embodiment eliminates the 180° rotation of substrate 44 in the home position after the conclusion of each half cycle. With reference to either the half cycle shown in FIGS. 5-9 or the half cycle shown in FIGS. 9-13 , the substrate 44 is translated past the aperture 54 without using rotational stage 56 to change the angular orientation of the substrate 44 .
  • the substrate 44 may be held stationary and the source 50 and aperture 54 are moved relative to the substrate 44 so that the deposition flux is scanned across the surface of the substrate 44 .
  • the beam 42 emitted by a source 50 a has a flux distribution of energetic particles that is symmetrical relative to the plane of the motion of fixture 55 .
  • Shield 52 includes a second rectangular aperture 54 a that is identical in major dimension 64 and minor dimension 65 to rectangular aperture 54 .
  • the rectangular apertures 54 , 54 a are preferably positioned symmetrically relative to the centerline 59 of the source 50 (i.e., symmetrically to energetic particles plume distribution), although the invention is not so limited.
  • This symmetry causes the surface treatment (e.g., deposition or etch) to be substantially identical adjacent to both sidewalls 68 , 70 ( FIG. 5A ) of feature 66 when the substrate 44 is translated by the translational stage 58 past the rectangular apertures 54 , 54 a .
  • This embodiment of the invention does not require a 180° rotation to produce symmetrical substrate treatment proximate to the base of the sidewalls 68 , 70 of features 66 projecting from substrate 44 .
  • the vacuum chamber 46 of processing apparatus 40 may include at least two sources 80 , 82 , each of which is substantially identical to source 50 , in which the emitted energetic particles may have different or identical characteristics.
  • Associated with each source 80 , 82 is a corresponding one of at least two rectangular apertures 84 , 86 , each of which is substantially identical to aperture 54 .
  • the apparatus 40 is configured and the source 80 and aperture 84 are arranged such that substrate 44 is impinged by energetic particles from source 80 only when in the line-of-sight of source 80 as viewed through aperture 84 .
  • apparatus 40 is configured and source 82 and aperture 86 are arranged such that substrate 44 is impinged by energetic particles from source 82 only when in the line-of-sight of source 82 as viewed through aperture 86 .
  • the sources 80 , 82 may be used to deposit individual layers of a multilayer structure.
  • source 80 may be used to etch substrate 44 and source 82 may be used to deposit a layer on substrate 44
  • source 80 may deposit a layer on substrate 44 and source 82 may be used to ion beam process the layer on substrate 44 under an oblique angle of incidence.
  • Other combinations of surface treatments are contemplated by the invention, as is the presence of more than two sources and associated apertures inside vacuum chamber 46 for depositing additional layers, performing additional dry etches, or otherwise ion beam processing the substrate 44 .
  • references herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference. It is understood that various other frames of reference may be employed without departing from the spirit and scope of the invention. For example, a person of ordinary skill will recognize that the arrangement of the source 50 ( FIG. 3 ) and the fixture 55 may be inverted so that the substrate 44 is above the source 50 .

Abstract

Method and apparatus for processing a substrate with a beam of energetic particles. The beam is directed from a source through a rectangular aperture in a shield positioned between the source and substrate to a treatment zone in a plane of substrate movement. Features on the substrate are aligned parallel to a major dimension of the rectangular aperture and the substrate is moved orthogonally to the aperture's major dimension. The beam impinges the substrate through the aperture during movement. The substrate may be periodically rotated by approximately 180° to reorient the features relative to the major dimension of the rectangular aperture. The resulting treatment profile is symmetrical about the sides of the features oriented toward the major dimension of the rectangular aperture.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • The present application is a continuation of application No. Ser. 10/915,745, filed Aug. 11, 2004, which claims the benefit of U.S. Provisional Application Ser. No. 60/494,281, filed Aug. 11, 2003, the disclosure of which is hereby incorporated herein by reference in its entirety.
  • FIELD OF THE INVENTION
  • This invention relates generally to materials processing and, more particularly, to apparatus and methods for processing or treating the surface of a substrate with a beam of energetic particles.
  • BACKGROUND OF THE INVENTION
  • Sputter deposition and ion beam deposition (IBD) are familiar methods for depositing thin film materials. These deposition processes require deposition on substrates with particular topographical features that affect the distribution and properties of deposited material across the substrate. For example, lift-off deposition processes in which thin films are deposited over a pattern of photoresist features are used in many important thin film device fabrication processes.
  • IBD is particularly well suited for lift-off deposition processes due to some unique advantages of the process, including low process pressures and directional deposition. As a result, the lift-off step is extremely clean and repeatable down to critical dimensions less than 0.5 microns. Primarily because of these advantages, IBD has become the dominant method for depositing stabilization layers for thin film magnetic heads as a lift-off step is required subsequent to the deposition of the stabilizing material. In addition to good lift-off properties, IBD films have extremely good magnetic properties. The substrate may be tilted to different angles to optimize the properties of the IBD deposited film and rotated to average out non-uniformities introduced by the tilting.
  • With reference to FIG. 1, an IBD system generally includes a deposition gun 10 that directs an energized beam 12 of ions to a target 14 of material to be deposited. The ion beam 12 sputters material from a finite, well-confined source region on the target 14 to generate a beam 16 of sputtered target material. A substrate 18 is held on a fixture 20 and positioned so that the beam 16 impinges the substrate 18. The target 14 is approximately the size of substrate 18, which is located the equivalent of a few substrate diameters away from the target 14. The fixture 20 is configured to tilt the normal to the surface of substrate 18 at an angle θ relative to the direction of the deposition flux 16 and to continuously rotate the substrate 18 about the surface normal.
  • The divergence angle of the beam 16 depends on the geometrical relationship between the target 14 and substrate 18. One contribution to the divergence angle arises because the ion beam 12 is focused on the target 14 to prevent ion beam sputtering of nearby components in the process chamber. Another contribution to the divergence angle originates from the target-to-substrate distances that are limited due to the deposition rate reduction.
  • Beam divergence in IBD systems cause asymmetrical shadowing of the substrate surface by the features projecting from the substrate surface, such as the features characterizing a photoresist pattern. This causes the deposited material to have an asymmetric deposition profile relative to the features, which reduces the area over which lift-off is acceptable and reduces magnetic property uniformity.
  • The substrate may be oriented relative to the flux direction so that its surface normal is aligned with the line of sight between substrate and the deposition flux source region on the sputter target, which is typically the center of the target, and rotated about its centerline. Under these circumstances, the substrate is not shadowed by the feature on the inboard or radially-innermost side of the feature. In contrast, the substrate will always be shadowed by the feature on the outboard or radially-outermost side of the feature. The degree of shadowing on the outboard side increases with increasing radial separation between the feature and the substrate centerline and also with increasing divergence of the deposition flux. The resulting deposition profile is highly asymmetrical.
  • Tilting the surface normal with respect to the line of sight between the target and the substrate during deposition improves the symmetry of the deposition profile by reducing the substrate shadowing on the outboard side of features. However, the nature of the substrate shadowing on the outboard and inboard sides of the feature depends on the azimuthal position of the feature as the substrate is rotated, as described below.
  • FIGS. 2A and 2B illustrate the shadow cast on a substrate 21 by the inboard side and the outboard side of a feature 26 projecting from substrate 21 at a location between the substrate center and peripheral edge. FIG. 2A shows the feature 26 with the substrate 21 oriented at a first azimuthal angle and tilted relative to a target 28 of an IBD system. The outboard side of the feature 26 shadows the substrate 21 over a distance 24. The inboard side of the feature 26 does not shadow the substrate 21. FIG. 2B shows feature 26 with the substrate 21 oriented at a second azimuthal angle that locates feature 26 at an angular position diametrically opposite to the position at the first azimuthal angle. The inboard side of the feature 26 shadows the substrate 21 over a distance 22, which is a smaller distance than distance 24. The outboard side of the feature 26 does not shadow the substrate 21 at the second azimuthal angle.
  • Despite substrate tilting, the shadowing of the substrate 21 over distance 24 on the outboard side of the feature 26 differs from the shadowing of the substrate 21 over distance 22 by the inboard side. In particular, the profile of the deposited material will differ on the inboard and outboard sides of the feature 26 adjacent to the sidewalls of feature 26. Specifically, the longer shadow cast over distance 24 adjacent to the outboard side results in a relatively longer taper of the deposited material than adjacent to the inboard side.
  • The shadowed substrate region on the outboard side of the feature 26 also experiences a lower deposition rate because it is effectively further away from the target 28 when the substrate 21 is oriented at the first azimuthal angle. The inboard substrate region experiences a higher deposition rate because it is closer to the target 28 when the substrate 21 is oriented at the second azimuthal angle. Therefore, the deposited material is thinner on the outboard side of feature 26, due to the outboard region being further away from the target 28. The asymmetry and difference in deposition rate, which originate from the beam divergence of the target 28, increase with increasing radial distance from the center of substrate 21.
  • Feature 30, which is at the same radial distance from the substrate center as feature 26, experiences the same asymmetries and differences in deposition rate as feature 26. On the other hand, the deposited material is radially symmetrical about feature 32 at the substrate center because feature 32 symmetrically shadows the substrate 21 adjacent to its sidewalls. Other types of surface treatments, such as etching, will have similar asymmetrical treatment profiles about the features 26 and 30.
  • It would therefore be desirable to provide a deposition method capable of eliminating or, at the least, significantly reducing the inboard and outboard asymmetries of the deposited material adjacent to a feature projecting from the surface of a substrate.
  • SUMMARY OF THE INVENTION
  • In accordance with an embodiment of the invention, a system for processing a substrate includes a vacuum chamber, a source positioned inside the vacuum chamber, a fixture adapted to hold the substrate inside the vacuum chamber at a position spaced from the source, and a shield positioned between the source and the fixture. The source is configured to emit a beam of energetic particles having a substantially uniform flux distribution across a major dimension. The fixture is configured to translate the substrate in a plane spaced from, and generally parallel with, a plane containing the source. The fixture is also configured to orient the substrate angularly relative to the source. The shield includes a rectangular aperture having a major dimension oriented substantially parallel to the major dimension of the source. The source is arranged relative to the rectangular aperture to transmit the beam over a treatment area in the plane of the substrate. The fixture is adapted to translate the substrate substantially perpendicular to the major dimension of the rectangular aperture for passing the substrate through the treatment area so that the energetic particles in the beam treat the substrate.
  • The location of the rectangular aperture may be movable with respect to the source for changing the average incident angle of the beam relative to the surface normal of the substrate. The rectangular aperture may also have an adjustable width in a direction perpendicular to the major axis or dimension of the source for changing the angular divergence of the flux of energetic particles in the beam.
  • In accordance with another embodiment of the invention, a method of processing a substrate includes directing a beam of energetic particles having a substantially uniform flux distribution over a major dimension through a rectangular aperture having a major dimension oriented substantially parallel to the major dimension of the source and orienting the substrate such that a plurality of parallel features on the substrate are aligned substantially parallel to the major dimension of the rectangular aperture. The method further includes providing mutual orthogonal movement between the substrate and the beam of energetic particles and exposing the substrate to the beam of energetic particles transmitted through the rectangular aperture.
  • Preferably, the mutual orthogonal movement comprises moving the substrate substantially orthogonal to the major dimension of the rectangular aperture. Processing may be performed on one side of the feature if the substrate is moved relative to the aperture without rotation. Alternatively, the substrate may be processed adjacent to both sides of the feature if the substrate is rotated 180° after each cycle of the substrate surface treatment, as described herein.
  • Various objects and advantages of the invention shall be made apparent from the accompanying drawings of the illustrative embodiment and the description thereof.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and, together with a general description of the invention given above, and the detailed description of the embodiments given below, serve to explain the principles of the invention.
  • FIG. 1 is a diagrammatic view of a conventional IBD system in accordance with the prior art;
  • FIGS. 2A and 2B are diagrammatic views illustrating the asymmetrical deposition profile for features on a substrate of the conventional IBD system of FIG. 1;
  • FIG. 3 is a diagrammatic side view of a substrate processing apparatus in accordance with the invention;
  • FIG. 3A is a detailed view of a portion of FIG. 3;
  • FIGS. 3B and 3C are diagrammatic perspective views of the shield of FIG. 3 showing the ability to adjust the position of the aperture relative to the source and the ability to adjust the width of the aperture, respectively;
  • FIGS. 4A and 4B are diagrammatic perspective views of the substrate processing apparatus of FIG. 3 illustrating the geometrical relationships between the source, the aperture, and the substrate;
  • FIG. 5 is a diagrammatic perspective view of the substrate processing apparatus of FIG. 3 at an initial stage of a processing method in accordance with an embodiment of the invention;
  • FIG. 5A is a detailed view of a portion of FIG. 5 illustrating the orientation of one of the features projecting from the substrate during processing;
  • FIG. 6 is a diagrammatic perspective view of the substrate processing apparatus of FIG. 3 at a subsequent stage of the processing method;
  • FIG. 6A is a cross-sectional view of the feature of FIG. 5A receiving treatment while being translated past the aperture during processing;
  • FIGS. 7-9 are diagrammatic perspective views of the substrate processing apparatus of FIG. 6 at subsequent stages of the processing method;
  • FIG. 9A is a detailed view illustrating the feature of FIG. 6A during processing after the substrate is rotated by 180° and immediately before the second half-cycle of the processing cycle;
  • FIG. 10 is a diagrammatic perspective view of the substrate processing apparatus of FIG. 9 at a subsequent stage of the processing method;
  • FIG. 10A is a cross-sectional view of the feature of FIG. 9A receiving treatment while being translated past the aperture with the feature reoriented by 180° ;
  • FIGS. 11-13 are diagrammatic perspective views of the substrate processing apparatus of FIG. 10 at subsequent stages of the processing method;
  • FIG. 13A is a detailed view of a portion of FIG. 13 illustrating feature orientation during processing and after a full cycle;
  • FIGS. 14 and 14A are diagrammatic perspective views of a substrate processing apparatus in accordance with an alternative embodiment of the invention; and
  • FIG. 15 is a diagrammatic perspective view of a substrate processing apparatus in accordance with another alternative embodiment of the invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • With reference to FIGS. 3 and 3A, a processing apparatus 40 includes a source 50, which is preferably rectangular but not so limited, adapted to emit a beam 42 of energetic particles. The energetic particles from source 50 may etch a substrate 44, deposit a thin film or layer of material on substrate 44, or otherwise treat substrate 44. The source 50 may have a geometrical shape similar to the geometrical shape of aperture 54, which reduces the unused portion of the beam 42 from the source 50 that does not treat the substrate 44. The source 50 is characterized by a major dimension 49 (FIG. 4A) and a minor dimension 51 (FIG. 4A). Beam 42 has a substantially uniform flux distribution along the major dimension 49 of the source 50.
  • The apparatus 40 includes a vacuum chamber 46 that is isolated from the surrounding environment. Vacuum chamber 46 may be evacuated to a suitable vacuum pressure by a vacuum pump 48 as recognized by a person of ordinary skill in the art. A sealable port (not shown) is provided in the vacuum chamber 46 for accessing the interior of vacuum chamber 46 to exchange processed substrates 44 for unprocessed substrates 44.
  • The source 50 of beam 42 is any ion beam source capable of generating energetic particles for performing a thin film deposition, an etching process, a reactive ion etching process, a sputtering process, or other ion beam treatment. For example, the source 50 may be a magnetron of with a sputtering target of any material that provides thin film deposition. Another example is a rectangular ion beam source 50 with flat or dished grid ion optics to emit ions in direction to the aperture 54 that provides a substrate surface etch. In a preferred embodiment of the invention, the source 50 is an ion beam deposition (MD) source including a target of deposition material sputtered by a beam of inert gas ions and a magnetron confining a plasma proximate to the target that provides the source of the gas ions. Such sources 50 and, in particular, rectangular sources 50, require no further description herein in order to be understood by persons of ordinary skill.
  • A shield 52 is positioned between the substrate 44 and the source 50 so that the substrate 44 and source 50 are positioned in different parallel planes. The aperture 54 is located in a plane that is substantially parallel to the plane of the substrate 44. The shield 52 has a rectangular opening or aperture 54 characterized by a major axis or dimension 65 (FIG. 4A) substantially aligned with the major dimension 49 (FIG. 4A) of the source 50 along which beam 42 is uniform. The aperture 54 in shield 52 collimates beam 42 so that only a fraction of energetic particles emitted from source 50 are transmitted through the aperture 54 and strike the substrate 44 to thereby treat the substrate 44. Typically, the major dimension 65 (FIG. 4A) of aperture 54 is greater than the diameter of substrate 44 and the minor dimension 64 (FIG. 4A) of aperture 54 is less than or equal to the diameter of substrate 44.
  • With continued reference to FIGS. 3 and 3A, the substrate 44 is held and supported by a two-stage fixture 55 having a rotational stage 56 adapted to rotate the substrate 44 in at least one rotational sense about an azimuthal axis 45. Rotation of the substrate 44 about the azimuthal axis 45 changes the orientation of features 66 (FIG. 5A) on the substrate 44 relative to the direction of the beam 42. A translational stage 58 of fixture 55, which supports the rotational stage 56, is adapted to move or translate the substrate 44 linearly and bi-directionally (i.e., reversibly) relative to the aperture 54. The translational stage 58 is movable over a range of motion adequate to position substrate 44 in flux-blocked positions on opposite sides of aperture 54 in which the shield 52 is interposed between the substrate 44 and source 50. The movements of stages 56 and 58 are mutually independent so that the substrate 44 may be translated by stage 58 without rotation and, conversely, the substrate 44 may be rotated by stage 56 without translation. The translational stage 58 translates the substrate 44 in a direction approximately orthogonal to the major dimension 65 (FIG. 4A) of aperture 54.
  • In an alternative embodiment of the invention, the translational stage 58 may be replaced by a planetary stage (not shown) that revolves the substrate 44 and rotational stage 56 about a relatively large radius of curvature in a plane parallel to the substrate plane. The radius of the curve traced by the substrate 44 when moved by the planetary stage is large enough to be approximately linear over the minor dimension 64 of aperture 54. Preferably, the center of the source 50 and aperture 54, and the arc traced by the center of fixture 55 are in a cylindrical plane with a radius of curvature exceeding the distance between the source 50 and aperture 54.
  • With reference to FIG. 4A, the position of aperture 54 with respect to the source 50 determines the incident angle at which energetic particles from the beam 42 (i.e., particle flux) impinge the substrate 44. The source 50 may be considered to be a line source having a centerline 59 extending across its major dimension 49. The average incident angle, α, of the particle flux is defined as the inverse sine of the quotient of a dividend given by the perpendicular distance from the centerline 59 of source 50 to the plane of the shield 52, labeled with the alphanumeric character “n” in FIG. 4A, divided by a divisor given by the distance from the centerline 59 of the source 50 to the mid-line of the aperture 54 defined between edges 60, 62, labeled with the alphanumeric character “m” in FIG. 4A. As is apparent, the average incident angle increases (i.e., becomes more oblique) as the distance from centerline 59 to the center of aperture 54 increases.
  • With reference to FIG. 4B, the minor dimension 64 (FIG. 4A) of the aperture 54 determines the collimation of beam 42. The collimation angle is determined from the angular arc subtended from the source 50 to the opposite edges 60, 62 of the aperture 54 and defines the angular distribution of the flux about the average incident angle. Edge 60 is most distant from source 50 and edge 62 is closest to source 50. The distance in the plane of the shield 52 between edges 60, 62 specifies the minor dimension 64 of the aperture 54. The aperture 54 also has a major dimension 65 orthogonal to the minor dimension 64. The collimation angle, φ, is equal to the difference between the inverse cosine of the quotient of a dividend given by the distance, n, divided by a divisor given by the distance from the centerline 59 to edge 60 minus the inverse cosine of the quotient of a dividend given by the distance, n, divided by a divisor given by the distance from the centerline 59 to edge 62. As is apparent, the collimation angle for the deposition flux may be reduced by reducing the separation between edges 60, 62.
  • With reference to FIGS. 3B and 3C, the shield 52 may preferably include two members 52 a, 52 b that are relatively movable in a direction perpendicular to the major dimension 49 (FIG. 4A) of the source 50. The location of the aperture 54 may be adjusted relative to the source 50 by moving the members 52 a, 52 b toward or away from the source 50, as shown in FIG. 3B. This relocation of the aperture 54 is effective for changing the average incident angle of the beam 42 relative to the plane of the substrate 44. The movement of members 52 a, 52 b is illustrated as increasing the average incident angle relative to the arrangement shown in FIG. 4A, although not so limited. The minor dimension 64 (FIG. 4A) of aperture 54 may be adjusted by moving the members 52 a, 52 b relative to each other so that the distance between edges 60, 62 changes, as shown in FIG. 3C. This width adjustment of aperture 54 is effective for changing the collimation angle of the beam 42 across the treatment area. The movement of members 52 a, 52 b is illustrated as increasing distance to provide a minor dimension 64 a greater than minor dimension 64 (FIG. 4B), which increases the collimation angle relative to the arrangement shown in FIG. 4B. However, moving the edges 60, 62 of the members 52 a, 52 b closer together will decrease the collimation angle relative to the arrangement shown in FIG. 4B.
  • With reference to FIGS. 5-13 in which like reference numerals refer to like features in FIGS. 3, 3A, 4A, and 4B, a method of exposing the substrate 44 to a beam 42 of energetic particles is described that provides a symmetrical treatment profile on opposite sides of features 66 projecting upwardly from the substrate 44. Beam 42 will be described as a beam of deposition material that incrementally accumulates as a thin film on substrate 44, although the invention is not so limited. Alternatively, the beam 42 may etch the substrate 44 by sputtering, chemical reaction, or a combination thereof, remove contaminants from the surface of substrate 44, or perform another type of ion beam treatment of substrate 44. The method will be described in terms of a single processing cycle or sequence including two distinguishable half-cycles, which may be repeated or iterated to thicken the deposited thin film or achieve the desired surface treatment.
  • With specific reference to FIGS. 5 and 5A, substrate 44 is loaded onto the fixture 55 in a home position in which the substrate 44 is shielded from source 50 by the shield 52. Accordingly, the beam 42 does not treat the substrate 44 in the home position. While the substrate 44 is stationary in the home position, the rotational stage 56 of fixture 55 aligns substrate 44 so that each of the features 66, exemplified by feature 66 visible in FIG. 5A, has opposite first and second sidewalls 68, 70 aligned generally parallel with the major dimension 65 of the aperture 54 and so that sidewall 68 is closest to edge 60.
  • The features 66 may be, for example, portions of a patterned photoresist layer. To that end, resist is applied by, for example, a spin-on process to substrate 44, exposed with radiation projected through a photomask to impart a latent projected image pattern characteristic of features 66, and developed to transform the latent image pattern into a final image pattern. The resist is stripped from the substrate 44 after the substrate 44 is treated by beam 42. The features 66 of the patterned resist may be used as a mask in a lift-off process following deposition of the layer 71 of deposition material in processing apparatus 40.
  • The source 50 is energized to generate the beam 42 of energetic particles, which are directed toward the rectangular aperture 54 in the shield 52. The projection of the beam 42 through the aperture 54 defines a treatment area in the plane of the substrate 44. The substrate 44, when positioned in the treatment area by fixture 55, is exposed to the energetic particles of beam 42.
  • With reference to FIGS. 6 and 6A, the translational stage 58 of fixture 55 translates the substrate 44 in a plane below the shield 52 and past the rectangular aperture 54. The translation is in a direction substantially orthogonal to the major dimension 65 of the aperture 54. While the substrate 44 is in the line of sight between the source 50 and aperture 54, the beam 42 impinges the exposed surface of the substrate 44 and the energetic particles in the beam 42 provide the surface treatment. In this exemplary embodiment, the energetic particles in beam 42 are resident in a layer of deposition material 71 deposited on the substrate 44.
  • Layer 71 extends up to the base of the sidewall 68 of feature 66, as feature 66 does not block the line-of-sight of beam 42 to substrate 44 proximate to the base of sidewall 68. However, feature 66 shadows the substrate 44 adjacent to sidewall 70 over a width 74. As a result, energetic particles from beam 42 do not impinge the portion of substrate 44 adjacent to sidewall 70, and layer 71 does not accumulate or thicken over width 74 during this segment of the cycle.
  • Because each feature 66 is exposed continuously to beam 42 over the entire extent of the apparatus collimation angle (FIG. 4B), beam divergence across the minor dimension 64 (FIG. 4A) between edges 60, 62 does not cause variations in the profile of layer 71 adjacent to sidewall 70 among features 66 at different locations on substrate 44. In addition, the uniformity of the flux distribution of beam 42 along its major axis 49 promotes uniformity in the profile and thickness of layer 71 across the surface of substrate 44.
  • With reference to FIGS. 7 and 8, the motion of the translational stage 58 is stopped at an end point beneath the shield 52 after passing the rectangular aperture 54. At the end point, the substrate 44 is stationary and the beam 42 is blocked by shield 52 from reaching substrate 44. The translation direction of stage 58 is then reversed so that the substrate 44 moves back toward the rectangular aperture 54 in a direction again substantially orthogonal to the major dimension 65 of the aperture 54. The exposed surface of substrate 44 is again exposed to beam 42 while in the treatment area so that the energetic particles in the beam 42 provide the surface treatment. Another thickness of layer 71 deposits on the substrate 44. Layer 71 again accumulates or thickens uniformly up to the base of sidewall 68 because, over the return path to the home position, feature 66 still does not block the line-of-sight of beam 42 to substrate 44 proximate to the base of sidewall 68. However, the feature 66 again shadows the substrate 44 adjacent to sidewall 70 over width 74. As a result, energetic particles from beam 42 do not impinge the portion of substrate 44 adjacent to the base of sidewall 70 and, therefore, layer 71 does not accumulate or thicken over width 74 during this segment of the processing cycle.
  • With reference to FIG. 9, the translational stage 58 returns the substrate 44 to its home position in which beam 42 is blocked by shield 52 from reaching the substrate 44. While the fixture 55 is stationary in this home position, the rotational stage 56 rotates the substrate 44 by 180° so that sidewall 70 is closest to edge 60 and sidewall 68 is remote from edge 60. The sidewalls 68, 70 are aligned generally parallel with the major dimension 65 of the aperture 54 after the 180° rotation.
  • With reference to FIGS. 10-12, the procedure shown in FIGS. 6-8 is repeated so that the region of substrate 44 adjacent to the base of sidewall 70 (i.e., width 74 shown in FIG. 6A) receives a surface treatment identical to the region of substrate 44 adjacent to the base of sidewall 68 (i.e., width 72). In other words, the widths 72 and 74 are equal, neglecting the thickness of layer 71 forming on the substrate 44 across widths 72 and 74. While the substrate 44 is positioned beneath aperture 54 (FIGS. 10 and 12), energetic particles from the beam 42 treat the substrate 44. Accordingly, another thickness of layer 71 deposits on the substrate 44.
  • Layer 71 thickens up to the base of sidewall 70 over each of the two passes beneath the aperture 54 because feature 66 does not block the path of beam 42 to substrate 44 adjacent to the base of sidewall 70. However, feature 66 shadows the substrate 44 adjacent to sidewall 68 over width 72. As a result, energetic particles from beam 42 do not impinge the portion of layer 71 adjacent to sidewall 68 and layer 71 does not accumulate or thicken over width 72 during these segments of the cycle.
  • When the substrate 44 is returned by the translation stage 58 to the home position in FIG. 13, the rotational stage 56 rotates the substrate 44 by 180° so that sidewall 68 of feature 66 is again closest to edge 60. The procedure embodied in the segments of FIGS. 5-13 is repeated for a number of cycles sufficient to achieve a targeted processing result. For example and as described, the procedure may be repeated for a number of cycles sufficient to provide a targeted thickness of material deposition. Feature 66 may be removed from substrate 44 after the targeted thickness of deposition material in layer 71 is achieved.
  • In an alternative embodiment of the invention, the half-cycle depicted in FIGS. 6-8 may be repeated for a number of passes past aperture 54 with sidewalls 68, 70 aligned generally parallel with the major dimension 65 of the aperture 54 and sidewall 68 nearest to edge 60 and the substrate 44 rotated by 180° . Then, the half-cycle depicted in FIGS. 10-12 repeated for an equivalent number of cycles with sidewalls 68, 70 aligned generally parallel with the major dimension 65 of the aperture 54 and sidewall 70 nearest to edge 60. Preferably, the two half-cycles of the sequence alternate as described herein.
  • The result of the processing procedure is that neither sidewall 68, 70 constitutes an inboard or outboard side of feature 66 as the features 66 are alternatively aligned relative to the major dimension 65 (FIG. 4A) of the aperture 54 and translated relative to beam 42. This results in a symmetrical deposition or treatment profile on substrate 44 adjacent to the sidewalls 68, 70 of feature 66. In addition, the deposition or processing profile does not exhibit a radial dependence relative to the center of substrate 44.
  • In an alternative embodiment, the processing apparatus 40 may be employed to perform a static etch or other wafer surface treatment under oblique beam incidence. This embodiment eliminates the 180° rotation of substrate 44 in the home position after the conclusion of each half cycle. With reference to either the half cycle shown in FIGS. 5-9 or the half cycle shown in FIGS. 9-13, the substrate 44 is translated past the aperture 54 without using rotational stage 56 to change the angular orientation of the substrate 44.
  • In another alternative embodiment of the invention, the substrate 44 may be held stationary and the source 50 and aperture 54 are moved relative to the substrate 44 so that the deposition flux is scanned across the surface of the substrate 44.
  • With reference to FIGS. 14 and 14A in which like reference numerals refer to like features in FIGS. 3-13 and in an alternative embodiment of the invention, the beam 42 emitted by a source 50 a has a flux distribution of energetic particles that is symmetrical relative to the plane of the motion of fixture 55. Shield 52 includes a second rectangular aperture 54 a that is identical in major dimension 64 and minor dimension 65 to rectangular aperture 54. The rectangular apertures 54, 54 a are preferably positioned symmetrically relative to the centerline 59 of the source 50 (i.e., symmetrically to energetic particles plume distribution), although the invention is not so limited. This symmetry causes the surface treatment (e.g., deposition or etch) to be substantially identical adjacent to both sidewalls 68, 70 (FIG. 5A) of feature 66 when the substrate 44 is translated by the translational stage 58 past the rectangular apertures 54, 54 a. This embodiment of the invention does not require a 180° rotation to produce symmetrical substrate treatment proximate to the base of the sidewalls 68, 70 of features 66 projecting from substrate 44.
  • With reference to FIG. 15 in which like reference numerals refer to like features in FIGS. 3-13 and in an alternative embodiment of the invention, the vacuum chamber 46 of processing apparatus 40 may include at least two sources 80, 82, each of which is substantially identical to source 50, in which the emitted energetic particles may have different or identical characteristics. Associated with each source 80, 82 is a corresponding one of at least two rectangular apertures 84, 86, each of which is substantially identical to aperture 54. The apparatus 40 is configured and the source 80 and aperture 84 are arranged such that substrate 44 is impinged by energetic particles from source 80 only when in the line-of-sight of source 80 as viewed through aperture 84. Similarly, apparatus 40 is configured and source 82 and aperture 86 are arranged such that substrate 44 is impinged by energetic particles from source 82 only when in the line-of-sight of source 82 as viewed through aperture 86. The sources 80, 82 may be used to deposit individual layers of a multilayer structure. Alternatively, source 80 may be used to etch substrate 44 and source 82 may be used to deposit a layer on substrate 44, or source 80 may deposit a layer on substrate 44 and source 82 may be used to ion beam process the layer on substrate 44 under an oblique angle of incidence. Other combinations of surface treatments are contemplated by the invention, as is the presence of more than two sources and associated apertures inside vacuum chamber 46 for depositing additional layers, performing additional dry etches, or otherwise ion beam processing the substrate 44.
  • References herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference. It is understood that various other frames of reference may be employed without departing from the spirit and scope of the invention. For example, a person of ordinary skill will recognize that the arrangement of the source 50 (FIG. 3) and the fixture 55 may be inverted so that the substrate 44 is above the source 50.
  • While the invention has been illustrated by a description of various embodiments and while these embodiments have been described in considerable detail, it is not the intention of the applicant to restrict or in any way limit the scope of the appended claims to such detail. Additional advantages and modifications will readily appear to those skilled in the art. The invention in its broader aspects is therefore not limited to the specific details, representative methods, and illustrative examples shown and described. Accordingly, departures may be made from such details without departing from the spirit or scope of applicants' general inventive concept.

Claims (12)

1. A method of processing a substrate having a surface with a plurality of features aligned with a parallel relationship and an azimuthal axis normal to the surface, the method comprising:
loading a substrate onto a movable fixture;
positioning the movable fixture with the substrate in a first flux blocked area;
orienting the substrate about the azimuthal axis with a first angular orientation such that the features on the substrate are angularly aligned relative to the major dimension of the beam of energetic particles;
generating a beam of energetic particles having a substantially uniform flux distribution over a major dimension;
directing the beam toward a treatment area in a plane of the substrate;
without changing the first angular orientation, translating the substrate in a first movement substantially orthogonal to the major dimension of the beam of energetic particles toward a second flux-blocked area separated from the first flux-blocked area by the treatment area;
as the substrate is translated toward the second flux-blocked area and over a portion of the first movement, exposing the substrate to the beam of particles in the treatment area;
while the substrate is at the second flux-blocked area and without changing the first angular orientation, reversing the translation in a second movement of the substrate toward the first flux-blocked area;
as the substrate is translated towards the first blocked area, exposing the substrate to the beam of energetic particles in the treatment area over a portion of the second movement; and
after the substrate reaches the first flux-blocked area, rotating the substrate around the azimuthal axis to a second angular orientation that reorients the features carried by the substrate relative to the major dimension of the beam of energetic particles.
2. The method of claim 1 wherein the substrate is translated between the first and the second flux-blocked areas for a plurality of times by repeating the first and the second movements, while each time the substrate reaches the first blocked area rotating the substrate around the azimuthal axis to a second angular orientation that reorients the features carried by the substrate relative to the major dimension of the beam of energetic particles.
3. The method of claim 2 wherein motion of the substrate comprises:
translating the substrate through the treatment area between an edge of the first flux-blocked area and an edge of the second flux-blocked area so that the substrate is exposed to the beam of energetic particles multiple times for receiving treatment.
4. The method of claim 1 further comprising:
adjusting a separation of the substrate from the source, and
adjusting a minor dimension of the treatment area between an edge of the first flux-blocked area and an edge of the second flux-blocked area to collimate the beam of energetic particles directed toward the treatment area, and control uniformity of the treatment process along major direction.
5. The method of claim 1 further comprising:
adjusting a separation of the substrate from the source for defining an average incidence angle of the beam relative to the plane of the substrate.
6. The method of claim 1 further comprising:
adjusting the position of the treatment area between an edge of the first flux-blocked area and an edge of the second flux-blocked area relative to the source for defining an average incidence angle of the beam relative to the plane of the substrate.
7. The method of claim 1 further comprising:
adjusting the plane of the substrate motion relative to the source for defining an average incidence angle of the beam relative to the plane of the substrate.
8. The method of claim 1 wherein exposing the substrate comprises:
depositing a layer of material on the substrate that contains the energetic particles from the beam.
9. The method of claim 8 wherein the beam of energetic particles is generated by ion beam sputtering.
10. The method of claim 8 wherein the beam of energetic particles is generated by magnetron sputtering.
11. The method of claim 1 wherein material is etched from the substrate when exposed to the energetic particles in the beam.
12. The method of claim 11 wherein the energetic particles are inert gas ions generated by an ion source.
US12/977,430 2003-08-11 2010-12-23 Method and apparatus for surface processing of a substrate Abandoned US20110089022A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/977,430 US20110089022A1 (en) 2003-08-11 2010-12-23 Method and apparatus for surface processing of a substrate

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US49428103P 2003-08-11 2003-08-11
US10/915,745 US7879201B2 (en) 2003-08-11 2004-08-11 Method and apparatus for surface processing of a substrate
US12/977,430 US20110089022A1 (en) 2003-08-11 2010-12-23 Method and apparatus for surface processing of a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/915,745 Continuation US7879201B2 (en) 2003-08-11 2004-08-11 Method and apparatus for surface processing of a substrate

Publications (1)

Publication Number Publication Date
US20110089022A1 true US20110089022A1 (en) 2011-04-21

Family

ID=34138833

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/915,745 Active 2027-03-08 US7879201B2 (en) 2003-08-11 2004-08-11 Method and apparatus for surface processing of a substrate
US12/977,430 Abandoned US20110089022A1 (en) 2003-08-11 2010-12-23 Method and apparatus for surface processing of a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/915,745 Active 2027-03-08 US7879201B2 (en) 2003-08-11 2004-08-11 Method and apparatus for surface processing of a substrate

Country Status (1)

Country Link
US (2) US7879201B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140014497A1 (en) * 2012-07-16 2014-01-16 Veeco Instruments, Inc. Film Deposition Assisted by Angular Selective Etch on a Surface

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7879201B2 (en) * 2003-08-11 2011-02-01 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US9206500B2 (en) * 2003-08-11 2015-12-08 Boris Druz Method and apparatus for surface processing of a substrate using an energetic particle beam
US20050066897A1 (en) * 2003-09-29 2005-03-31 Seagate Technology Llc System, method and aperture for oblique deposition
US7375012B2 (en) * 2005-02-28 2008-05-20 Pavel Kornilovich Method of forming multilayer film
JP2007025119A (en) * 2005-07-14 2007-02-01 Seiko Epson Corp Manufacturing device and method of alignment layer, liquid crystal device, and electronic appliance
JP2007025117A (en) * 2005-07-14 2007-02-01 Seiko Epson Corp Manufacturing apparatus for alignment layer, liquid crystal display device, and electronic apparatus
JP4329738B2 (en) * 2005-07-14 2009-09-09 セイコーエプソン株式会社 Liquid crystal device manufacturing apparatus and liquid crystal device manufacturing method
JP4642789B2 (en) * 2006-07-14 2011-03-02 セイコーエプソン株式会社 Film forming apparatus and film forming method
US8771483B2 (en) * 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
JP2010539674A (en) * 2007-09-18 2010-12-16 ビーコ・インスツルメンツ・インコーポレーテッド Surface treatment method and apparatus for substrate using energetic particle beam
JP2009139497A (en) * 2007-12-04 2009-06-25 Canon Inc Method and apparatus for manufacturing alignment layer
US8411270B2 (en) * 2008-01-17 2013-04-02 International Business Machines Corporation Monitoring stage alignment and related stage and calibration target
US20100044213A1 (en) * 2008-08-25 2010-02-25 Applied Materials, Inc. Coating chamber with a moveable shield
KR101576205B1 (en) 2008-12-11 2015-12-10 삼성전자주식회사 Extreme ultraviolet photomask and Method and Apparatus for Fabricating the Same
WO2011058812A1 (en) * 2009-11-10 2011-05-19 キヤノンアネルバ株式会社 Film formation method by means of sputtering apparatus, and sputtering apparatus
KR101239575B1 (en) * 2010-08-16 2013-03-05 고려대학교 산학협력단 Apparatus for forming gas barrier and method for forming thereof
JP6224677B2 (en) * 2012-05-09 2017-11-01 シーゲイト テクノロジー エルエルシーSeagate Technology LLC Sputtering equipment
JP5882934B2 (en) 2012-05-09 2016-03-09 シーゲイト テクノロジー エルエルシー Sputtering equipment
US9410236B2 (en) * 2012-11-29 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Sputtering apparatus and method
CN103898462B (en) * 2012-12-29 2017-08-22 深圳富泰宏精密工业有限公司 Magnetic control sputtering film plating device
US10249527B2 (en) * 2015-09-18 2019-04-02 Boe Technology Group Co., Ltd. Method of manufacturing flexible display device
CN207552434U (en) * 2017-12-14 2018-06-29 米亚索乐装备集成(福建)有限公司 A kind of Sputting film-plating apparatus for solar cell
US20190189465A1 (en) * 2017-12-18 2019-06-20 Applied Materials, Inc. Methods and apparatus for physical vapor deposition
US11414747B2 (en) * 2018-06-26 2022-08-16 Tokyo Electron Limited Sputtering device
KR102273084B1 (en) * 2018-06-29 2021-07-06 주식회사 엘지화학 Method for plasma etching process using faraday box
US11664207B2 (en) * 2018-08-10 2023-05-30 Tokyo Electron Limited Film-forming apparatus, film-forming system, and film-forming method

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3778626A (en) * 1972-07-28 1973-12-11 Western Electric Co Mechanical scan system for ion implantation
US4664935A (en) * 1985-09-24 1987-05-12 Machine Technology, Inc. Thin film deposition apparatus and method
US4793908A (en) * 1986-12-29 1988-12-27 Rockwell International Corporation Multiple ion source method and apparatus for fabricating multilayer optical films
US4814056A (en) * 1987-06-23 1989-03-21 Vac-Tec Systems, Inc. Apparatus for producing graded-composition coatings
US4855026A (en) * 1988-06-02 1989-08-08 Spire Corporation Sputter enhanced ion implantation process
US4992298A (en) * 1988-10-11 1991-02-12 Beamalloy Corporation Dual ion beam ballistic alloying process
US5067781A (en) * 1989-11-21 1991-11-26 Raytheon Company Optical elements and method of manufacture
US5240583A (en) * 1992-01-14 1993-08-31 Honeywell Inc. Apparatus to deposit multilayer films
US5334302A (en) * 1991-11-15 1994-08-02 Tokyo Electron Limited Magnetron sputtering apparatus and sputtering gun for use in the same
US5340454A (en) * 1991-04-09 1994-08-23 Leybold Aktiengesellschaft Method and apparatus for the coating of substrates
US5879519A (en) * 1988-02-08 1999-03-09 Optical Coating Laboratory, Inc. Geometries and configurations for magnetron sputtering apparatus
US5973447A (en) * 1997-07-25 1999-10-26 Monsanto Company Gridless ion source for the vacuum processing of materials
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US6056826A (en) * 1997-07-18 2000-05-02 Leybold Systems, Gmbh Vacuum coating device for coating substrates on all sides
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6402906B1 (en) * 2000-10-19 2002-06-11 Delphi Technologies, Inc. Sputtering alloy films using a crescent-shaped aperture
US6419803B1 (en) * 2001-03-16 2002-07-16 4Wave, Inc. System and method for making thin-film structures using a stepped profile mask
US6495010B2 (en) * 2000-07-10 2002-12-17 Unaxis Usa, Inc. Differentially-pumped material processing system
US6579420B2 (en) * 2001-02-09 2003-06-17 Advanced Optical Solutions, Inc. Apparatus and method for uniformly depositing thin films over substrates
US6669824B2 (en) * 2000-07-10 2003-12-30 Unaxis Usa, Inc. Dual-scan thin film processing system
US6716322B1 (en) * 2001-04-19 2004-04-06 Veeco Instruments Inc. Method and apparatus for controlling film profiles on topographic features
US20050005846A1 (en) * 2003-06-23 2005-01-13 Venkat Selvamanickam High throughput continuous pulsed laser deposition process and apparatus
US20050034979A1 (en) * 2003-08-11 2005-02-17 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US20090098306A1 (en) * 2003-08-11 2009-04-16 Veeco Instruments Inc. Method and Apparatus for Surface Processing of a Substrate Using an Energetic Particle Beam

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001247963A (en) 2000-03-03 2001-09-14 Shimadzu Corp Ecr sputter film forming apparatus
DE102004018079A1 (en) 2004-04-08 2005-10-27 Nobuyuki Sagamihara Takahashi Sputtering device includes rotation mechanism, sputtering cathode unit, movement mechanism, and sputtering mechanism which sputters when sputtering cathode moves over rotating substrate

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3778626A (en) * 1972-07-28 1973-12-11 Western Electric Co Mechanical scan system for ion implantation
US4664935A (en) * 1985-09-24 1987-05-12 Machine Technology, Inc. Thin film deposition apparatus and method
US4793908A (en) * 1986-12-29 1988-12-27 Rockwell International Corporation Multiple ion source method and apparatus for fabricating multilayer optical films
US4814056A (en) * 1987-06-23 1989-03-21 Vac-Tec Systems, Inc. Apparatus for producing graded-composition coatings
US5879519A (en) * 1988-02-08 1999-03-09 Optical Coating Laboratory, Inc. Geometries and configurations for magnetron sputtering apparatus
US4855026A (en) * 1988-06-02 1989-08-08 Spire Corporation Sputter enhanced ion implantation process
US4992298A (en) * 1988-10-11 1991-02-12 Beamalloy Corporation Dual ion beam ballistic alloying process
US5067781A (en) * 1989-11-21 1991-11-26 Raytheon Company Optical elements and method of manufacture
US5340454A (en) * 1991-04-09 1994-08-23 Leybold Aktiengesellschaft Method and apparatus for the coating of substrates
US5334302A (en) * 1991-11-15 1994-08-02 Tokyo Electron Limited Magnetron sputtering apparatus and sputtering gun for use in the same
US5240583A (en) * 1992-01-14 1993-08-31 Honeywell Inc. Apparatus to deposit multilayer films
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US6056826A (en) * 1997-07-18 2000-05-02 Leybold Systems, Gmbh Vacuum coating device for coating substrates on all sides
US5973447A (en) * 1997-07-25 1999-10-26 Monsanto Company Gridless ion source for the vacuum processing of materials
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6669824B2 (en) * 2000-07-10 2003-12-30 Unaxis Usa, Inc. Dual-scan thin film processing system
US6495010B2 (en) * 2000-07-10 2002-12-17 Unaxis Usa, Inc. Differentially-pumped material processing system
US6402906B1 (en) * 2000-10-19 2002-06-11 Delphi Technologies, Inc. Sputtering alloy films using a crescent-shaped aperture
US6579420B2 (en) * 2001-02-09 2003-06-17 Advanced Optical Solutions, Inc. Apparatus and method for uniformly depositing thin films over substrates
US6419803B1 (en) * 2001-03-16 2002-07-16 4Wave, Inc. System and method for making thin-film structures using a stepped profile mask
US6716322B1 (en) * 2001-04-19 2004-04-06 Veeco Instruments Inc. Method and apparatus for controlling film profiles on topographic features
US20050005846A1 (en) * 2003-06-23 2005-01-13 Venkat Selvamanickam High throughput continuous pulsed laser deposition process and apparatus
US20050034979A1 (en) * 2003-08-11 2005-02-17 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US20090098306A1 (en) * 2003-08-11 2009-04-16 Veeco Instruments Inc. Method and Apparatus for Surface Processing of a Substrate Using an Energetic Particle Beam
US7879201B2 (en) * 2003-08-11 2011-02-01 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140014497A1 (en) * 2012-07-16 2014-01-16 Veeco Instruments, Inc. Film Deposition Assisted by Angular Selective Etch on a Surface
US9347127B2 (en) * 2012-07-16 2016-05-24 Veeco Instruments, Inc. Film deposition assisted by angular selective etch on a surface

Also Published As

Publication number Publication date
US7879201B2 (en) 2011-02-01
US20050034979A1 (en) 2005-02-17

Similar Documents

Publication Publication Date Title
US20110089022A1 (en) Method and apparatus for surface processing of a substrate
US9206500B2 (en) Method and apparatus for surface processing of a substrate using an energetic particle beam
WO2009039261A1 (en) Method and apparatus for surface processing of a substrate using an energetic particle beam
US20130206583A1 (en) Method and Apparatus for Surface Processing of a Substrate Using an Energetic Particle Beam
US10815561B2 (en) Method and apparatus for asymmetric selective physical vapor deposition
US3943047A (en) Selective removal of material by sputter etching
US20170253972A1 (en) Substrate processing apparatus
US10889890B2 (en) Vacuum processing apparatus and method for vacuum processing substrates
US6338775B1 (en) Apparatus and method for uniformly depositing thin films over substrates
US6579420B2 (en) Apparatus and method for uniformly depositing thin films over substrates
TWI316744B (en) Wafer holder
US20210020484A1 (en) Aperture design for uniformity control in selective physical vapor deposition
JP2008019498A (en) Film deposition method and film deposition system
WO2019173730A1 (en) Methods and apparatus for physical vapor deposition via linear scanning with ambient control
JP6847049B2 (en) Multi-layer deposition processing equipment
US20190189465A1 (en) Methods and apparatus for physical vapor deposition
US20190287772A1 (en) Method and apparatus of forming structures by symmetric selective physical vapor deposition
JP2012128321A (en) Antireflection film forming method and antireflection film forming device
JPS63162862A (en) Sputtering device
US20150114826A1 (en) Pvd apparatus for directional material deposition, methods and workpiece
WO2019013280A1 (en) Ion beam irradiation device
US20230343557A1 (en) Virtual shutter in ion beam system
CN108070833B (en) Focusing ring and working method thereof
JPH02298263A (en) Sputtering device
US20190353919A1 (en) Multi-zone collimator for selective pvd

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION