US20080126689A1 - Memory device with emulated characteristics - Google Patents

Memory device with emulated characteristics Download PDF

Info

Publication number
US20080126689A1
US20080126689A1 US11/929,432 US92943207A US2008126689A1 US 20080126689 A1 US20080126689 A1 US 20080126689A1 US 92943207 A US92943207 A US 92943207A US 2008126689 A1 US2008126689 A1 US 2008126689A1
Authority
US
United States
Prior art keywords
memory
dram
address
sub
buffer chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/929,432
Inventor
Suresh Natarajan Rajan
Keith R. Schakel
Michael John Sebastian Smith
David T. Wang
Frederick Daniel Weber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Google LLC
Original Assignee
MetaRAM Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/461,420 external-priority patent/US8359187B2/en
Application filed by MetaRAM Inc filed Critical MetaRAM Inc
Priority to US11/929,432 priority Critical patent/US20080126689A1/en
Publication of US20080126689A1 publication Critical patent/US20080126689A1/en
Assigned to GOOGLE INC. reassignment GOOGLE INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: METARAM, INC.
Assigned to METARAM, INC. reassignment METARAM, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHAKEL, KEITH R., SMITH, MICHAEL JOHN SEBASTIAN, WEBER, FREDERICK DANIEL, RAJAN, SURESH NATARAJAN, WANG, DAVID T.
Assigned to GOOGLE INC. reassignment GOOGLE INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: METARAM, INC.
Assigned to GOOGLE LLC reassignment GOOGLE LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: GOOGLE INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1072Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers for memories with random access ports synchronised on clock signal pulse trains, e.g. synchronous memories, self timed memories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4204Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus
    • G06F13/4234Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being a memory bus
    • G06F13/4243Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being a memory bus with synchronous protocol
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles
    • G11C11/40618Refresh operations over multiple banks or interleaving
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • Digital memories are utilized in a wide variety of electronic systems, such as personal computers, workstations, servers, consumer electronics, printers, televisions, and so forth. Digital memories are manufactured as monolithic integrated circuits (“ICs” or “chips”). Digital memories come in several types, such as dynamic random access memory (DRAM), static random access memory (SRAM), flash memory, electrically erasable programmable read only memory (EEPROM), and so forth.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • EEPROM electrically erasable programmable read only memory
  • the memory chips are coupled directly into the system such as by being soldered directly to the system's main motherboard.
  • groups of memory chips are first coupled into memory modules, such as dual in-line memory modules (DIMMs), which are in turn coupled into a system by means of slots, sockets, or other connectors.
  • DIMMs dual in-line memory modules
  • Some types of memory modules include not only the memory chips themselves, but also some additional logic which interfaces the memory chips to the system. This logic may perform a variety of low level functions, such as buffering or latching signals between the chips and the system, but it may also perform higher level functions, such as telling the system what are the characteristics of the memory chips. These characteristics may include, for example, memory capacity, speed, latency, interface protocol, and so forth.
  • a memory subsystem including an interface circuit adapted for communication with a system and a majority of address or control signals of a first number of memory circuits.
  • the interface circuit includes emulation logic for emulating at least one memory circuit of a second number.
  • FIG. 1 shows a system coupled to multiple memory circuits and an interface circuit according to one embodiment of this invention.
  • FIG. 2 shows a buffered stack of DRAM circuits each having a dedicated data path from the buffer chip and sharing a single address, control, and clock bus.
  • FIG. 3 shows a buffered stack of DRAM circuits having two address, control, and clock busses and two data busses.
  • FIG. 4 shows a buffered stack of DRAM circuits having one address, control, and clock bus and two data busses.
  • FIG. 5 shows a buffered stack of DRAM circuits having one address, control, and clock bus and one data bus.
  • FIG. 6 shows a buffered stack of DRAM circuits in which the buffer chip is located in the middle of the stack of DRAM chips.
  • FIG. 7 is a flow chart showing one method of storing information.
  • FIG. 8 shows a high capacity DIMM using buffered stacks of DRAM chips according to one embodiment of this invention.
  • FIG. 9 is a timing diagram showing one embodiment of how the buffer chip makes a buffered stack of DRAM circuits appear to the system or memory controller to use longer column address strobe (CAS) latency DRAM chips than is actually used by the physical DRAM chips.
  • CAS column address strobe
  • FIG. 10 shows a timing diagram showing the write data timing expected by DRAM in a buffered stack, in accordance with another embodiment of this invention.
  • FIG. 11 is a timing diagram showing how write control signals are delayed by a buffer chip in accordance with another embodiment of this invention.
  • FIG. 12 is a timing diagram showing early write data from a memory controller or an advanced memory buffer (AMB) according to yet another embodiment of this invention.
  • AMB advanced memory buffer
  • FIG. 13 is a timing diagram showing address bus conflicts caused by delayed write operations.
  • FIG. 14 is a timing diagram showing variable delay of an activate operation through a buffer chip.
  • FIG. 15 is a timing diagram showing variable delay of a precharge operation through a buffer chip.
  • FIG. 16 shows a buffered stack of DRAM circuits and the buffer chip which presents them to the system as if they were a single, larger DRAM circuit, in accordance with one embodiment of this invention.
  • FIG. 17 is a flow chart showing a method of refreshing a plurality of memory circuits, in accordance with one embodiment of this invention.
  • FIG. 18 shows a block diagram of another embodiment of the invention.
  • FIG. 1 illustrates a system 100 including a system device 106 coupled to an interface circuit 102 , which is in turn coupled to a plurality of physical memory circuits 104 A-N.
  • the physical memory circuits may be any type of memory circuits.
  • each physical memory circuit is a separate memory chip.
  • each may be a DDR2 DRAM.
  • the memory circuits may be symmetrical, meaning each has the same capacity, type, speed, etc., while in other embodiments they may be asymmetrical. For ease of illustration only, three such memory circuits are shown, but actual embodiments may use any plural number of memory circuits.
  • the memory chips may optionally be coupled to a memory module (not shown), such as a DIMM.
  • the system device may be any type of system capable of requesting and/or initiating a process that results in an access of the memory circuits.
  • the system may include a memory controller (not shown) through which it accesses the memory circuits.
  • the interface circuit may include any circuit or logic capable of directly or indirectly communicating with the memory circuits, such as a buffer chip, advanced memory buffer (AMB) chip, etc.
  • the interface circuit interfaces a plurality of signals 108 between the system device and the memory circuits. Such signals may include, for example, data signals, address signals, control signals, clock signals, and so forth. In some embodiments, all of the signals communicated between the system device and the memory circuits are communicated via the interface circuit. In other embodiments, some other signals 110 are communicated directly between the system device (or some component thereof, such as a memory controller, an AMB, or a register) and the memory circuits, without passing through the interface circuit. In some such embodiments, the majority of signals are communicated via the interface circuit, such that L>M.
  • the interface circuit presents to the system device an interface to emulated memory devices which differ in some aspect from the physical memory circuits which are actually present.
  • the interface circuit may tell the system device that the number of emulated memory circuits is different than the actual number of physical memory circuits.
  • the terms “emulating”, “emulated”, “emulation”, and the like will be used in this disclosure to signify emulation, simulation, disguising, transforming, converting, and the like, which results in at least one characteristic of the memory circuits appearing to the system device to be different than the actual, physical characteristic.
  • the emulated characteristic may be electrical in nature, physical in nature, logical in nature, pertaining to a protocol, etc.
  • An example of an emulated electrical characteristic might be a signal, or a voltage level.
  • An example of an emulated physical characteristic might be a number of pins or wires, a number of signals, or a memory capacity.
  • An example of an emulated protocol characteristic might be a timing, or a specific protocol such as DDR3.
  • an emulated signal such signal may be a control signal such as an address signal, a data signal, or a control signal associated with an activate operation, precharge operation, write operation, mode register read operation, refresh operation, etc.
  • the interface circuit may emulate the number of signals, type of signals, duration of signal assertion, and so forth. It may combine multiple signals to emulate another signal.
  • An emulated timing may relate to latency of e.g. a column address strobe (CAS) latency, a row address to column address latency (tRCD), a row precharge latency (tRP), an activate to precharge latency (tRAS), and so forth.
  • CAS latency is related to the timing of accessing a column of data.
  • tRCD is the latency required between the row address strobe (RAS) and CAS.
  • tRP is the latency required to terminate an open row and open access to the next row.
  • tRAS is the latency required to access a certain row of data between an activate operation and a precharge operation.
  • the interface circuit may be operable to receive a signal from the system device and communicate the signal to one or more of the memory circuits after a delay (which may be hidden from the system device). Such delay may be fixed, or in some embodiments it may be variable. If variable, the delay may depend on e.g. a function of the current signal or a previous signal, a combination of signals, or the like. The delay may include a cumulative delay associated with any one or more of the signals. The delay may result in a time shift of the signal forward or backward in time with respect to other signals. Different delays may be applied to different signals.
  • the interface circuit may similarly be operable to receive a signal from a memory circuit and communicate the signal to the system device after a delay.
  • the interface circuit may take the form of, or incorporate, or be incorporated into, a register, an AMB, a buffer, or the like, and may comply with Joint Electron Device Engineering Council (JEDEC) standards, and may have forwarding, storing, and/or buffering capabilities.
  • JEDEC Joint Electron Device Engineering Council
  • the interface circuit may perform operations without the system device's knowledge.
  • One particularly useful such operation is a power-saving operation.
  • the interface circuit may identify one or more of the memory circuits which are not currently being accessed by the system device, and perform the power saving operation on those. In one such embodiment, the identification may involve determining whether any page (or other portion) of memory is being accessed.
  • the power saving operation may be a power down operation, such as a precharge power down operation.
  • the interface circuit may include one or more devices which together perform the emulation and related operations.
  • the interface circuit may be coupled or packaged with the memory devices, or with the system device or a component thereof, or separately.
  • the memory circuits and the interface circuit are coupled to a DIMM.
  • FIG. 2 illustrates one embodiment of a system 200 including a system device (e.g. host system 204 , etc.) which communicates address, control, clock, and data signals with a memory subsystem 201 via an interface.
  • a system device e.g. host system 204 , etc.
  • FIG. 2 illustrates one embodiment of a system 200 including a system device (e.g. host system 204 , etc.) which communicates address, control, clock, and data signals with a memory subsystem 201 via an interface.
  • a system device e.g. host system 204 , etc.
  • the memory subsystem includes a buffer chip 202 which presents the host system with emulated interface to emulated memory, and a plurality of physical memory circuits which, in the example shown, are DRAM chips 206 A-D.
  • the DRAM chips are stacked, and the buffer chip is placed electrically between them and the host system.
  • a stack may refer to any collection of memory circuits (e.g. DRAM circuits, flash memory circuits, or combinations of memory circuit technologies, etc.).
  • the buffer chip buffers communicates signals between the host system and the DRAM chips, and presents to the host system an emulated interface to present the memory as though it were a smaller number of larger capacity DRAM chips, although in actuality there is a larger number of smaller capacity DRAM chips in the memory subsystem. For example, there may be eight 512 Mb physical DRAM chips, but the buffer chip buffers and emulates them to appear as a single 4 Gb DRAM chip, or as two 2 Gb DRAM chips. Although the drawing shows four DRAM chips, this is for ease of illustration only; the invention is, of course, not limited to using four DRAM chips.
  • the buffer chip is coupled to send address, control, and clock signals 208 to the DRAM chips via a single, shared address, control, and clock bus, but each DRAM chip has its own, dedicated data path for sending and receiving data signals 210 to/from the buffer chip.
  • the reference number I will be used to denote the interface between the host system and the buffer chip
  • the reference number 2 will be used to denote the address, control, and clock interface between the buffer chip and the physical memory circuits
  • the reference number 3 will be used to denote the data interface between the buffer chip and the physical memory circuits, regardless of the specifics of how any of those interfaces is implemented in the various embodiments and configurations described below.
  • FIG. 2 there is a single address, control, and clock interface channel 2 and four data interface channels 3 ; this implementation may thus be said to have a “1A4D” configuration (wherein “1A” means one address, control, and clock channel in interface 2 , and “4D” means four data channels in interface 3 ).
  • the DRAM chips are physically arranged on a single side of the buffer chip.
  • the buffer chip may, optionally, be a part of the stack of DRAM chips, and may optionally be the bottommost chip in the stack. Or, it may be separate from the stack.
  • FIG. 3 illustrates another embodiment of a system 301 in which the buffer chip 303 is interfaced to a host system 304 and is coupled to the DRAM chips 307 A- 307 D somewhat differently than in the system of FIG. 2 .
  • Each shared bus has two or more DRAM chips coupled to it. As shown, the sharing need not necessarily be the same in the data busses as it is in the address, control, and clock busses.
  • This embodiment has a “2A2D” configuration.
  • FIG. 4 illustrates another embodiment of a system 411 in which the buffer chip 413 is interfaced to a host system 404 and is coupled to the DRAM chips 417 A- 417 D somewhat differently than in the system of FIG. 2 or 3 .
  • Each shared bus has two or more DRAM chips coupled to it.
  • This implementation has a “1A2D” configuration.
  • FIG. 5 illustrates another embodiment of a system 521 in which the buffer chip 523 is interfaced to a host system 504 and is coupled to the DRAM chips 527 A- 527 D somewhat differently than in the system of FIGS. 2 through 4 .
  • This implementation has a “1A1D” configuration.
  • FIG. 6 illustrates another embodiment of a system 631 in which the buffer chip 633 is interfaced to a host system 604 and is coupled to the DRAM chips 637 A- 637 D somewhat differently than in the system of FIGS. 2 through 5 .
  • Each shared bus has two or more DRAM chips coupled to it. Further, in the example shown, the DRAM chips are physically arranged on both sides of the buffer chip. There may be, for example, sixteen DRAM chips, with the eight DRAM chips on each side of the buffer chip arranged in two stacks of four chips each. This implementation has a “2A4D” configuration.
  • FIGS. 2 through 6 are not intended to be an exhaustive listing of all possible permutations of data paths, busses, and buffer chip configurations, and are only illustrative of some ways in which the host system device can be in electrical contact only with the load of the buffer chip and thereby be isolated from whatever physical memory circuits, data paths, busses, etc. exist on the (logical) other side of the buffer chip.
  • FIG. 7 illustrates one embodiment of a method 700 for storing at least a portion of information received in association with a first operation, for use in performing a second operation.
  • a method may be practiced in a variety of systems, such as, but not limited to, those of FIGS. 1-6 .
  • the method may be performed by the interface circuit of FIG. 1 or the buffer chip of FIG. 2 .
  • first information is received ( 702 ) in association with a first operation to be performed on at least one of the memory circuits (DRAM chips).
  • the first information may be received prior to, simultaneously with, or subsequent to the instigation of the first operation.
  • the first operation may be, for example, a row operation, in which case the first information may include e.g. address values received by the buffer chip via the address bus from the host system. At least a portion of the first information is then stored ( 704 ).
  • the buffer chip also receives ( 706 ) second information associated with a second operation. For convenience, this receipt is shown as being after the storing of the first information, but it could also happen prior to or simultaneously with the storing.
  • the second operation may be, for example, a column operation.
  • the buffer chip performs ( 708 ) the second operation, utilizing the stored portion of the first information, and the second information.
  • the buffer chip may receive from the host system's memory controller more address bits than are required to address any given one of the DRAM chips.
  • the extra address bits may be decoded by the buffer chip to individually select the DRAM chips, utilizing separate chip select signals (not shown) to each of the DRAM chips in the stack.
  • a stack of four ⁇ 4 1 Gb DRAM chips behind the buffer chip may appear to the host system as a single ⁇ 4 4 Gb DRAM circuit, in which case the memory controller may provide sixteen row address bits and three bank address bits during a row operation (e.g. an activate operation), and provide eleven column address bits and three bank address bits during a column operation (e.g. a read or write operation).
  • the individual DRAM chips in the stack may require only fourteen row address bits and three bank address bits for a row operation, and eleven column address bits and three bank address bits during a column operation.
  • the buffer chip may receive two address bits more than are needed by any of the DRAM chips.
  • the buffer chip stores ( 704 ) these two extra bits during the row operation (in addition to using them to select the correct one of the DRAM chips), then uses them later, during the column operation, to select the correct one of the DRAM chips.
  • mapping between a system address (from the host system to the buffer chip) and a device address (from the buffer chip to a DRAM chip) may be performed in various manners.
  • lower order system row address and bank address bits may be mapped directly to the device row address and bank address bits, with the most significant system row address bits (and, optionally, the most significant bank address bits) being stored for use in the subsequent column operation.
  • what is stored is the decoded version of those bits; in other words, the extra bits may be stored either prior to or after decoding.
  • the stored bits may be stored, for example, in an internal lookup table (not shown) in the buffer chip, for one or more clock cycles.
  • the buffer chip may have four 512 Mb DRAM chips with which it emulates a single 2 Gb DRAM chip.
  • the system will present fifteen row address bits, from which the buffer chip may use the fourteen low order bits (or, optionally, some other set of fourteen bits) to directly address the DRAM chips.
  • the system will present three bank address bits, from which the buffer chip may use the two low order bits (or, optionally, some other set of two bits) to directly address the DRAM chips.
  • the most significant bank address bit (or other unused bit) and the most significant row address bit (or other unused bit) are used to generate the four DRAM chip select signals, and are stored for later reuse.
  • the stored bits are again used to generate the four DRAM chip select signals.
  • the unused bank address is not stored during the row operation, as it will be re-presented during the subsequent column operation.
  • addresses may be mapped between four 1 Gb DRAM circuits to emulate a single 4 Gb DRAM circuit.
  • Sixteen row address bits and three bank address bits come from the host system, of which the low order fourteen address bits and all three bank address bits are mapped directly to the DRAM circuits.
  • the two most significant row address bits are decoded to generate four chip select signals, and are stored using the bank address bits as the index.
  • the stored row address bits are again used to generate the four chip select signals.
  • a particular mapping technique may be chosen, to ensure that there are no unnecessary combinational logic circuits in the critical timing path between the address input pins and address output pins of the buffer chip.
  • Corresponding combinational logic circuits may instead be used to generate the individual chip select signals. This may allow the capacitive loading on the address outputs of the buffer chip to be much higher than the loading on the individual chip select signal outputs of the buffer chip.
  • the address mapping may be performed by the buffer chip using some of the bank address signals from the host system to generate the chip select signals.
  • the buffer chip may store the higher order row address bits during a row operation, using the bank address as the index, and then use the stored address bits as part of the DRAM circuit bank address during a column operation.
  • four 512 Mb DRAM chips may be used in emulating a single 2 Gb DRAM.
  • Fifteen row address bits come from the host system, of which the low order fourteen are mapped directly to the DRAM chips.
  • Three bank address bits come from the host system, of which the least significant bit is used as a DRAM circuit bank address bit for the DRAM chips.
  • the most significant row address bit may be used as an additional DRAM circuit bank address bit.
  • the two most significant bank address bits are decoded to generate the four chip select signals.
  • the most significant row address bit may be stored during the row operation, and reused during the column operation with the least significant bank address bit, to form the DRAM circuit bank address.
  • the column address from the host system memory controller may be mapped directly as the column address to the DRAM chips in the stack, since each of the DRAM chips may have the same page size, regardless any differences in the capacities of the (asymmetrical) DRAM chips.
  • address bit A( 10 ) may be used by the memory controller to enable or disable auto-precharge during a column operation, in which case the buffer chip may forward that bit to the DRAM circuits without any modification during a column operation.
  • the simulated DRAM circuit may be desirable to determine whether the simulated DRAM circuit behaves according to a desired DRAM standard or other design specification. Behavior of many DRAM circuits is specified by the JEDEC standards, and it may be desirable to exactly emulate a particular JEDEC standard DRAM.
  • the JEDEC standard defines control signals that a DRAM circuit must accept and the behavior of the DRAM circuit as a result of such control signals.
  • the JEDEC specification for DDR2 DRAM is known as JESD79-2B. If it is desired to determine whether a standard is met, the following algorithm may be used. Using a set of software verification tools, it checks for formal verification of logic, that protocol behavior of the simulated DRAM circuit is the same as the desired standard or other design specification.
  • Suitable verification tools include: Magellan, supplied by Synopsys, Inc. of 700 E. Middlefield Rd., Mt. View, Calif. 94043; Incisive, supplied by Cadence Design Systems, Inc., of 2655 Sealy Ave., San Jose, Calif. 95134; tools supplied by Jasper Design Automation, Inc. of 100 View St. #100, Mt. View, Calif. 94041; Verix, supplied by Real Intent, Inc., of 505 N. Mathilda Ave. #210, Sunnyvale, Calif. 94085; 0-In, supplied by Mentor Graphics Corp. of 8005 SW Boeckman Rd., Wilsonville, Oreg. 97070; and others.
  • an assertion may be written that no two DRAM control signals are allowed to be issued to an address, control, and clock bus at the same time.
  • the verification process allows a designer to prove that the emulated DRAM circuit exactly meets the required standard etc. If, for example, an address mapping that uses a common bus for data and a common bus for address, results in a control and clock bus that does not meet a required specification, alternative designs for buffer chips with other bus arrangements or alternative designs for the sideband signal interconnect between two or more buffer chips may be used and tested for compliance.
  • Such sideband signals convey the power management signals, for example.
  • FIG. 8 illustrates a high capacity DIMM 800 using a plurality of buffered stacks of DRAM circuits 802 and a register device 804 , according to one embodiment of this invention.
  • the register performs the addressing and control of the buffered stacks.
  • the DIMM may be an FB-DIMM, in which case the register is an AMB.
  • the emulation is performed at the DIMM level.
  • FIG. 9 is a timing diagram illustrating a timing design 900 of a buffer chip which makes a buffered stack of DRAM chips mimic a larger DRAM circuit having longer CAS latency, in accordance with another embodiment of this invention.
  • Any delay through a buffer chip may be made transparent to the host system's memory controller, by using such a method. Such a delay may be a result of the buffer chip being located electrically between the memory bus of the host system and the stacked DRAM circuits, since some or all of the signals that connect the memory bus to the DRAM circuits pass through the buffer chip. A finite amount of time may be needed for these signals to traverse through the buffer chip.
  • the buffer chip may cause a one-half clock cycle delay between the buffer chip receiving address and control signals from the host system memory controller (or, optionally, from a register chip or an AMB), and the address and control signals being valid at the inputs of the stacked DRAM circuits.
  • Data signals may also have a one-half clock cycle delay in either direction to/from the host system. Other amounts of delay are, of course, possible, and the half-clock cycle example is for illustration only.
  • the cumulative delay through the buffer chip is the sum of a delay of the address and control signals and a delay of the data signals.
  • FIG. 9 illustrates an example where the buffer chip is using DRAM chips having a native CAS latency of i clocks, and the buffer chip delay is j clocks, thus the buffer chip emulates a DRAM having a CAS latency of i+j clocks.
  • the DRAM chips have a native CAS latency 906 of four clocks (from t 1 to t 5 ), and the total latency through the buffer chip is two clocks (one clock delay 902 from t 0 to t 1 for address and control signals, plus one clock delay 904 from t 5 to t 6 for data signals), and the buffer chip emulates a DRAM having a six clock CAS latency 908 .
  • the reference numbers 1 , 2 , and/or 3 at the left margin indicate which of the interfaces correspond to the signals or values illustrated on the associated waveforms.
  • the “Clock” signal shown as a square wave on the uppermost waveform is indicated as belonging to the interface 1 between the host system and the buffer chip; the “Control Input to Buffer” signal is also part of the interface 1 ; the “Control Input to DRAM” waveform is part of the interface 2 from the buffer chip to the physical memory circuits; the “Data Output from DRAM” waveform is part of the interface 3 from the physical memory circuits to the buffer chip; and the “Data Output from Buffer” shown in the lowermost waveform is part of the interface 1 from the buffer chip to the host system.
  • FIG. 10 is a timing diagram illustrating a timing design 1000 of write data timing expected by a DRAM circuit in a buffered stack.
  • Emulation of a larger capacity DRAM circuit having higher CAS latency may, in some implementations, create a problem with the timing of write operations.
  • the DDR2 SDRAM protocol may specify that the write CAS latency 1002 is one less than the read CAS latency. Therefore, since the buffered stack appears as a DDR2 SDRAM with a read CAS latency of six clocks, the memory controller may use a buffered stack write CAS latency of five clocks 1004 when scheduling a write operation to the memory.
  • the memory controller issues the write operation at t 0 .
  • the write operation is issued to the DRAM chips at t 1 .
  • the buffer chip may alleviate by delaying write operations.
  • the waveform “Write Data Expected by DRAM” is not shown as belonging to interface 1 , interface 2 , or interface 3 , for the simple reason that there is no such signal present in any of those interfaces. That waveform represents only what is expected by the DRAM, not what is actually provided to the DRAM.
  • FIG. 11 is a timing illustrating a timing design 1100 showing how the buffer chip does this.
  • the memory controller issues the write operation at t 0 .
  • the write operation appeared at the DRAM circuits one clock later at t 1 , due to the inherent delay through the buffer chip.
  • FIG. 12 is a timing diagram illustrating operation of an FB-DIMM's AMB, which may be designed to send write data earlier to buffered stacks instead of delaying the write address and operation (as in FIG. 11 ). Specifically, it may use an early write CAS latency 1202 to compensate the timing of the buffer chip write operation. If the buffer chip has a cumulative (address and data) inherent delay of two clocks, the AMB may send the write data to the buffered stack two clocks early. This may not be possible in the case of registered DIMMs, in which the memory controller sends the write data directly to the buffered stacks (rather than via the AMB). In another embodiment, the memory controller itself could be designed to send write data early, to compensate for the j clocks of cumulative inherent delay caused by the buffer chip.
  • the memory controller issues the write operation at t 0 .
  • the write operation arrives at the DRAM at t 1 .
  • FIG. 13 is a timing diagram 1300 illustrating bus conflicts which can be caused by delayed write operations.
  • the delaying of write addresses and write operations may be performed by a buffer chip, a register, an AMB, etc. in a manner that is completely transparent to the memory controller of the host system. And, because the memory controller is unaware of this delay, it may schedule subsequent operations such as activate or precharge operations, which may collide with the delayed writes on the address bus to the DRAM chips in the stack.
  • the memory controller issues a write operation 1302 at time t 0 .
  • the buffer chip or AMB delays the write operation, such that it appears on the bus to the DRAM chips at time t 3 .
  • the memory controller issued an activate operation (control signal) 1304 which, after a one-clock inherent delay through the buffer chip, appears on the bus to the DRAM chips at time t 3 , colliding with the delayed write.
  • FIGS. 14 and 15 are a timing diagram 1400 and a timing diagram 1500 illustrating methods of avoiding such collisions. If the cumulative latency through the buffer chip is two clock cycles, and the native read CAS latency of the DRAM chips is four clock cycles, then in order to hide the delay of the address and control signals and the data signals through the buffer chip, the buffer chip presents the host system with an interface to an emulated memory having a read CAS latency of six clock cycles. And if the tRCD and tRP of the DRAM chips are four clock cycles each, the buffer chip tells the host system that they are six clock cycles each in order to allow the buffer chip to delay the activate and precharge operations to avoid collisions in a manner that is transparent to the host system.
  • a buffered stack that uses 4-4-4 DRAM chips may appear to the host system as one larger DRAM that uses 6-6-6 timing.
  • the memory controller may schedule a column operation to a bank six clock cycles (at time t 6 ) after an activate (row) operation (at time t 0 ) to the same bank.
  • the DRAM chips in the stack actually have a tRCD of four clock cycles. This gives the buffer chip time to delay the activate operation by up to two clock cycles, avoiding any conflicts on the address bus between the buffer chip and the DRAM chips, while ensuring correct read and write timing on the channel between the memory controller and the buffered stack.
  • the buffer chip may issue the activate operation to the DRAM chips one, two, or three clock cycles after it receives the activate operation from the memory controller, register, or AMB.
  • the actual delay selected may depend on the presence or absence of other DRAM operations that may conflict with the activate operation, and may optionally change from one activate operation to another. In other words, the delay may be dynamic.
  • a one-clock delay ( 1402 A, 1502 A) may be accomplished simply by the inherent delay through the buffer chip.
  • a two-clock delay ( 1402 B, 1502 B) may be accomplished by adding one clock of additional delay to the one-clock inherent delay
  • a three-clock delay 1402 C, 1502 C
  • a read, write, or activate operation issued by the memory controller at time t 6 will, after a one-clock inherent delay through the buffer chip, be issued to the DRAM chips at time t 7 .
  • a preceding activate or precharge operation issued by the memory controller at time t 0 will, depending upon the delay, be issued to the DRAM chips at time t 1 , t 2 , or t 3 , each of which is at least the tRCD or tRP of four clocks earlier than the t 7 issuance of the read, write, or activate operation.
  • the memory controller may schedule a subsequent activate (row) operation to a bank a minimum of six clock cycles after issuing a precharge operation to that bank.
  • the buffer chip may have the ability to delay issuing the precharge operation to the DRAM chips by up to two clock cycles, in order to avoid any conflicts on the address bus, or in order to satisfy the tRAS requirements of the DRAM chips.
  • the precharge operation to the same bank may be delayed by the buffer chip to satisfy the tRAS requirements of the DRAM.
  • the buffer chip may issue the precharge operation to the DRAM chips one, two, or three clock cycles after it is received. The delay selected may depend on the presence or absence of address bus conflicts or tRAS violations, and may change from one precharge operation to another.
  • FIG. 16 illustrates a buffered stack 1600 according to one embodiment of this invention.
  • the buffered stack includes four 512 Mb DDR2 DRAM circuits (chips) 1602 which a buffer chip 1604 maps to a single 2 Gb DDR2 DRAM.
  • the multiple DRAM chips appear to the memory controller as though they were a single, larger DRAM, the combined power dissipation of the actual DRAM chips may be much higher than the power dissipation of a monolithic DRAM of the same capacity. In other words, the physical DRAM may consume significantly more power than would be consumed by the emulated DRAM.
  • a DIM M containing multiple buffered stacks may dissipate much more power than a standard DIMM of the same actual capacity using monolithic DRAM circuits.
  • This increased power dissipation may limit the widespread adoption of DIMMs that use buffered stacks.
  • the DRAM circuits may be opportunistically placed in low power states or modes.
  • the DRAM circuits may be placed in a precharge power down mode using the clock enable (CKE) pin of the DRAM circuits.
  • CKE clock enable
  • a single rank registered DIMM may contain a plurality of buffered stacks, each including four ⁇ 4 512Mb DDR2 SDRAM chips and appear (to the memory controller via emulation by the buffer chip) as a single ⁇ 4 2 Gb DDR2 SDRAM.
  • the JEDEC standard indicates that a 2 Gb DDR2 SDRAM may generally have eight banks, shown in FIG. 16 as Bank 0 to Bank 7 . Therefore, the buffer chip may map each 512 Mb DRAM chip in the stack to two banks of the equivalent 2 Gb DRAM, as shown; the first DRAM chip 1602 A is treated as containing banks 0 and 1 , 1602 B is treated as containing banks 2 and 4 , and so forth.
  • the memory controller may open and close pages in the DRAM banks based on memory requests it receives from the rest of the host system. In some embodiments, no more than one page may be able to be open in a bank at any given time. In the embodiment shown in FIG. 16 , each DRAM chip may therefore have up to two pages open at a time. When a DRAM chip has no open pages, the power management scheme may place it in the precharge power down mode.
  • the clock enable inputs of the DRAM chips may be controlled by the buffer chip, or by another chip (not shown) on the R-DIMM, or by an AMB (not shown) in the case of an FB-DIMM, or by the memory controller, to implement the power management technique.
  • the power management technique may be particularly effective if it implements a closed page policy.
  • Another optional power management technique may include mapping a plurality of DRAM circuits to a single bank of the larger capacity emulated DRAM.
  • a buffered stack (not shown) of sixteen ⁇ 4 256 Mb DDR2 SDRAM chips may be used in emulating a single x4 4 Gb DDR2 SDRAM.
  • the 4 Gb DRAM is specified by JEDEC as having eight banks of 512 Mbs each, so two of the 256 Mb DRAM chips may be mapped by the buffer chip to emulate each bank (whereas in FIG. 16 one DRAM was used to emulate two banks).
  • the memory controller since only one page can be open in a bank at any given time, only one of the two DRAM chips emulating that bank can be in the active state at any given time. If the memory controller opens a page in one of the two DRAM chips, the other may be placed in the precharge power down mode. Thus, if a number p of DRAM chips are used to emulate one bank, at least p ⁇ 1 of them may be in a power down mode at any given time; in other words, at least p ⁇ 1 of the p chips are always in power down mode, although the particular powered down chips will tend to change over time, as the memory controller opens and closes various pages of memory.
  • the power saving operation may comprise operating in precharge power down mode except when refresh is required.
  • FIG. 17 is a flow chart 1700 illustrating one embodiment of a method of refreshing a plurality of memory circuits.
  • a refresh control signal is received ( 1702 ) e.g. from a memory controller which intends to refresh an emulated memory circuit.
  • a plurality of refresh control signals are sent ( 1704 ) e.g. by a buffer chip to a plurality of physical memory circuits at different times.
  • These refresh control signals may optionally include the received refresh control signal or an instantiation or copy thereof. They may also, or instead, include refresh control signals that are different in at least one aspect (format, content, etc.) from the received signal.
  • At least one first refresh control signal may be sent to a first subset of the physical memory circuits at a first time, and at least one second refresh control signal may be sent to a second subset of the physical memory circuits at a second time.
  • Each refresh signal may be sent to one physical memory circuit, or to a plurality of physical memory circuits, depending upon the particular implementation.
  • the refresh control signals may be sent to the physical memory circuits after a delay in accordance with a particular timing.
  • the timing in which they are sent to the physical memory circuits may be selected to minimize an electrical current drawn by die memory, or to minimize a power consumption of the memory. This may be accomplished by staggering a plurality of refresh control signals.
  • the timing may be selected to comply with e.g. a tRFC parameter associated with the memory circuits.
  • physical DRAM circuits may receive periodic refresh operations to maintain integrity of data stored therein.
  • a memory controller may initiate refresh operations by issuing refresh control signals to the DRAM circuits with sufficient frequency to prevent any loss of data in the DRAM circuits. After a refresh control signal is issued, a minimum time tRFC may be required to elapse before another control signal may be issued to that DRAM circuit.
  • the tRFC parameter value may increase as the size of the DRAM circuit increases.
  • the buffer chip When the buffer chip receives a refresh control signal from the memory controller, it may refresh the smaller DRAM circuits within the span of time specified by the tRFC of the emulated DRAM circuit. Since the IRFC of the larger, emulated DRAM is longer than the tRFC of the smaller, physical DRAM circuits, it may not be necessary to issue any or all of the refresh control signals to the physical DRAM circuits simultaneously. Refresh control signals may be issued separately to individual DRAM circuits or to groups of DRAM circuits, provided that the tRFC requirements of all physical DRAMs has been met by the time the emulated DRAM's tRFC has elapsed. In use, the refreshes may be spaced in time to minimize the peak current draw of the combination buffer chip and DRAM circuit set during a refresh operation.
  • FIG. 18 illustrates one embodiment of an interface circuit such as may be utilized in any of the above-described memory systems, for interfacing between a system and memory circuits.
  • the interface circuit may be included in the buffer chip, for example.
  • the interface circuit includes a system address signal interface for sending/receiving address signals to/from the host system, a system control signal interface for sending/receiving control signals to/from the host system, a system clock signal interface for sending/receiving clock signals to/from the host system, and a system data signal interface for sending/receiving data signals to/from the host system.
  • the interface circuit further includes a memory address signal interface for sending/receiving address signals to/from the physical memory, a memory control signal interface for sending/receiving control signals to/from the physical memory, a memory clock signal interface for sending/receiving clock signals to/from the physical memory, and a memory data signal interface for sending/receiving data signals to/from the physical memory.
  • the host system includes a set of memory attribute expectations, or built-in parameters of the physical memory with which it has been designed to work (or with which it has been told, e.g. by the buffer circuit, it is working). Accordingly, the host system includes a set of memory interaction attributes, or built-in parameters according to which the host system has been designed to operate in its interactions with the memory. These memory interaction attributes and expectations will typically, but not necessarily, be embodied in the host system's memory controller.
  • the physical memory itself has a set of physical attributes.
  • These expectations and attributes may include, by way of example only, memory timing, memory capacity, memory latency, memory functionality, memory type, memory protocol, memory power consumption, memory current requirements, and so forth.
  • the interface circuit includes memory physical attribute storage for storing values or parameters of various physical attributes of the physical memory circuits.
  • the interface circuit further includes system emulated attribute storage. These storage systems may be read/write capable stores, or they may simply be a set of hard-wired logic or values, or they may simply be inherent in the operation of the interface circuit.
  • the interface circuit includes emulation logic which operates according to the stored memory physical attributes and the stored system emulation attributes, to present to the system an interface to an emulated memory which differs in at least one attribute from the actual physical memory.
  • the emulation logic may, in various embodiments, alter a timing, value, latency, etc. of any of the address, control, clock, and/or data signals it sends to or receives from the system and/or the physical memory. Some such signals may pass through unaltered, while others may be altered.
  • the emulation logic may be embodied as, for example, hard wired logic, a state machine, software executing on a processor, and so forth.
  • the physical memory circuits employed in practicing this invention may be any type of memory whatsoever, such as: DRAM, DDR DRAM, DDR2 DRAM, DDR3 DRAM, SDRAM, QDR DRAM, DRDRAM, FPM DRAM, VDRAMI, EDO DRAM, BEDO DRAM, MDRAM, SGRAM, MRAM, IRAM, NAND flash, NOR flash, PSRAM, wetware memory, etc.
  • the physical memory circuits may be coupled to any type of memory module, such as: DIMM, R-DIMM, SO-DIMM, FB-DIMM, unbuffered DIMM, etc.
  • the system device which accesses the memory may be any type of system device, such as: desktop computer, laptop computer, workstation, server, consumer electronic device, television, personal digital assistant (PDA), mobile phone, printer or other peripheral device, etc.
  • desktop computer laptop computer, workstation, server, consumer electronic device, television, personal digital assistant (PDA), mobile phone, printer or other peripheral device, etc.
  • PDA personal digital assistant

Abstract

A memory subsystem is provided including an interface circuit adapted for communication with a system and a majority of address or control signals of a first number of memory circuits. The interface circuit includes emulation logic for emulating at least one memory circuit of a second number.

Description

    RELATED APPLICATION
  • This application is a continuation of commonly-assigned U.S. patent application Ser. No. 11/762,010 entitled “Memory Device with Emulated Characteristics” filed Jun. 12, 2007 by Rajan, et al., which, in turn, is a continuation-in-part of commonly-assigned U.S. patent application Ser. No. 11/461,420 entitled “System and Method for Simulating a Different Number of Memory Circuits” filed Jul. 31, 2006 by Rajan, et al., which are incorporated by reference as if fully set forth herein.
  • BACKGROUND OF THE INVENTION
  • 1. Technical Field of the Invention
  • This invention relates generally to digital memory such as used in computers, and more specifically to organization and design of memory modules such as DIMMs.
  • 2. Background Art
  • Digital memories are utilized in a wide variety of electronic systems, such as personal computers, workstations, servers, consumer electronics, printers, televisions, and so forth. Digital memories are manufactured as monolithic integrated circuits (“ICs” or “chips”). Digital memories come in several types, such as dynamic random access memory (DRAM), static random access memory (SRAM), flash memory, electrically erasable programmable read only memory (EEPROM), and so forth.
  • In some systems, the memory chips are coupled directly into the system such as by being soldered directly to the system's main motherboard. In other systems, groups of memory chips are first coupled into memory modules, such as dual in-line memory modules (DIMMs), which are in turn coupled into a system by means of slots, sockets, or other connectors. Some types of memory modules include not only the memory chips themselves, but also some additional logic which interfaces the memory chips to the system. This logic may perform a variety of low level functions, such as buffering or latching signals between the chips and the system, but it may also perform higher level functions, such as telling the system what are the characteristics of the memory chips. These characteristics may include, for example, memory capacity, speed, latency, interface protocol, and so forth.
  • Memory capacity requirements of such systems are increasing rapidly. However, other industry trends such as higher memory bus speeds, small form factor machines, etc. are reducing the number of memory module slots, sockets, connectors, etc. that are available in such systems. There is, therefore, pressure for manufacturers to use large capacity memory modules in such systems.
  • However, there is also an exponential relationship between a memory chip's capacity and its price. As a result, large capacity memory modules may be cost prohibitive in some systems.
  • What is needed, then, is an effective way to make use of low cost memory chips in manufacturing high capacity memory modules.
  • SUMMARY
  • A memory subsystem is provided including an interface circuit adapted for communication with a system and a majority of address or control signals of a first number of memory circuits. The interface circuit includes emulation logic for emulating at least one memory circuit of a second number.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a system coupled to multiple memory circuits and an interface circuit according to one embodiment of this invention.
  • FIG. 2 shows a buffered stack of DRAM circuits each having a dedicated data path from the buffer chip and sharing a single address, control, and clock bus.
  • FIG. 3 shows a buffered stack of DRAM circuits having two address, control, and clock busses and two data busses.
  • FIG. 4 shows a buffered stack of DRAM circuits having one address, control, and clock bus and two data busses.
  • FIG. 5 shows a buffered stack of DRAM circuits having one address, control, and clock bus and one data bus.
  • FIG. 6 shows a buffered stack of DRAM circuits in which the buffer chip is located in the middle of the stack of DRAM chips.
  • FIG. 7 is a flow chart showing one method of storing information.
  • FIG. 8 shows a high capacity DIMM using buffered stacks of DRAM chips according to one embodiment of this invention.
  • FIG. 9 is a timing diagram showing one embodiment of how the buffer chip makes a buffered stack of DRAM circuits appear to the system or memory controller to use longer column address strobe (CAS) latency DRAM chips than is actually used by the physical DRAM chips.
  • FIG. 10 shows a timing diagram showing the write data timing expected by DRAM in a buffered stack, in accordance with another embodiment of this invention.
  • FIG. 11 is a timing diagram showing how write control signals are delayed by a buffer chip in accordance with another embodiment of this invention.
  • FIG. 12 is a timing diagram showing early write data from a memory controller or an advanced memory buffer (AMB) according to yet another embodiment of this invention.
  • FIG. 13 is a timing diagram showing address bus conflicts caused by delayed write operations.
  • FIG. 14 is a timing diagram showing variable delay of an activate operation through a buffer chip.
  • FIG. 15 is a timing diagram showing variable delay of a precharge operation through a buffer chip.
  • FIG. 16 shows a buffered stack of DRAM circuits and the buffer chip which presents them to the system as if they were a single, larger DRAM circuit, in accordance with one embodiment of this invention.
  • FIG. 17 is a flow chart showing a method of refreshing a plurality of memory circuits, in accordance with one embodiment of this invention.
  • FIG. 18 shows a block diagram of another embodiment of the invention.
  • DETAILED DESCRIPTION
  • The invention will be understood more fully from the detailed description given below and from the accompanying drawings of embodiments of the invention which, however, should not be taken to limit the invention to the specific embodiments described, but are for explanation and understanding only.
  • FIG. 1 illustrates a system 100 including a system device 106 coupled to an interface circuit 102, which is in turn coupled to a plurality of physical memory circuits 104A-N. The physical memory circuits may be any type of memory circuits. In some embodiments, each physical memory circuit is a separate memory chip. For example, each may be a DDR2 DRAM. In some embodiments, the memory circuits may be symmetrical, meaning each has the same capacity, type, speed, etc., while in other embodiments they may be asymmetrical. For ease of illustration only, three such memory circuits are shown, but actual embodiments may use any plural number of memory circuits. As will be discussed below, the memory chips may optionally be coupled to a memory module (not shown), such as a DIMM.
  • The system device may be any type of system capable of requesting and/or initiating a process that results in an access of the memory circuits. The system may include a memory controller (not shown) through which it accesses the memory circuits.
  • The interface circuit may include any circuit or logic capable of directly or indirectly communicating with the memory circuits, such as a buffer chip, advanced memory buffer (AMB) chip, etc. The interface circuit interfaces a plurality of signals 108 between the system device and the memory circuits. Such signals may include, for example, data signals, address signals, control signals, clock signals, and so forth. In some embodiments, all of the signals communicated between the system device and the memory circuits are communicated via the interface circuit. In other embodiments, some other signals 110 are communicated directly between the system device (or some component thereof, such as a memory controller, an AMB, or a register) and the memory circuits, without passing through the interface circuit. In some such embodiments, the majority of signals are communicated via the interface circuit, such that L>M.
  • As will be explained in greater detail below, the interface circuit presents to the system device an interface to emulated memory devices which differ in some aspect from the physical memory circuits which are actually present. For example, the interface circuit may tell the system device that the number of emulated memory circuits is different than the actual number of physical memory circuits. The terms “emulating”, “emulated”, “emulation”, and the like will be used in this disclosure to signify emulation, simulation, disguising, transforming, converting, and the like, which results in at least one characteristic of the memory circuits appearing to the system device to be different than the actual, physical characteristic. In some embodiments, the emulated characteristic may be electrical in nature, physical in nature, logical in nature, pertaining to a protocol, etc. An example of an emulated electrical characteristic might be a signal, or a voltage level. An example of an emulated physical characteristic might be a number of pins or wires, a number of signals, or a memory capacity. An example of an emulated protocol characteristic might be a timing, or a specific protocol such as DDR3.
  • In the case of an emulated signal, such signal may be a control signal such as an address signal, a data signal, or a control signal associated with an activate operation, precharge operation, write operation, mode register read operation, refresh operation, etc. The interface circuit may emulate the number of signals, type of signals, duration of signal assertion, and so forth. It may combine multiple signals to emulate another signal.
  • The interface circuit may present to the system device an emulated interface to e.g. DDR3 memory, while the physical memory chips are, in fact, DDR2 memory. The interface circuit may emulate an interface to one version of a protocol such as DDR2 with 5-5-5 latency timing, while the physical memory chips are built to another version of the protocol such as DDR2 with 3-3-3 latency timing. The interface circuit may emulate an interface to a memory having a first capacity that is different than the actual combined capacity of the physical memory chips.
  • An emulated timing may relate to latency of e.g. a column address strobe (CAS) latency, a row address to column address latency (tRCD), a row precharge latency (tRP), an activate to precharge latency (tRAS), and so forth. CAS latency is related to the timing of accessing a column of data. tRCD is the latency required between the row address strobe (RAS) and CAS. tRP is the latency required to terminate an open row and open access to the next row. tRAS is the latency required to access a certain row of data between an activate operation and a precharge operation.
  • The interface circuit may be operable to receive a signal from the system device and communicate the signal to one or more of the memory circuits after a delay (which may be hidden from the system device). Such delay may be fixed, or in some embodiments it may be variable. If variable, the delay may depend on e.g. a function of the current signal or a previous signal, a combination of signals, or the like. The delay may include a cumulative delay associated with any one or more of the signals. The delay may result in a time shift of the signal forward or backward in time with respect to other signals. Different delays may be applied to different signals. The interface circuit may similarly be operable to receive a signal from a memory circuit and communicate the signal to the system device after a delay.
  • The interface circuit may take the form of, or incorporate, or be incorporated into, a register, an AMB, a buffer, or the like, and may comply with Joint Electron Device Engineering Council (JEDEC) standards, and may have forwarding, storing, and/or buffering capabilities.
  • In some embodiments, the interface circuit may perform operations without the system device's knowledge. One particularly useful such operation is a power-saving operation. The interface circuit may identify one or more of the memory circuits which are not currently being accessed by the system device, and perform the power saving operation on those. In one such embodiment, the identification may involve determining whether any page (or other portion) of memory is being accessed. The power saving operation may be a power down operation, such as a precharge power down operation.
  • The interface circuit may include one or more devices which together perform the emulation and related operations. The interface circuit may be coupled or packaged with the memory devices, or with the system device or a component thereof, or separately. In one embodiment, the memory circuits and the interface circuit are coupled to a DIMM.
  • FIG. 2 illustrates one embodiment of a system 200 including a system device (e.g. host system 204, etc.) which communicates address, control, clock, and data signals with a memory subsystem 201 via an interface.
  • The memory subsystem includes a buffer chip 202 which presents the host system with emulated interface to emulated memory, and a plurality of physical memory circuits which, in the example shown, are DRAM chips 206A-D. In one embodiment, the DRAM chips are stacked, and the buffer chip is placed electrically between them and the host system. Although the embodiments described here show the stack consisting of multiple DRAM circuits, a stack may refer to any collection of memory circuits (e.g. DRAM circuits, flash memory circuits, or combinations of memory circuit technologies, etc.).
  • The buffer chip buffers communicates signals between the host system and the DRAM chips, and presents to the host system an emulated interface to present the memory as though it were a smaller number of larger capacity DRAM chips, although in actuality there is a larger number of smaller capacity DRAM chips in the memory subsystem. For example, there may be eight 512 Mb physical DRAM chips, but the buffer chip buffers and emulates them to appear as a single 4 Gb DRAM chip, or as two 2 Gb DRAM chips. Although the drawing shows four DRAM chips, this is for ease of illustration only; the invention is, of course, not limited to using four DRAM chips.
  • In the example shown, the buffer chip is coupled to send address, control, and clock signals 208 to the DRAM chips via a single, shared address, control, and clock bus, but each DRAM chip has its own, dedicated data path for sending and receiving data signals 210 to/from the buffer chip.
  • Throughout this disclosure, the reference number I will be used to denote the interface between the host system and the buffer chip, the reference number 2 will be used to denote the address, control, and clock interface between the buffer chip and the physical memory circuits, and the reference number 3 will be used to denote the data interface between the buffer chip and the physical memory circuits, regardless of the specifics of how any of those interfaces is implemented in the various embodiments and configurations described below. In the configuration shown in FIG. 2, there is a single address, control, and clock interface channel 2 and four data interface channels 3; this implementation may thus be said to have a “1A4D” configuration (wherein “1A” means one address, control, and clock channel in interface 2, and “4D” means four data channels in interface 3).
  • In the example shown, the DRAM chips are physically arranged on a single side of the buffer chip. The buffer chip may, optionally, be a part of the stack of DRAM chips, and may optionally be the bottommost chip in the stack. Or, it may be separate from the stack.
  • FIG. 3 illustrates another embodiment of a system 301 in which the buffer chip 303 is interfaced to a host system 304 and is coupled to the DRAM chips 307A-307D somewhat differently than in the system of FIG. 2. There are a plurality of shared address, control, and clock busses 309A and 309B, and a plurality of shared data busses 305A and 305B. Each shared bus has two or more DRAM chips coupled to it. As shown, the sharing need not necessarily be the same in the data busses as it is in the address, control, and clock busses. This embodiment has a “2A2D” configuration.
  • FIG. 4 illustrates another embodiment of a system 411 in which the buffer chip 413 is interfaced to a host system 404 and is coupled to the DRAM chips 417A-417D somewhat differently than in the system of FIG. 2 or 3. There is a shared address, control, and clock bus 419, and a plurality of shared data busses 415A and 415B. Each shared bus has two or more DRAM chips coupled to it. This implementation has a “1A2D” configuration.
  • FIG. 5 illustrates another embodiment of a system 521 in which the buffer chip 523 is interfaced to a host system 504 and is coupled to the DRAM chips 527A-527D somewhat differently than in the system of FIGS. 2 through 4. There is a shared address, control, and clock bus 529, and a shared data bus 525. This implementation has a “1A1D” configuration.
  • FIG. 6 illustrates another embodiment of a system 631 in which the buffer chip 633 is interfaced to a host system 604 and is coupled to the DRAM chips 637A-637D somewhat differently than in the system of FIGS. 2 through 5. There is a plurality of shared address, control, and clock busses 639A and 639B, and a plurality of dedicated data paths 635. Each shared bus has two or more DRAM chips coupled to it. Further, in the example shown, the DRAM chips are physically arranged on both sides of the buffer chip. There may be, for example, sixteen DRAM chips, with the eight DRAM chips on each side of the buffer chip arranged in two stacks of four chips each. This implementation has a “2A4D” configuration.
  • FIGS. 2 through 6 are not intended to be an exhaustive listing of all possible permutations of data paths, busses, and buffer chip configurations, and are only illustrative of some ways in which the host system device can be in electrical contact only with the load of the buffer chip and thereby be isolated from whatever physical memory circuits, data paths, busses, etc. exist on the (logical) other side of the buffer chip.
  • FIG. 7 illustrates one embodiment of a method 700 for storing at least a portion of information received in association with a first operation, for use in performing a second operation. Such a method may be practiced in a variety of systems, such as, but not limited to, those of FIGS. 1-6. For example, the method may be performed by the interface circuit of FIG. 1 or the buffer chip of FIG. 2.
  • Initially, first information is received (702) in association with a first operation to be performed on at least one of the memory circuits (DRAM chips). Depending on the particular implementation, the first information may be received prior to, simultaneously with, or subsequent to the instigation of the first operation. The first operation may be, for example, a row operation, in which case the first information may include e.g. address values received by the buffer chip via the address bus from the host system. At least a portion of the first information is then stored (704).
  • The buffer chip also receives (706) second information associated with a second operation. For convenience, this receipt is shown as being after the storing of the first information, but it could also happen prior to or simultaneously with the storing. The second operation may be, for example, a column operation.
  • Then, the buffer chip performs (708) the second operation, utilizing the stored portion of the first information, and the second information.
  • If the buffer chip is emulating a memory device which has a larger capacity than each of the physical DRAM chips in the stack, the buffer chip may receive from the host system's memory controller more address bits than are required to address any given one of the DRAM chips. In this instance, the extra address bits may be decoded by the buffer chip to individually select the DRAM chips, utilizing separate chip select signals (not shown) to each of the DRAM chips in the stack.
  • For example, a stack of four ×4 1 Gb DRAM chips behind the buffer chip may appear to the host system as a single ×4 4 Gb DRAM circuit, in which case the memory controller may provide sixteen row address bits and three bank address bits during a row operation (e.g. an activate operation), and provide eleven column address bits and three bank address bits during a column operation (e.g. a read or write operation). However, the individual DRAM chips in the stack may require only fourteen row address bits and three bank address bits for a row operation, and eleven column address bits and three bank address bits during a column operation. As a result, during a row operation (the first operation in the method 702), the buffer chip may receive two address bits more than are needed by any of the DRAM chips. The buffer chip stores (704) these two extra bits during the row operation (in addition to using them to select the correct one of the DRAM chips), then uses them later, during the column operation, to select the correct one of the DRAM chips.
  • The mapping between a system address (from the host system to the buffer chip) and a device address (from the buffer chip to a DRAM chip) may be performed in various manners. In one embodiment, lower order system row address and bank address bits may be mapped directly to the device row address and bank address bits, with the most significant system row address bits (and, optionally, the most significant bank address bits) being stored for use in the subsequent column operation. In one such embodiment, what is stored is the decoded version of those bits; in other words, the extra bits may be stored either prior to or after decoding. The stored bits may be stored, for example, in an internal lookup table (not shown) in the buffer chip, for one or more clock cycles.
  • As another example, the buffer chip may have four 512 Mb DRAM chips with which it emulates a single 2 Gb DRAM chip. The system will present fifteen row address bits, from which the buffer chip may use the fourteen low order bits (or, optionally, some other set of fourteen bits) to directly address the DRAM chips. The system will present three bank address bits, from which the buffer chip may use the two low order bits (or, optionally, some other set of two bits) to directly address the DRAM chips. During a row operation, the most significant bank address bit (or other unused bit) and the most significant row address bit (or other unused bit) are used to generate the four DRAM chip select signals, and are stored for later reuse. And during a subsequent column operation, the stored bits are again used to generate the four DRAM chip select signals. Optionally, the unused bank address is not stored during the row operation, as it will be re-presented during the subsequent column operation.
  • As yet another example, addresses may be mapped between four 1 Gb DRAM circuits to emulate a single 4 Gb DRAM circuit. Sixteen row address bits and three bank address bits come from the host system, of which the low order fourteen address bits and all three bank address bits are mapped directly to the DRAM circuits. During a row operation, the two most significant row address bits are decoded to generate four chip select signals, and are stored using the bank address bits as the index. During the subsequent column operation, the stored row address bits are again used to generate the four chip select signals.
  • A particular mapping technique may be chosen, to ensure that there are no unnecessary combinational logic circuits in the critical timing path between the address input pins and address output pins of the buffer chip. Corresponding combinational logic circuits may instead be used to generate the individual chip select signals. This may allow the capacitive loading on the address outputs of the buffer chip to be much higher than the loading on the individual chip select signal outputs of the buffer chip.
  • In another embodiment, the address mapping may be performed by the buffer chip using some of the bank address signals from the host system to generate the chip select signals. The buffer chip may store the higher order row address bits during a row operation, using the bank address as the index, and then use the stored address bits as part of the DRAM circuit bank address during a column operation.
  • For example, four 512 Mb DRAM chips may be used in emulating a single 2 Gb DRAM. Fifteen row address bits come from the host system, of which the low order fourteen are mapped directly to the DRAM chips. Three bank address bits come from the host system, of which the least significant bit is used as a DRAM circuit bank address bit for the DRAM chips. The most significant row address bit may be used as an additional DRAM circuit bank address bit. During a row operation, the two most significant bank address bits are decoded to generate the four chip select signals. The most significant row address bit may be stored during the row operation, and reused during the column operation with the least significant bank address bit, to form the DRAM circuit bank address.
  • The column address from the host system memory controller may be mapped directly as the column address to the DRAM chips in the stack, since each of the DRAM chips may have the same page size, regardless any differences in the capacities of the (asymmetrical) DRAM chips.
  • Optionally, address bit A(10) may be used by the memory controller to enable or disable auto-precharge during a column operation, in which case the buffer chip may forward that bit to the DRAM circuits without any modification during a column operation.
  • In various embodiments, it may be desirable to determine whether the simulated DRAM circuit behaves according to a desired DRAM standard or other design specification. Behavior of many DRAM circuits is specified by the JEDEC standards, and it may be desirable to exactly emulate a particular JEDEC standard DRAM. The JEDEC standard defines control signals that a DRAM circuit must accept and the behavior of the DRAM circuit as a result of such control signals. For example, the JEDEC specification for DDR2 DRAM is known as JESD79-2B. If it is desired to determine whether a standard is met, the following algorithm may be used. Using a set of software verification tools, it checks for formal verification of logic, that protocol behavior of the simulated DRAM circuit is the same as the desired standard or other design specification. Examples of suitable verification tools include: Magellan, supplied by Synopsys, Inc. of 700 E. Middlefield Rd., Mt. View, Calif. 94043; Incisive, supplied by Cadence Design Systems, Inc., of 2655 Sealy Ave., San Jose, Calif. 95134; tools supplied by Jasper Design Automation, Inc. of 100 View St. #100, Mt. View, Calif. 94041; Verix, supplied by Real Intent, Inc., of 505 N. Mathilda Ave. #210, Sunnyvale, Calif. 94085; 0-In, supplied by Mentor Graphics Corp. of 8005 SW Boeckman Rd., Wilsonville, Oreg. 97070; and others. These software verification tools use written assertions that correspond to the rules established by the particular DRAM protocol and specification. These written assertions are further included in the code that forms the logic description for the buffer chip. By writing assertions that correspond to the desired behavior of the emulated DRAM circuit, a proof may be constructed that determines whether the desired design requirements are met.
  • For instance, an assertion may be written that no two DRAM control signals are allowed to be issued to an address, control, and clock bus at the same time. Although one may know which of the various buffer chip/DRAM stack configurations and address mappings (such as those described above) are suitable, the verification process allows a designer to prove that the emulated DRAM circuit exactly meets the required standard etc. If, for example, an address mapping that uses a common bus for data and a common bus for address, results in a control and clock bus that does not meet a required specification, alternative designs for buffer chips with other bus arrangements or alternative designs for the sideband signal interconnect between two or more buffer chips may be used and tested for compliance. Such sideband signals convey the power management signals, for example.
  • FIG. 8 illustrates a high capacity DIMM 800 using a plurality of buffered stacks of DRAM circuits 802 and a register device 804, according to one embodiment of this invention. The register performs the addressing and control of the buffered stacks. In some embodiments, the DIMM may be an FB-DIMM, in which case the register is an AMB. In one embodiment the emulation is performed at the DIMM level.
  • FIG. 9 is a timing diagram illustrating a timing design 900 of a buffer chip which makes a buffered stack of DRAM chips mimic a larger DRAM circuit having longer CAS latency, in accordance with another embodiment of this invention. Any delay through a buffer chip may be made transparent to the host system's memory controller, by using such a method. Such a delay may be a result of the buffer chip being located electrically between the memory bus of the host system and the stacked DRAM circuits, since some or all of the signals that connect the memory bus to the DRAM circuits pass through the buffer chip. A finite amount of time may be needed for these signals to traverse through the buffer chip. With the exception of register chips and AMBs, industry standard memory protocols may not comprehend the buffer chip that sits between the memory bus and the DRAM chips. Industry standards narrowly define the properties of a register chip and an AMB, but not the properties of the buffer chip of this embodiment. Thus, any signal delay caused by the buffer chip may cause a violation of the industry standard protocols.
  • In one embodiment, the buffer chip may cause a one-half clock cycle delay between the buffer chip receiving address and control signals from the host system memory controller (or, optionally, from a register chip or an AMB), and the address and control signals being valid at the inputs of the stacked DRAM circuits. Data signals may also have a one-half clock cycle delay in either direction to/from the host system. Other amounts of delay are, of course, possible, and the half-clock cycle example is for illustration only.
  • The cumulative delay through the buffer chip is the sum of a delay of the address and control signals and a delay of the data signals. FIG. 9 illustrates an example where the buffer chip is using DRAM chips having a native CAS latency of i clocks, and the buffer chip delay is j clocks, thus the buffer chip emulates a DRAM having a CAS latency of i+j clocks. In the example shown, the DRAM chips have a native CAS latency 906 of four clocks (from t1 to t5), and the total latency through the buffer chip is two clocks (one clock delay 902 from t0 to t1 for address and control signals, plus one clock delay 904 from t5 to t6 for data signals), and the buffer chip emulates a DRAM having a six clock CAS latency 908.
  • In FIG. 9 (and other timing diagrams), the reference numbers 1, 2, and/or 3 at the left margin indicate which of the interfaces correspond to the signals or values illustrated on the associated waveforms. For example, in FIG. 9: the “Clock” signal shown as a square wave on the uppermost waveform is indicated as belonging to the interface 1 between the host system and the buffer chip; the “Control Input to Buffer” signal is also part of the interface 1; the “Control Input to DRAM” waveform is part of the interface 2 from the buffer chip to the physical memory circuits; the “Data Output from DRAM” waveform is part of the interface 3 from the physical memory circuits to the buffer chip; and the “Data Output from Buffer” shown in the lowermost waveform is part of the interface 1 from the buffer chip to the host system.
  • FIG. 10 is a timing diagram illustrating a timing design 1000 of write data timing expected by a DRAM circuit in a buffered stack. Emulation of a larger capacity DRAM circuit having higher CAS latency (as in FIG. 9) may, in some implementations, create a problem with the timing of write operations. For example, with respect to a buffered stack of DDR2 SDRAM chips with a read CAS latency of four clocks which are used in emulating a single larger DDR2 SDRAM with a read CAS latency of six clocks, the DDR2 SDRAM protocol may specify that the write CAS latency 1002 is one less than the read CAS latency. Therefore, since the buffered stack appears as a DDR2 SDRAM with a read CAS latency of six clocks, the memory controller may use a buffered stack write CAS latency of five clocks 1004 when scheduling a write operation to the memory.
  • In the specific example shown, the memory controller issues the write operation at t0. After a one clock cycle delay through the buffer chip, the write operation is issued to the DRAM chips at t1. Because the memory controller believes it is connected to memory having a read CAS latency of six clocks and thus a write CAS latency of five clocks, it issues the write data at time t0+5=t5. But because the physical DRAM chips have a read CAS latency of four clocks and thus a write CAS latency of three clocks, they expect to receive the write data at time t1+3=t4. Hence the problem, which the buffer chip may alleviate by delaying write operations.
  • The waveform “Write Data Expected by DRAM” is not shown as belonging to interface 1, interface 2, or interface 3, for the simple reason that there is no such signal present in any of those interfaces. That waveform represents only what is expected by the DRAM, not what is actually provided to the DRAM.
  • FIG. 11 is a timing illustrating a timing design 1100 showing how the buffer chip does this. The memory controller issues the write operation at t0. In FIG. 10, the write operation appeared at the DRAM circuits one clock later at t1, due to the inherent delay through the buffer chip. But in FIG. 11, in addition to the inherent one clock delay, the buffer chip has added an extra two clocks of delay to the write operation, which is not issued to the DRAM chips until t0+1+2=t3. Because the DRAM chips receive the write operation at t3 and have a write CAS latency of three clocks, they expect to receive the write data at t3+3=t6. Because the memory controller issued the write operation at t0, and it expects a write CAS latency of five clocks, it issues the write data at time t0+5=t5. After a one clock delay through the buffer chip, the write data arrives at the DRAM chips at t5+1=t6, and the timing problem is solved.
  • It should be noted that extra delay of j clocks (beyond the inherent delay) which the buffer chip deliberately adds before issuing the write operation to the DRAM is the sum j clocks of the inherent delay of the address and control signals and the inherent delay of the data signals. In the example shown, both those inherent delays are one clock, so j=2.
  • FIG. 12 is a timing diagram illustrating operation of an FB-DIMM's AMB, which may be designed to send write data earlier to buffered stacks instead of delaying the write address and operation (as in FIG. 11). Specifically, it may use an early write CAS latency 1202 to compensate the timing of the buffer chip write operation. If the buffer chip has a cumulative (address and data) inherent delay of two clocks, the AMB may send the write data to the buffered stack two clocks early. This may not be possible in the case of registered DIMMs, in which the memory controller sends the write data directly to the buffered stacks (rather than via the AMB). In another embodiment, the memory controller itself could be designed to send write data early, to compensate for the j clocks of cumulative inherent delay caused by the buffer chip.
  • In the example shown, the memory controller issues the write operation at t0. After a one clock inherent delay through the buffer chip, the write operation arrives at the DRAM at t1. The DRAM expects the write data at t1+3=t4. The industry specification would suggest a nominal write data time of t0+5=t5, but the AMB (or memory controller), which already has the write data (which are provided with the write operation), is configured to perform an early write at t5−2=t3. After the inherent delay 1203 through the buffer chip, the write data arrive at the DRAM at t3+1=t4, exactly when the DRAM expects it—specifically, with a three-cycle DRAM Write CAS latency 1204 which is equal to the three-cycle Early Write CAS Latency 1202.
  • FIG. 13 is a timing diagram 1300 illustrating bus conflicts which can be caused by delayed write operations. The delaying of write addresses and write operations may be performed by a buffer chip, a register, an AMB, etc. in a manner that is completely transparent to the memory controller of the host system. And, because the memory controller is unaware of this delay, it may schedule subsequent operations such as activate or precharge operations, which may collide with the delayed writes on the address bus to the DRAM chips in the stack.
  • An example is shown, in which the memory controller issues a write operation 1302 at time t0. The buffer chip or AMB delays the write operation, such that it appears on the bus to the DRAM chips at time t3. Unfortunately, at time t2 the memory controller issued an activate operation (control signal) 1304 which, after a one-clock inherent delay through the buffer chip, appears on the bus to the DRAM chips at time t3, colliding with the delayed write.
  • FIGS. 14 and 15 are a timing diagram 1400 and a timing diagram 1500 illustrating methods of avoiding such collisions. If the cumulative latency through the buffer chip is two clock cycles, and the native read CAS latency of the DRAM chips is four clock cycles, then in order to hide the delay of the address and control signals and the data signals through the buffer chip, the buffer chip presents the host system with an interface to an emulated memory having a read CAS latency of six clock cycles. And if the tRCD and tRP of the DRAM chips are four clock cycles each, the buffer chip tells the host system that they are six clock cycles each in order to allow the buffer chip to delay the activate and precharge operations to avoid collisions in a manner that is transparent to the host system.
  • For example, a buffered stack that uses 4-4-4 DRAM chips (that is, CAS latency=4, tRCD=4, and tRP=4) may appear to the host system as one larger DRAM that uses 6-6-6 timing.
  • Since the buffered stack appears to the host system's memory controller as having a tRCD of six clock cycles, the memory controller may schedule a column operation to a bank six clock cycles (at time t6) after an activate (row) operation (at time t0) to the same bank. However, the DRAM chips in the stack actually have a tRCD of four clock cycles. This gives the buffer chip time to delay the activate operation by up to two clock cycles, avoiding any conflicts on the address bus between the buffer chip and the DRAM chips, while ensuring correct read and write timing on the channel between the memory controller and the buffered stack.
  • As shown, the buffer chip may issue the activate operation to the DRAM chips one, two, or three clock cycles after it receives the activate operation from the memory controller, register, or AMB. The actual delay selected may depend on the presence or absence of other DRAM operations that may conflict with the activate operation, and may optionally change from one activate operation to another. In other words, the delay may be dynamic. A one-clock delay (1402A, 1502A) may be accomplished simply by the inherent delay through the buffer chip. A two-clock delay (1402B, 1502B) may be accomplished by adding one clock of additional delay to the one-clock inherent delay, and a three-clock delay (1402C, 1502C) may be accomplished by adding two clocks of additional delay to the one-clock inherent delay. A read, write, or activate operation issued by the memory controller at time t6 will, after a one-clock inherent delay through the buffer chip, be issued to the DRAM chips at time t7. A preceding activate or precharge operation issued by the memory controller at time t0 will, depending upon the delay, be issued to the DRAM chips at time t1, t2, or t3, each of which is at least the tRCD or tRP of four clocks earlier than the t7 issuance of the read, write, or activate operation.
  • Since the buffered stack appears to the memory controller to have a tRP of six clock cycles, the memory controller may schedule a subsequent activate (row) operation to a bank a minimum of six clock cycles after issuing a precharge operation to that bank. However, since the DRAM circuits in the stack actually have a tRP of four clock cycles, the buffer chip may have the ability to delay issuing the precharge operation to the DRAM chips by up to two clock cycles, in order to avoid any conflicts on the address bus, or in order to satisfy the tRAS requirements of the DRAM chips.
  • In particular, if the activate operation to a bank was delayed to avoid an address bus conflict, then the precharge operation to the same bank may be delayed by the buffer chip to satisfy the tRAS requirements of the DRAM. The buffer chip may issue the precharge operation to the DRAM chips one, two, or three clock cycles after it is received. The delay selected may depend on the presence or absence of address bus conflicts or tRAS violations, and may change from one precharge operation to another.
  • FIG. 16 illustrates a buffered stack 1600 according to one embodiment of this invention. The buffered stack includes four 512 Mb DDR2 DRAM circuits (chips) 1602 which a buffer chip 1604 maps to a single 2 Gb DDR2 DRAM.
  • Although the multiple DRAM chips appear to the memory controller as though they were a single, larger DRAM, the combined power dissipation of the actual DRAM chips may be much higher than the power dissipation of a monolithic DRAM of the same capacity. In other words, the physical DRAM may consume significantly more power than would be consumed by the emulated DRAM.
  • As a result, a DIM M containing multiple buffered stacks may dissipate much more power than a standard DIMM of the same actual capacity using monolithic DRAM circuits. This increased power dissipation may limit the widespread adoption of DIMMs that use buffered stacks. Thus, it is desirable to have a power management technique which reduces the power dissipation of DIMMs that use buffered stacks.
  • In one such technique, the DRAM circuits may be opportunistically placed in low power states or modes. For example, the DRAM circuits may be placed in a precharge power down mode using the clock enable (CKE) pin of the DRAM circuits.
  • A single rank registered DIMM (R-DIMM) may contain a plurality of buffered stacks, each including four ×4 512Mb DDR2 SDRAM chips and appear (to the memory controller via emulation by the buffer chip) as a single ×4 2 Gb DDR2 SDRAM. The JEDEC standard indicates that a 2 Gb DDR2 SDRAM may generally have eight banks, shown in FIG. 16 as Bank 0 to Bank 7. Therefore, the buffer chip may map each 512 Mb DRAM chip in the stack to two banks of the equivalent 2 Gb DRAM, as shown; the first DRAM chip 1602A is treated as containing banks 0 and 1, 1602B is treated as containing banks 2 and 4, and so forth.
  • The memory controller may open and close pages in the DRAM banks based on memory requests it receives from the rest of the host system. In some embodiments, no more than one page may be able to be open in a bank at any given time. In the embodiment shown in FIG. 16, each DRAM chip may therefore have up to two pages open at a time. When a DRAM chip has no open pages, the power management scheme may place it in the precharge power down mode.
  • The clock enable inputs of the DRAM chips may be controlled by the buffer chip, or by another chip (not shown) on the R-DIMM, or by an AMB (not shown) in the case of an FB-DIMM, or by the memory controller, to implement the power management technique. The power management technique may be particularly effective if it implements a closed page policy.
  • Another optional power management technique may include mapping a plurality of DRAM circuits to a single bank of the larger capacity emulated DRAM. For example, a buffered stack (not shown) of sixteen ×4 256 Mb DDR2 SDRAM chips may be used in emulating a single x4 4 Gb DDR2 SDRAM. The 4 Gb DRAM is specified by JEDEC as having eight banks of 512 Mbs each, so two of the 256 Mb DRAM chips may be mapped by the buffer chip to emulate each bank (whereas in FIG. 16 one DRAM was used to emulate two banks).
  • However, since only one page can be open in a bank at any given time, only one of the two DRAM chips emulating that bank can be in the active state at any given time. If the memory controller opens a page in one of the two DRAM chips, the other may be placed in the precharge power down mode. Thus, if a number p of DRAM chips are used to emulate one bank, at least p−1 of them may be in a power down mode at any given time; in other words, at least p−1 of the p chips are always in power down mode, although the particular powered down chips will tend to change over time, as the memory controller opens and closes various pages of memory.
  • As a caveat on the term “always” in the preceding paragraph, the power saving operation may comprise operating in precharge power down mode except when refresh is required.
  • FIG. 17 is a flow chart 1700 illustrating one embodiment of a method of refreshing a plurality of memory circuits. A refresh control signal is received (1702) e.g. from a memory controller which intends to refresh an emulated memory circuit. In response to receipt of the refresh control signal, a plurality of refresh control signals are sent (1704) e.g. by a buffer chip to a plurality of physical memory circuits at different times. These refresh control signals may optionally include the received refresh control signal or an instantiation or copy thereof. They may also, or instead, include refresh control signals that are different in at least one aspect (format, content, etc.) from the received signal.
  • In some embodiments, at least one first refresh control signal may be sent to a first subset of the physical memory circuits at a first time, and at least one second refresh control signal may be sent to a second subset of the physical memory circuits at a second time. Each refresh signal may be sent to one physical memory circuit, or to a plurality of physical memory circuits, depending upon the particular implementation.
  • The refresh control signals may be sent to the physical memory circuits after a delay in accordance with a particular timing. For example, the timing in which they are sent to the physical memory circuits may be selected to minimize an electrical current drawn by die memory, or to minimize a power consumption of the memory. This may be accomplished by staggering a plurality of refresh control signals. Or, the timing may be selected to comply with e.g. a tRFC parameter associated with the memory circuits.
  • To this end, physical DRAM circuits may receive periodic refresh operations to maintain integrity of data stored therein. A memory controller may initiate refresh operations by issuing refresh control signals to the DRAM circuits with sufficient frequency to prevent any loss of data in the DRAM circuits. After a refresh control signal is issued, a minimum time tRFC may be required to elapse before another control signal may be issued to that DRAM circuit. The tRFC parameter value may increase as the size of the DRAM circuit increases.
  • When the buffer chip receives a refresh control signal from the memory controller, it may refresh the smaller DRAM circuits within the span of time specified by the tRFC of the emulated DRAM circuit. Since the IRFC of the larger, emulated DRAM is longer than the tRFC of the smaller, physical DRAM circuits, it may not be necessary to issue any or all of the refresh control signals to the physical DRAM circuits simultaneously. Refresh control signals may be issued separately to individual DRAM circuits or to groups of DRAM circuits, provided that the tRFC requirements of all physical DRAMs has been met by the time the emulated DRAM's tRFC has elapsed. In use, the refreshes may be spaced in time to minimize the peak current draw of the combination buffer chip and DRAM circuit set during a refresh operation.
  • FIG. 18 illustrates one embodiment of an interface circuit such as may be utilized in any of the above-described memory systems, for interfacing between a system and memory circuits. The interface circuit may be included in the buffer chip, for example.
  • The interface circuit includes a system address signal interface for sending/receiving address signals to/from the host system, a system control signal interface for sending/receiving control signals to/from the host system, a system clock signal interface for sending/receiving clock signals to/from the host system, and a system data signal interface for sending/receiving data signals to/from the host system. The interface circuit further includes a memory address signal interface for sending/receiving address signals to/from the physical memory, a memory control signal interface for sending/receiving control signals to/from the physical memory, a memory clock signal interface for sending/receiving clock signals to/from the physical memory, and a memory data signal interface for sending/receiving data signals to/from the physical memory.
  • The host system includes a set of memory attribute expectations, or built-in parameters of the physical memory with which it has been designed to work (or with which it has been told, e.g. by the buffer circuit, it is working). Accordingly, the host system includes a set of memory interaction attributes, or built-in parameters according to which the host system has been designed to operate in its interactions with the memory. These memory interaction attributes and expectations will typically, but not necessarily, be embodied in the host system's memory controller.
  • In addition to physical storage circuits or devices, the physical memory itself has a set of physical attributes.
  • These expectations and attributes may include, by way of example only, memory timing, memory capacity, memory latency, memory functionality, memory type, memory protocol, memory power consumption, memory current requirements, and so forth.
  • The interface circuit includes memory physical attribute storage for storing values or parameters of various physical attributes of the physical memory circuits. The interface circuit further includes system emulated attribute storage. These storage systems may be read/write capable stores, or they may simply be a set of hard-wired logic or values, or they may simply be inherent in the operation of the interface circuit.
  • The interface circuit includes emulation logic which operates according to the stored memory physical attributes and the stored system emulation attributes, to present to the system an interface to an emulated memory which differs in at least one attribute from the actual physical memory. The emulation logic may, in various embodiments, alter a timing, value, latency, etc. of any of the address, control, clock, and/or data signals it sends to or receives from the system and/or the physical memory. Some such signals may pass through unaltered, while others may be altered. The emulation logic may be embodied as, for example, hard wired logic, a state machine, software executing on a processor, and so forth.
  • CONCLUSION
  • When one component is said to be “adjacent” another component, it should not be interpreted to mean that there is absolutely nothing between the two components, only that they are in the order indicated.
  • The physical memory circuits employed in practicing this invention may be any type of memory whatsoever, such as: DRAM, DDR DRAM, DDR2 DRAM, DDR3 DRAM, SDRAM, QDR DRAM, DRDRAM, FPM DRAM, VDRAMI, EDO DRAM, BEDO DRAM, MDRAM, SGRAM, MRAM, IRAM, NAND flash, NOR flash, PSRAM, wetware memory, etc.
  • The physical memory circuits may be coupled to any type of memory module, such as: DIMM, R-DIMM, SO-DIMM, FB-DIMM, unbuffered DIMM, etc.
  • The system device which accesses the memory may be any type of system device, such as: desktop computer, laptop computer, workstation, server, consumer electronic device, television, personal digital assistant (PDA), mobile phone, printer or other peripheral device, etc.
  • The various features illustrated in the figures may be combined in many ways, and should not be interpreted as though limited to the specific embodiments in which they were explained and shown.
  • Those skilled in the art, having the benefit of this disclosure, will appreciate that many other variations from the foregoing description and drawings may be made within the scope of the present invention. Indeed, the invention is not limited to the details described above. Rather, it is the following claims including any amendments thereto that define the scope of the invention.

Claims (20)

1. A sub-system, comprising:
an interface circuit adapted for communication with a system and a majority of address or control signals of a first number of memory circuits, the interface circuit including emulation logic for emulating at least one memory circuit of a second number.
2. The sub-system of claim 1, wherein the second number is less than the first number.
3. The sub-system of claim 2, wherein the second number is one.
4. The sub-system of claim 1, wherein the emulation logic emulates at least one memory circuit with a first memory capacity that is different than a second memory capacity of at least one of the plurality of memory circuits.
5. The sub-system of claim 1, wherein the interface circuit is adapted for communication with all of the address or control signals of the memory circuits.
6. The sub-system of claim 1, wherein the interface circuit is adapted for communication with a majority of the address signals of the memory circuits.
7. The sub-system of claim 1, wherein the interface circuit is adapted for communication with a majority of the control signals of the memory circuits.
8. The sub-system of claim 1, wherein the emulation includes an electrical emulation.
9. The sub-system of claim 1, wherein the emulation includes a logical emulation.
10. The sub-system of claim 1, wherein the interface circuit includes a buffer chip.
11. The sub-system of claim 1, wherein the interface circuit is positioned on a dual in-line memory module (DIMM).
12. The sub-system of claim 11, wherein the DIMM includes a small outline-DIMM (SO-DIMM).
13. The sub-system of claim 11, wherein the DIMM includes a fully buffered-DIMM (FB-DIMM).
14. The sub-system of claim 11, wherein the DIMM includes a registered-DIMM (R-DIMM).
15. The sub-system of claim 1, wherein the memory circuits each include dynamic random access memory (DRAM).
16. The sub-system of claim 15, wherein the memory circuits each include a monolithic DRAM.
17. The sub-system of claim 16, wherein the memory circuits are stacked.
18. The sub-system of claim 16, wherein the memory circuits and the interface circuit are stacked.
19. A method, comprising:
interfacing a majority of address or control signals of a first number of memory circuits and a system; and
emulating at least one memory circuit of a second number.
20. An apparatus, comprising:
a first number of memory circuits; and
an interface circuit in communication with the memory circuits, the interface circuit including emulation logic for emulating at least one memory circuit of a second number;
wherein the interface circuit interfaces a majority of address or control signals of the memory circuits.
US11/929,432 2006-07-31 2007-10-30 Memory device with emulated characteristics Abandoned US20080126689A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/929,432 US20080126689A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/461,420 US8359187B2 (en) 2005-06-24 2006-07-31 Simulating a different number of memory circuit devices
US11/762,010 US8041881B2 (en) 2006-07-31 2007-06-12 Memory device with emulated characteristics
US11/929,432 US20080126689A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/762,010 Continuation US8041881B2 (en) 2005-06-24 2007-06-12 Memory device with emulated characteristics

Publications (1)

Publication Number Publication Date
US20080126689A1 true US20080126689A1 (en) 2008-05-29

Family

ID=39331369

Family Applications (12)

Application Number Title Priority Date Filing Date
US11/762,010 Active 2026-09-04 US8041881B2 (en) 2005-06-24 2007-06-12 Memory device with emulated characteristics
US11/929,483 Abandoned US20080104314A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,432 Abandoned US20080126689A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,403 Abandoned US20080126687A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,286 Abandoned US20080109206A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,450 Abandoned US20080103753A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,417 Abandoned US20080126688A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,320 Active 2027-05-29 US8019589B2 (en) 2006-07-31 2007-10-30 Memory apparatus operable to perform a power-saving operation
US13/181,716 Active 2026-10-18 US8601204B2 (en) 2006-07-31 2011-07-13 Simulating a refresh operation latency
US13/182,234 Active 2027-03-18 US8595419B2 (en) 2006-07-31 2011-07-13 Memory apparatus operable to perform a power-saving operation
US13/181,747 Active 2027-03-11 US8671244B2 (en) 2006-07-31 2011-07-13 Simulating a memory standard
US13/620,291 Active US8745321B2 (en) 2006-07-31 2012-09-14 Simulating a memory standard

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/762,010 Active 2026-09-04 US8041881B2 (en) 2005-06-24 2007-06-12 Memory device with emulated characteristics
US11/929,483 Abandoned US20080104314A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics

Family Applications After (9)

Application Number Title Priority Date Filing Date
US11/929,403 Abandoned US20080126687A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,286 Abandoned US20080109206A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,450 Abandoned US20080103753A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,417 Abandoned US20080126688A1 (en) 2006-07-31 2007-10-30 Memory device with emulated characteristics
US11/929,320 Active 2027-05-29 US8019589B2 (en) 2006-07-31 2007-10-30 Memory apparatus operable to perform a power-saving operation
US13/181,716 Active 2026-10-18 US8601204B2 (en) 2006-07-31 2011-07-13 Simulating a refresh operation latency
US13/182,234 Active 2027-03-18 US8595419B2 (en) 2006-07-31 2011-07-13 Memory apparatus operable to perform a power-saving operation
US13/181,747 Active 2027-03-11 US8671244B2 (en) 2006-07-31 2011-07-13 Simulating a memory standard
US13/620,291 Active US8745321B2 (en) 2006-07-31 2012-09-14 Simulating a memory standard

Country Status (1)

Country Link
US (12) US8041881B2 (en)

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080133825A1 (en) * 2006-07-31 2008-06-05 Suresh Natarajan Rajan System and method for simulating an aspect of a memory circuit
US20090290442A1 (en) * 2005-06-24 2009-11-26 Rajan Suresh N Method and circuit for configuring memory core integrated circuit dies with memory interface integrated circuit dies
US7724589B2 (en) 2006-07-31 2010-05-25 Google Inc. System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits
US20100257304A1 (en) * 2006-07-31 2010-10-07 Google Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US20100293325A1 (en) * 2009-01-23 2010-11-18 Cypress Semiconductor Corporation Memory devices and systems including multi-speed access of memory modules
US8019589B2 (en) 2006-07-31 2011-09-13 Google Inc. Memory apparatus operable to perform a power-saving operation
US8055833B2 (en) 2006-10-05 2011-11-08 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US8060774B2 (en) 2005-06-24 2011-11-15 Google Inc. Memory systems and memory modules
US8077535B2 (en) 2006-07-31 2011-12-13 Google Inc. Memory refresh apparatus and method
US8081474B1 (en) 2007-12-18 2011-12-20 Google Inc. Embossed heat spreader
US8080874B1 (en) 2007-09-14 2011-12-20 Google Inc. Providing additional space between an integrated circuit and a circuit board for positioning a component therebetween
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US8111566B1 (en) 2007-11-16 2012-02-07 Google, Inc. Optimal channel design for memory devices for providing a high-speed memory interface
US8130560B1 (en) 2006-11-13 2012-03-06 Google Inc. Multi-rank partial width memory modules
US8169233B2 (en) 2009-06-09 2012-05-01 Google Inc. Programming of DIMM termination resistance values
US8181048B2 (en) 2006-07-31 2012-05-15 Google Inc. Performing power management operations
US8209479B2 (en) 2007-07-18 2012-06-26 Google Inc. Memory circuit system and method
US8213205B2 (en) 2005-09-02 2012-07-03 Google Inc. Memory system including multiple memory stacks
US8244971B2 (en) 2006-07-31 2012-08-14 Google Inc. Memory circuit system and method
US8280714B2 (en) 2006-07-31 2012-10-02 Google Inc. Memory circuit simulation system and method with refresh capabilities
US8327104B2 (en) 2006-07-31 2012-12-04 Google Inc. Adjusting the timing of signals associated with a memory system
US8335894B1 (en) 2008-07-25 2012-12-18 Google Inc. Configurable memory system with interface circuit
US8386722B1 (en) 2008-06-23 2013-02-26 Google Inc. Stacked DIMM memory interface
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
US8566516B2 (en) 2006-07-31 2013-10-22 Google Inc. Refresh management of memory modules
US8572320B1 (en) 2009-01-23 2013-10-29 Cypress Semiconductor Corporation Memory devices and systems including cache devices for memory modules
US8588017B2 (en) 2010-10-20 2013-11-19 Samsung Electronics Co., Ltd. Memory circuits, systems, and modules for performing DRAM refresh operations and methods of operating the same
US8796830B1 (en) 2006-09-01 2014-08-05 Google Inc. Stackable low-profile lead frame package
US8930647B1 (en) 2011-04-06 2015-01-06 P4tents1, LLC Multiple class memory systems
US8949519B2 (en) 2005-06-24 2015-02-03 Google Inc. Simulating a memory circuit
US9158546B1 (en) 2011-04-06 2015-10-13 P4tents1, LLC Computer program product for fetching from a first physical memory between an execution of a plurality of threads associated with a second physical memory
US9164679B2 (en) 2011-04-06 2015-10-20 Patents1, Llc System, method and computer program product for multi-thread operation involving first memory of a first memory class and second memory of a second memory class
US9170744B1 (en) 2011-04-06 2015-10-27 P4tents1, LLC Computer program product for controlling a flash/DRAM/embedded DRAM-equipped system
US9171585B2 (en) 2005-06-24 2015-10-27 Google Inc. Configurable memory circuit system and method
US9176671B1 (en) 2011-04-06 2015-11-03 P4tents1, LLC Fetching data between thread execution in a flash/DRAM/embedded DRAM-equipped system
US9417754B2 (en) 2011-08-05 2016-08-16 P4tents1, LLC User interface system, method, and computer program product
US9507739B2 (en) 2005-06-24 2016-11-29 Google Inc. Configurable memory circuit system and method
US9542353B2 (en) 2006-02-09 2017-01-10 Google Inc. System and method for reducing command scheduling constraints of memory circuits
US9632929B2 (en) 2006-02-09 2017-04-25 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US10013371B2 (en) 2005-06-24 2018-07-03 Google Llc Configurable memory circuit system and method
US10679722B2 (en) 2016-08-26 2020-06-09 Sandisk Technologies Llc Storage system with several integrated components and method for use therewith

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7580312B2 (en) * 2006-07-31 2009-08-25 Metaram, Inc. Power saving system and method for use with a plurality of memory circuits
US7392338B2 (en) * 2006-07-31 2008-06-24 Metaram, Inc. Interface circuit system and method for autonomously performing power management operations in conjunction with a plurality of memory circuits
US8787060B2 (en) 2010-11-03 2014-07-22 Netlist, Inc. Method and apparatus for optimizing driver load in a memory package
US7929368B2 (en) * 2008-12-30 2011-04-19 Micron Technology, Inc. Variable memory refresh devices and methods
US8018752B2 (en) 2009-03-23 2011-09-13 Micron Technology, Inc. Configurable bandwidth memory devices and methods
WO2011008356A2 (en) * 2009-06-30 2011-01-20 Rambus Inc. Techniques for adjusting clock signals to compensate for noise
JP2012256821A (en) * 2010-09-13 2012-12-27 Semiconductor Energy Lab Co Ltd Memory device
JP2012146377A (en) * 2011-01-14 2012-08-02 Elpida Memory Inc Semiconductor device
JP5932236B2 (en) * 2011-04-13 2016-06-08 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor device and system
US10141314B2 (en) * 2011-05-04 2018-11-27 Micron Technology, Inc. Memories and methods to provide configuration information to controllers
US8639918B2 (en) * 2011-08-31 2014-01-28 Dell Products L.P. Memory compatibility system and method
US10355001B2 (en) 2012-02-15 2019-07-16 Micron Technology, Inc. Memories and methods to provide configuration information to controllers
KR20130102816A (en) * 2012-03-08 2013-09-23 삼성전자주식회사 An data processing device and method for protecting data loss thereof
US20130332512A1 (en) * 2012-06-10 2013-12-12 Apple Inc. Creating and publishing image streams
CN102880552B (en) * 2012-07-31 2015-01-14 中国人民解放军国防科学技术大学 Hybrid address mapping method for multi-core multi-threading processor
US8977890B2 (en) * 2012-08-31 2015-03-10 Kabushiki Kaisha Toshiba Memory system and control method
JP6465806B2 (en) * 2012-11-20 2019-02-06 アイ. ペドル,チャールズ Solid state drive architecture
US11037625B2 (en) * 2012-11-20 2021-06-15 Thstyme Bermuda Limited Solid state drive architectures
CN104123234B (en) * 2013-04-27 2017-04-05 华为技术有限公司 Memory pool access method and memory system
US9123441B1 (en) 2014-04-04 2015-09-01 Inphi Corporation Backward compatible dynamic random access memory device and method of testing therefor
KR20160041329A (en) * 2014-10-07 2016-04-18 에스케이하이닉스 주식회사 Semiconductor device
CN106155577B (en) * 2015-04-23 2019-03-26 华为技术有限公司 Access method, equipment and the system of exented memory
US10198187B1 (en) 2015-10-16 2019-02-05 Rambus Inc. Buffering device with status communication method for memory controller
US10621119B2 (en) 2016-03-03 2020-04-14 Samsung Electronics Co., Ltd. Asynchronous communication protocol compatible with synchronous DDR protocol
US10592114B2 (en) 2016-03-03 2020-03-17 Samsung Electronics Co., Ltd. Coordinated in-module RAS features for synchronous DDR compatible memory
US10079049B2 (en) 2016-06-08 2018-09-18 Micron Technology, Inc. Stack access control for memory device
US10810144B2 (en) * 2016-06-08 2020-10-20 Samsung Electronics Co., Ltd. System and method for operating a DRR-compatible asynchronous memory module
US10884639B2 (en) 2017-02-27 2021-01-05 Qualcomm Incorporated Providing single data rate (SDR) mode or double data rate (DDR) mode for the command and address (CA) bus of registering clock drive (RCD) for dynamic random access memory (DRAM)
US10282264B1 (en) 2017-11-09 2019-05-07 Micron Technology, Inc. Apparatus and methods for repairing memory devices including a plurality of memory die and an interface
US11037651B2 (en) * 2018-11-07 2021-06-15 Qualcomm Incorporated Dual tap architecture for enabling secure access for DDR memory test controller

Citations (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4899107A (en) * 1988-09-30 1990-02-06 Micron Technology, Inc. Discrete die burn-in for nonpackaged die
US5083266A (en) * 1986-12-26 1992-01-21 Kabushiki Kaisha Toshiba Microcomputer which enters sleep mode for a predetermined period of time on response to an activity of an input/output device
US5278796A (en) * 1991-04-12 1994-01-11 Micron Technology, Inc. Temperature-dependent DRAM refresh circuit
US5282177A (en) * 1992-04-08 1994-01-25 Micron Technology, Inc. Multiple register block write method and circuit for video DRAMs
US5384745A (en) * 1992-04-27 1995-01-24 Mitsubishi Denki Kabushiki Kaisha Synchronous semiconductor memory device
US5388265A (en) * 1992-03-06 1995-02-07 Intel Corporation Method and apparatus for placing an integrated circuit chip in a reduced power consumption state
US5408190A (en) * 1991-06-04 1995-04-18 Micron Technology, Inc. Testing apparatus having substrate interconnect for discrete die burn-in for nonpackaged die
US5498886A (en) * 1991-11-05 1996-03-12 Monolithic System Technology, Inc. Circuit module redundancy architecture
US5598376A (en) * 1994-12-23 1997-01-28 Micron Technology, Inc. Distributed write data drivers for burst access memories
US5604714A (en) * 1995-11-30 1997-02-18 Micron Technology, Inc. DRAM having multiple column address strobe operation
US5606710A (en) * 1994-12-20 1997-02-25 National Semiconductor Corporation Multiple chip package processor having feed through paths on one die
US5610864A (en) * 1994-12-23 1997-03-11 Micron Technology, Inc. Burst EDO memory device with maximized write cycle timing
US5706247A (en) * 1994-12-23 1998-01-06 Micron Technology, Inc. Self-enabling pulse-trapping circuit
US5717654A (en) * 1995-02-10 1998-02-10 Micron Technology, Inc. Burst EDO memory device with maximized write cycle timing
US5721859A (en) * 1994-12-23 1998-02-24 Micron Technology, Inc. Counter control circuit in a burst memory
US5724288A (en) * 1995-08-30 1998-03-03 Micron Technology, Inc. Data communication for memory
US5729504A (en) * 1995-12-14 1998-03-17 Micron Technology, Inc. Continuous burst edo memory device
US5729503A (en) * 1994-12-23 1998-03-17 Micron Technology, Inc. Address transition detection on a synchronous design
US5860106A (en) * 1995-07-13 1999-01-12 Intel Corporation Method and apparatus for dynamically adjusting power/performance characteristics of a memory subsystem
US5859792A (en) * 1996-05-15 1999-01-12 Micron Electronics, Inc. Circuit for on-board programming of PRD serial EEPROMs
US5870347A (en) * 1997-03-11 1999-02-09 Micron Technology, Inc. Multi-bank memory input/output line selection
US5875142A (en) * 1997-06-17 1999-02-23 Micron Technology, Inc. Integrated circuit with temperature detector
US5884088A (en) * 1995-12-29 1999-03-16 Intel Corporation System, apparatus and method for managing power in a computer system
US6014339A (en) * 1997-04-03 2000-01-11 Fujitsu Limited Synchronous DRAM whose power consumption is minimized
US6016282A (en) * 1998-05-28 2000-01-18 Micron Technology, Inc. Clock vernier adjustment
US6032215A (en) * 1990-04-18 2000-02-29 Rambus Inc. Synchronous memory device utilizing two external clocks
US6034916A (en) * 1997-11-18 2000-03-07 Samsung Electronics Co., Ltd. Data masking circuits and methods for integrated circuit memory devices, including data strobe signal synchronization
US6038673A (en) * 1998-11-03 2000-03-14 Intel Corporation Computer system with power management scheme for DRAM devices
US6044032A (en) * 1998-12-03 2000-03-28 Micron Technology, Inc. Addressing scheme for a double data rate SDRAM
US6208168B1 (en) * 1997-06-27 2001-03-27 Samsung Electronics Co., Ltd. Output driver circuits having programmable pull-up and pull-down capability for driving variable loads
US6338108B1 (en) * 1997-04-15 2002-01-08 Nec Corporation Coprocessor-integrated packet-type memory LSI, packet-type memory/coprocessor bus, and control method thereof
US6338113B1 (en) * 1998-06-10 2002-01-08 Mitsubishi Denki Kabushiki Kaisha Memory module system having multiple memory modules
US20020019961A1 (en) * 1998-08-28 2002-02-14 Blodgett Greg A. Device and method for repairing a semiconductor memory
US6353561B1 (en) * 1998-09-18 2002-03-05 Fujitsu Limited Semiconductor integrated circuit and method for controlling the same
US6356500B1 (en) * 2000-08-23 2002-03-12 Micron Technology, Inc. Reduced power DRAM device and method
US6356105B1 (en) * 2000-06-28 2002-03-12 Intel Corporation Impedance control system for a center tapped termination bus
US6363031B2 (en) * 1999-11-03 2002-03-26 Cypress Semiconductor Corp. Circuit, architecture and method for reducing power consumption in a synchronous integrated circuit
US20020038405A1 (en) * 1998-09-30 2002-03-28 Michael W. Leddige Method and apparatus for implementing multiple memory buses on a memory module
US20020041507A1 (en) * 2000-10-10 2002-04-11 Woo Steven C. Methods and systems for reducing heat flux in memory systems
US6381188B1 (en) * 1999-01-12 2002-04-30 Samsung Electronics Co., Ltd. DRAM capable of selectively performing self-refresh operation for memory bank
US6381668B1 (en) * 1997-03-21 2002-04-30 International Business Machines Corporation Address mapping for system memory
US20030002262A1 (en) * 2001-07-02 2003-01-02 Martin Benisek Electronic printed circuit board having a plurality of identically designed, housing-encapsulated semiconductor memories
US6510503B2 (en) * 1998-07-27 2003-01-21 Mosaid Technologies Incorporated High bandwidth memory interface
US6510097B2 (en) * 2001-02-15 2003-01-21 Oki Electric Industry Co., Ltd. DRAM interface circuit providing continuous access across row boundaries
US20030021175A1 (en) * 2001-07-27 2003-01-30 Jong Tae Kwak Low power type Rambus DRAM
US6526484B1 (en) * 1998-11-16 2003-02-25 Infineon Technologies Ag Methods and apparatus for reordering of the memory requests to achieve higher average utilization of the command and data bus
US6526471B1 (en) * 1998-09-18 2003-02-25 Digeo, Inc. Method and apparatus for a high-speed memory subsystem
US20030061458A1 (en) * 2001-09-25 2003-03-27 Wilcox Jeffrey R. Memory control with lookahead power management
US6553450B1 (en) * 2000-09-18 2003-04-22 Intel Corporation Buffer to multiply memory interface
US20040034732A1 (en) * 2002-08-15 2004-02-19 Network Appliance, Inc. Apparatus and method for placing memory into self-refresh state
US6701446B2 (en) * 1997-10-10 2004-03-02 Rambus Inc. Power control system for synchronous memory device
US20040044808A1 (en) * 2002-08-29 2004-03-04 Intel Corporation (A Delaware Corporation) Slave I/O driver calibration using error-nulling master reference
US20040047228A1 (en) * 2001-10-11 2004-03-11 Cascade Semiconductor Corporation Asynchronous hidden refresh of semiconductor memory
US6708144B1 (en) * 1997-01-27 2004-03-16 Unisys Corporation Spreadsheet driven I/O buffer synthesis process
US6714891B2 (en) * 2001-12-14 2004-03-30 Intel Corporation Method and apparatus for thermal management of a power supply to a high performance processor in a computer system
US20040064767A1 (en) * 2002-09-27 2004-04-01 Infineon Technologies North America Corp. Method of self-repairing dynamic random access memory
US6724684B2 (en) * 2001-12-24 2004-04-20 Hynix Semiconductor Inc. Apparatus for pipe latch control circuit in synchronous memory device
US6839290B2 (en) * 2000-01-13 2005-01-04 Intel Corporation Method, apparatus, and system for high speed data transfer using source synchronous data strobe
US6845055B1 (en) * 2003-11-06 2005-01-18 Fujitsu Limited Semiconductor memory capable of transitioning from a power-down state in a synchronous mode to a standby state in an asynchronous mode without setting by a control register
US6847582B2 (en) * 2003-03-11 2005-01-25 Micron Technology, Inc. Low skew clock input buffer and method
US20050018495A1 (en) * 2004-01-29 2005-01-27 Netlist, Inc. Arrangement of integrated circuits in a memory module
US20050021874A1 (en) * 2003-07-25 2005-01-27 Georgiou Christos J. Single chip protocol converter
US6850449B2 (en) * 2002-10-11 2005-02-01 Nec Electronics Corp. Semiconductor memory device having mode storing one bit data in two memory cells and method of controlling same
US20050028038A1 (en) * 2003-07-30 2005-02-03 Pomaranski Ken Gary Persistent volatile memory fault tracking
US20050044303A1 (en) * 2000-01-05 2005-02-24 Perego Richard E. Memory system including an integrated circuit buffer device
US20050044305A1 (en) * 2003-07-08 2005-02-24 Infineon Technologies Ag Semiconductor memory module
US6862653B1 (en) * 2000-09-18 2005-03-01 Intel Corporation System and method for controlling data flow direction in a memory system
US6862249B2 (en) * 2001-10-19 2005-03-01 Samsung Electronics Co., Ltd. Devices and methods for controlling active termination resistors in a memory system
US20050047192A1 (en) * 2003-09-03 2005-03-03 Renesas Technology Corp. Semiconductor integrated circuit
US20050071543A1 (en) * 2003-09-29 2005-03-31 Ellis Robert M. Memory buffer device integrating refresh
US20050078532A1 (en) * 2003-07-30 2005-04-14 Hermann Ruckerbauer Semiconductor memory module
US20050081085A1 (en) * 2003-09-29 2005-04-14 Ellis Robert M. Memory buffer device integrating ECC
US6986118B2 (en) * 2002-09-27 2006-01-10 Infineon Technologies Ag Method for controlling semiconductor chips and control apparatus
US20060010339A1 (en) * 2004-06-24 2006-01-12 Klein Dean A Memory system and method having selective ECC during low power refresh
US7003639B2 (en) * 2000-07-19 2006-02-21 Rambus Inc. Memory controller with power management logic
US20060041711A1 (en) * 2002-11-28 2006-02-23 Renesas Technology Corporation Memory module, memory system, and information device
US20060039205A1 (en) * 2004-08-23 2006-02-23 Cornelius William P Reducing the number of power and ground pins required to drive address signals to memory modules
US20060041730A1 (en) * 2004-08-19 2006-02-23 Larson Douglas A Memory command delay balancing in a daisy-chained memory topology
US7007175B2 (en) * 2001-04-02 2006-02-28 Via Technologies, Inc. Motherboard with reduced power consumption
US7010736B1 (en) * 2002-07-22 2006-03-07 Advanced Micro Devices, Inc. Address sequencer within BIST (Built-in-Self-Test) system
US20060050574A1 (en) * 2002-10-31 2006-03-09 Harald Streif Memory device with column select being variably delayed
US20060062047A1 (en) * 2004-03-05 2006-03-23 Bhakta Jayesh R Memory module decoder
US20060064083A1 (en) * 2004-09-17 2006-03-23 Steve Khalaj Multi-tip probe used for an ocular procedure
US20060085616A1 (en) * 2004-10-20 2006-04-20 Zeighami Roy M Method and system for dynamically adjusting DRAM refresh rate
US20060090054A1 (en) * 2004-10-25 2006-04-27 Hee-Joo Choi System controlling interface timing in memory module and related method

Family Cites Families (616)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3800292A (en) 1972-10-05 1974-03-26 Honeywell Inf Systems Variable masking for segmented memory
US4069452A (en) 1976-09-15 1978-01-17 Dana Laboratories, Inc. Apparatus for automatically detecting values of periodically time varying signals
IT1109655B (en) 1978-06-28 1985-12-23 Cselt Centro Studi Lab Telecom SOLID STATE GROUND MEMORY ORGANIZED WITH SELF-CORRECTIVE BIT AND RECONFIGURABLE FOR A REGISTERED PROGRAM CONTROL SYSTEM
JPS5847793B2 (en) 1979-11-12 1983-10-25 富士通株式会社 semiconductor storage device
US4334307A (en) 1979-12-28 1982-06-08 Honeywell Information Systems Inc. Data processing system with self testing and configuration mapping capability
US4323965A (en) 1980-01-08 1982-04-06 Honeywell Information Systems Inc. Sequential chip select decode apparatus and method
US4646128A (en) 1980-09-16 1987-02-24 Irvine Sensors Corporation High-density electronic processing package--structure and fabrication
US4525921A (en) 1981-07-13 1985-07-02 Irvine Sensors Corporation High-density electronic processing package-structure and fabrication
US4500958A (en) 1982-04-21 1985-02-19 Digital Equipment Corporation Memory controller with data rotation arrangement
US4566082A (en) 1983-03-23 1986-01-21 Tektronix, Inc. Memory pack addressing system
US4628407A (en) 1983-04-22 1986-12-09 Cray Research, Inc. Circuit module with enhanced heat transfer and distribution
JPS59200327A (en) 1983-04-26 1984-11-13 Nec Corp Control system of peripheral device
US4592019A (en) 1983-08-31 1986-05-27 At&T Bell Laboratories Bus oriented LIFO/FIFO memory
US4698748A (en) 1983-10-07 1987-10-06 Essex Group, Inc. Power-conserving control system for turning-off the power and the clocking for data transactions upon certain system inactivity
US4780843A (en) 1983-11-07 1988-10-25 Motorola, Inc. Wait mode power reduction system and method for data processor
KR890004820B1 (en) 1984-03-28 1989-11-27 인터내셔널 비지네스 머신즈 코포레이션 Stacked double density memory module using industry standard memory chips
US4959774A (en) * 1984-07-06 1990-09-25 Ampex Corporation Shadow memory system for storing variable backup blocks in consecutive time periods
US5072424A (en) 1985-07-12 1991-12-10 Anamartic Limited Wafer-scale integrated circuit memory
AU593281B2 (en) 1985-09-11 1990-02-08 Motorola, Inc. Semi-conductor integrated circuit/systems
US4794597A (en) 1986-03-28 1988-12-27 Mitsubishi Denki Kabushiki Kaisha Memory device equipped with a RAS circuit
US4710903A (en) 1986-03-31 1987-12-01 Wang Laboratories, Inc. Pseudo-static memory subsystem
US4862347A (en) 1986-04-22 1989-08-29 International Business Machine Corporation System for simulating memory arrays in a logic simulation machine
US4706166A (en) 1986-04-25 1987-11-10 Irvine Sensors Corporation High-density electronic modules--process and product
US4764846A (en) 1987-01-05 1988-08-16 Irvine Sensors Corporation High density electronic package comprising stacked sub-modules
US4922451A (en) 1987-03-23 1990-05-01 International Business Machines Corporation Memory re-mapping in a microcomputer system
US4888687A (en) 1987-05-04 1989-12-19 Prime Computer, Inc. Memory control system
KR970003915B1 (en) 1987-06-24 1997-03-22 미다 가쓰시게 Semiconductor device and the use memory module
US5025364A (en) 1987-06-29 1991-06-18 Hewlett-Packard Company Microprocessor emulation system with memory mapping using variable definition and addressing of memory space
JPS6484496A (en) 1987-09-26 1989-03-29 Mitsubishi Electric Corp Semiconductor memory
US4796232A (en) 1987-10-20 1989-01-03 Contel Corporation Dual port memory controller
US4983533A (en) 1987-10-28 1991-01-08 Irvine Sensors Corporation High-density electronic modules - process and product
US4887240A (en) 1987-12-15 1989-12-12 National Semiconductor Corporation Staggered refresh for dram array
US4807191A (en) 1988-01-04 1989-02-21 Motorola, Inc. Redundancy for a block-architecture memory
US4937791A (en) 1988-06-02 1990-06-26 The California Institute Of Technology High performance dynamic ram interface
US4916575A (en) 1988-08-08 1990-04-10 Asten Francis C Van Multiple circuit board module
US4956694A (en) 1988-11-04 1990-09-11 Dense-Pac Microsystems, Inc. Integrated circuit chip stacking
US5430681A (en) 1989-05-08 1995-07-04 Hitachi Maxell, Ltd. Memory cartridge and its memory control method
US5369749A (en) 1989-05-17 1994-11-29 Ibm Corporation Method and apparatus for the direct transfer of information between application programs running on distinct processors without utilizing the services of one or both operating systems
US5104820A (en) 1989-07-07 1992-04-14 Irvine Sensors Corporation Method of fabricating electronic circuitry unit containing stacked IC layers having lead rerouting
EP0407697A1 (en) 1989-07-10 1991-01-16 Seiko Epson Corporation Memory apparatus
US5907512A (en) 1989-08-14 1999-05-25 Micron Technology, Inc. Mask write enablement for memory devices which permits selective masked enablement of plural segments
US5453434A (en) 1989-11-13 1995-09-26 Allergan, Inc. N-substituted derivatives of 3R,4R-ethyl-[(1-methyl-1H-imidazol-5-yl)methyl]-2-pyrrolidone
US6751696B2 (en) 1990-04-18 2004-06-15 Rambus Inc. Memory device having a programmable register
US6324120B2 (en) 1990-04-18 2001-11-27 Rambus Inc. Memory device having a variable data output length
US5995443A (en) 1990-04-18 1999-11-30 Rambus Inc. Synchronous memory device
EP0454447A3 (en) 1990-04-26 1993-12-08 Hitachi Ltd Semiconductor device assembly
US5396635A (en) 1990-06-01 1995-03-07 Vadem Corporation Power conservation apparatus having multiple power reduction levels dependent upon the activity of the computer system
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5544347A (en) 1990-09-24 1996-08-06 Emc Corporation Data storage system controlled remote data mirroring with respectively maintained data indices
JPH04230508A (en) 1990-10-29 1992-08-19 Internatl Business Mach Corp <Ibm> Apparatus and method for controlling electric power with page arrangment control
US5257233A (en) 1990-10-31 1993-10-26 Micron Technology, Inc. Low power memory module using restricted RAM activation
US5193072A (en) 1990-12-21 1993-03-09 Vlsi Technology, Inc. Hidden refresh of a dynamic random access memory
JPH0511876A (en) 1990-12-25 1993-01-22 Mitsubishi Electric Corp Digital circuit device
US5302891A (en) 1991-06-04 1994-04-12 Micron Technology, Inc. Discrete die burn-in for non-packaged die
US5309324A (en) 1991-11-26 1994-05-03 Herandez Jorge M Device for interconnecting integrated circuit packages to circuit boards
JPH05298134A (en) 1991-12-16 1993-11-12 Internatl Business Mach Corp <Ibm> Method and mechanism for processing of processing error in computer system
US6222762B1 (en) 1992-01-14 2001-04-24 Sandisk Corporation Multi-state memory
US5559990A (en) 1992-02-14 1996-09-24 Advanced Micro Devices, Inc. Memories with burst mode access
US5222014A (en) 1992-03-02 1993-06-22 Motorola, Inc. Three-dimensional multi-chip pad array carrier
US5241266A (en) 1992-04-10 1993-08-31 Micron Technology, Inc. Built-in test circuit connection for wafer level burnin and testing of individual dies
US5629876A (en) 1992-07-10 1997-05-13 Lsi Logic Corporation Method and apparatus for interim in-situ testing of an electronic system with an inchoate ASIC
JPH06194415A (en) 1992-09-30 1994-07-15 American Teleph & Telegr Co <Att> Method and device for testing logic circuit
US5519832A (en) 1992-11-13 1996-05-21 Digital Equipment Corporation Method and apparatus for displaying module diagnostic results
US5347428A (en) 1992-12-03 1994-09-13 Irvine Sensors Corporation Module comprising IC memory stack dedicated to and structurally combined with an IC microprocessor chip
US5644161A (en) 1993-03-29 1997-07-01 Staktek Corporation Ultra-high density warp-resistant memory module
WO1994026083A1 (en) 1993-04-23 1994-11-10 Irvine Sensors Corporation Electronic module comprising a stack of ic chips
US5392251A (en) 1993-07-13 1995-02-21 Micron Semiconductor, Inc. Controlling dynamic memory refresh cycle time
EP0713609B1 (en) 1993-08-13 2003-05-07 Irvine Sensors Corporation Stack of ic chips as substitute for single ic chip
JP3304531B2 (en) 1993-08-24 2002-07-22 富士通株式会社 Semiconductor storage device
US5390078A (en) 1993-08-30 1995-02-14 At&T Global Information Solutions Company Apparatus for using an active circuit board as a heat sink
US5561622A (en) 1993-09-13 1996-10-01 International Business Machines Corporation Integrated memory cube structure
US5502667A (en) 1993-09-13 1996-03-26 International Business Machines Corporation Integrated multichip memory module structure
US5467455A (en) 1993-11-03 1995-11-14 Motorola, Inc. Data processing system and method for performing dynamic bus termination
US5677291A (en) 1993-12-10 1997-10-14 Hoechst Marion Roussel, Inc. Method of lowering serum cholesterol levels with 2,6-di-alkyl-4-silyl-phenols
US6295572B1 (en) 1994-01-24 2001-09-25 Advanced Micro Devices, Inc. Integrated SCSI and ethernet controller on a PCI local bus
US6026027A (en) 1994-01-31 2000-02-15 Norand Corporation Flash memory system having memory cache
US20010052062A1 (en) 1994-03-01 2001-12-13 G. Jack Lipovski Parallel computer within dynamic random access memory
US5502333A (en) 1994-03-30 1996-03-26 International Business Machines Corporation Semiconductor stack structures and fabrication/sparing methods utilizing programmable spare circuit
KR970702582A (en) 1994-04-16 1997-05-13 가나이 쓰토무 Semiconductor integrated circuit device and its manufacturing method and manufacturing device (SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE, AND METHOD AND APPARATUS FOR MANUFACTURINGIT)
US5834339A (en) 1996-03-07 1998-11-10 Tessera, Inc. Methods for providing void-free layers for semiconductor assemblies
US5448511A (en) 1994-06-01 1995-09-05 Storage Technology Corporation Memory stack with an integrated interconnect and mounting structure
US5696917A (en) 1994-06-03 1997-12-09 Intel Corporation Method and apparatus for performing burst read operations in an asynchronous nonvolatile memory
JP3304893B2 (en) 1994-06-28 2002-07-22 日本電気株式会社 Memory selection circuit and semiconductor memory device
US5654204A (en) 1994-07-20 1997-08-05 Anderson; James C. Die sorter
US5530836A (en) 1994-08-12 1996-06-25 International Business Machines Corporation Method and apparatus for multiple memory bank selection
US5798961A (en) 1994-08-23 1998-08-25 Emc Corporation Non-volatile memory module
US5796673A (en) 1994-10-06 1998-08-18 Mosaid Technologies Incorporated Delay locked loop implementation in a synchronous dynamic random access memory
US6047073A (en) 1994-11-02 2000-04-04 Advanced Micro Devices, Inc. Digital wavetable audio synthesizer with delay-based effects processing
JPH08278916A (en) 1994-11-30 1996-10-22 Hitachi Ltd Multichannel memory system, transfer information synchronizing method, and signal transfer circuit
US5513135A (en) 1994-12-02 1996-04-30 International Business Machines Corporation Synchronous memory packaged in single/dual in-line memory module and method of fabrication
US6421754B1 (en) 1994-12-22 2002-07-16 Texas Instruments Incorporated System management mode circuits, systems and methods
US5675549A (en) 1994-12-23 1997-10-07 Micron Technology, Inc. Burst EDO memory device address counter
US5652724A (en) 1994-12-23 1997-07-29 Micron Technology, Inc. Burst EDO memory device having pipelined output buffer
US5668773A (en) 1994-12-23 1997-09-16 Micron Technology, Inc. Synchronous burst extended data out DRAM
US5682354A (en) 1995-11-06 1997-10-28 Micron Technology, Inc. CAS recognition in burst extended data out DRAM
US5526320A (en) 1994-12-23 1996-06-11 Micron Technology Inc. Burst EDO memory device
EP0809825A1 (en) 1995-02-14 1997-12-03 Vlsi Technology, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
US5731945A (en) 1995-02-22 1998-03-24 International Business Machines Corporation Multichip semiconductor structures with consolidated circuitry and programmable ESD protection for input/output nodes
US5608262A (en) 1995-02-24 1997-03-04 Lucent Technologies Inc. Packaging multi-chip modules without wire-bond interconnection
US5701313A (en) * 1995-02-24 1997-12-23 Unisys Corporation Method and apparatus for removing soft errors from a memory
US5737748A (en) 1995-03-15 1998-04-07 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
US5901105A (en) 1995-04-05 1999-05-04 Ong; Adrian E Dynamic random access memory having decoding circuitry for partial memory blocks
US5692121A (en) 1995-04-14 1997-11-25 International Business Machines Corporation Recovery unit for mirrored processors
JP3607407B2 (en) 1995-04-26 2005-01-05 株式会社日立製作所 Semiconductor memory device
US5850368A (en) 1995-06-01 1998-12-15 Micron Technology, Inc. Burst EDO memory address counter
US6053948A (en) * 1995-06-07 2000-04-25 Synopsys, Inc. Method and apparatus using a memory model
US5819065A (en) 1995-06-28 1998-10-06 Quickturn Design Systems, Inc. System and method for emulating memory
US5752045A (en) 1995-07-14 1998-05-12 United Microelectronics Corporation Power conservation in synchronous SRAM cache memory blocks of a computer system
JP2701802B2 (en) 1995-07-17 1998-01-21 日本電気株式会社 Printed circuit board for bare chip mounting
FR2737591B1 (en) 1995-08-03 1997-10-17 Sgs Thomson Microelectronics DEVICE FOR ORGANIZING ACCESS TO A MEMORY BUS
FR2737592B1 (en) 1995-08-03 1997-10-17 Sgs Thomson Microelectronics HDLC CIRCUIT WITH SHARED INTERNAL BUS
US5696929A (en) 1995-10-03 1997-12-09 Intel Corporation Flash EEPROM main memory in a computer system
US5924111A (en) 1995-10-17 1999-07-13 Huang; Chu-Kai Method and system for interleaving data in multiple memory bank partitions
US6035369A (en) 1995-10-19 2000-03-07 Rambus Inc. Method and apparatus for providing a memory with write enable information
US5748914A (en) 1995-10-19 1998-05-05 Rambus, Inc. Protocol for communication with dynamic memory
US5590071A (en) 1995-11-16 1996-12-31 International Business Machines Corporation Method and apparatus for emulating a high capacity DRAM
KR970051229A (en) 1995-12-22 1997-07-29 김광호 Semiconductor memory device using asynchronous generation signal
US5966724A (en) 1996-01-11 1999-10-12 Micron Technology, Inc. Synchronous memory device with dual page and burst mode operations
US5627791A (en) 1996-02-16 1997-05-06 Micron Technology, Inc. Multiple bank memory with auto refresh to specified bank
US5680342A (en) 1996-04-10 1997-10-21 International Business Machines Corporation Memory module package with address bus buffering
US6001671A (en) 1996-04-18 1999-12-14 Tessera, Inc. Methods for manufacturing a semiconductor package having a sacrificial layer
US5781766A (en) 1996-05-13 1998-07-14 National Semiconductor Corporation Programmable compensating device to optimize performance in a DRAM controller chipset
US5748547A (en) 1996-05-24 1998-05-05 Shau; Jeng-Jye High performance semiconductor memory devices having multiple dimension bit lines
US5802395A (en) 1996-07-08 1998-09-01 International Business Machines Corporation High density memory modules with improved data bus performance
JP3761635B2 (en) 1996-07-12 2006-03-29 株式会社ダックス Memory board, memory access method, and memory access device
US5991850A (en) 1996-08-15 1999-11-23 Micron Technology, Inc. Synchronous DRAM modules including multiple clock out signals for increasing processing speed
US5761703A (en) 1996-08-16 1998-06-02 Unisys Corporation Apparatus and method for dynamic memory refresh
US5760478A (en) 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
US6047361A (en) 1996-08-21 2000-04-04 International Business Machines Corporation Memory control device, with a common synchronous interface coupled thereto, for accessing asynchronous memory devices and different synchronous devices
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5787457A (en) 1996-10-18 1998-07-28 International Business Machines Corporation Cached synchronous DRAM architecture allowing concurrent DRAM operations
US5917758A (en) 1996-11-04 1999-06-29 Micron Technology, Inc. Adjustable output driver circuit
US5949254A (en) 1996-11-26 1999-09-07 Micron Technology, Inc. Adjustable output driver circuit
US5923611A (en) 1996-12-20 1999-07-13 Micron Technology, Inc. Memory having a plurality of external clock signal inputs
US6279069B1 (en) 1996-12-26 2001-08-21 Intel Corporation Interface for flash EEPROM memory arrays
KR100231605B1 (en) 1996-12-31 1999-11-15 김영환 Apparatus of reduced power consumption for semiconductor memory device
US5838177A (en) 1997-01-06 1998-11-17 Micron Technology, Inc. Adjustable output driver circuit having parallel pull-up and pull-down elements
US6429029B1 (en) 1997-01-15 2002-08-06 Formfactor, Inc. Concurrent design and subsequent partitioning of product and test die
US5929650A (en) 1997-02-04 1999-07-27 Motorola, Inc. Method and apparatus for performing operative testing on an integrated circuit
US5953263A (en) 1997-02-10 1999-09-14 Rambus Inc. Synchronous memory device having a programmable register and method of controlling same
JPH10247388A (en) 1997-03-05 1998-09-14 Toshiba Corp Storage device
KR100268429B1 (en) 1997-03-18 2000-11-01 윤종용 Synchronous memory device
KR100253282B1 (en) 1997-04-01 2000-05-01 김영환 Auto power down circuit of memory device
JP2964983B2 (en) 1997-04-02 1999-10-18 日本電気株式会社 Three-dimensional memory module and semiconductor device using the same
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5913072A (en) 1997-04-08 1999-06-15 Wieringa; Fred Image processing system in which image processing programs stored in a personal computer are selectively executed through user interface of a scanner
US5903500A (en) 1997-04-11 1999-05-11 Intel Corporation 1.8 volt output buffer on flash memories
US5870350A (en) 1997-05-21 1999-02-09 International Business Machines Corporation High performance, high bandwidth memory bus architecture utilizing SDRAMs
US5818788A (en) 1997-05-30 1998-10-06 Nec Corporation Circuit technique for logic integrated DRAM with SIMD architecture and a method for controlling low-power, high-speed and highly reliable operation
US6181640B1 (en) 1997-06-24 2001-01-30 Hyundai Electronics Industries Co., Ltd. Control circuit for semiconductor memory device
JP2006236388A (en) 1997-06-27 2006-09-07 Renesas Technology Corp Memory module and data processing system
US5953284A (en) 1997-07-09 1999-09-14 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing of a clock signal used to latch digital signals, and memory device using same
US5995424A (en) 1997-07-16 1999-11-30 Tanisys Technology, Inc. Synchronous memory test system
US6073223A (en) 1997-07-21 2000-06-06 Hewlett-Packard Company Memory controller and method for intermittently activating and idling a clock signal for a synchronous memory
JP3790021B2 (en) 1997-08-13 2006-06-28 株式会社東芝 Semiconductor memory device
US6134638A (en) 1997-08-13 2000-10-17 Compaq Computer Corporation Memory controller supporting DRAM circuits with different operating speeds
US5963429A (en) 1997-08-20 1999-10-05 Sulzer Intermedics Inc. Printed circuit substrate with cavities for encapsulating integrated circuits
JP3092557B2 (en) 1997-09-16 2000-09-25 日本電気株式会社 Semiconductor storage device
US6075744A (en) 1997-10-10 2000-06-13 Rambus Inc. Dram core refresh with reduced spike current
US6075730A (en) 1997-10-10 2000-06-13 Rambus Incorporated High performance cost optimized memory with delayed memory writes
US6226709B1 (en) 1997-10-24 2001-05-01 Compaq Computer Corporation Memory refresh control system
US5953215A (en) 1997-12-01 1999-09-14 Karabatsos; Chris Apparatus and method for improving computer memory speed and capacity
US5835435A (en) 1997-12-02 1998-11-10 Intel Corporation Method and apparatus for dynamically placing portions of a memory in a reduced power consumtion state
AU1798999A (en) 1997-12-05 1999-06-28 Intel Corporation Memory system including a memory module having a memory module controller
US20040236877A1 (en) 1997-12-17 2004-11-25 Lee A. Burton Switch/network adapter port incorporating shared memory resources selectively accessible by a direct execution logic element and one or more dense logic devices in a fully buffered dual in-line memory module format (FB-DIMM)
US5956233A (en) 1997-12-19 1999-09-21 Texas Instruments Incorporated High density single inline memory module
US6343019B1 (en) 1997-12-22 2002-01-29 Micron Technology, Inc. Apparatus and method of stacking die on a substrate
US6058451A (en) 1997-12-22 2000-05-02 Emc Corporation Method and apparatus for refreshing a non-clocked memory
US6298426B1 (en) 1997-12-31 2001-10-02 Intel Corporation Controller configurable for use with multiple memory organizations
JP3335898B2 (en) 1998-01-08 2002-10-21 株式会社東芝 Private branch exchange system and its private branch exchange.
US6222739B1 (en) 1998-01-20 2001-04-24 Viking Components High-density computer module with stacked parallel-plane packaging
US6742098B1 (en) 2000-10-03 2004-05-25 Intel Corporation Dual-port buffer-to-memory interface
US6968419B1 (en) 1998-02-13 2005-11-22 Intel Corporation Memory module having a memory module controller controlling memory transactions for a plurality of memory devices
US7024518B2 (en) 1998-02-13 2006-04-04 Intel Corporation Dual-port buffer-to-memory interface
US6970968B1 (en) 1998-02-13 2005-11-29 Intel Corporation Memory module controller for providing an interface between a system memory controller and a plurality of memory devices on a memory module
US5963464A (en) 1998-02-26 1999-10-05 International Business Machines Corporation Stackable memory card
JP3490887B2 (en) 1998-03-05 2004-01-26 シャープ株式会社 Synchronous semiconductor memory device
US6154821A (en) 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
JP3285815B2 (en) 1998-03-12 2002-05-27 松下電器産業株式会社 Lead frame, resin-encapsulated semiconductor device and method of manufacturing the same
US6233650B1 (en) 1998-04-01 2001-05-15 Intel Corporation Using FET switches for large memory arrays
JP4017248B2 (en) 1998-04-10 2007-12-05 株式会社日立製作所 Semiconductor device
US6512392B2 (en) 1998-04-17 2003-01-28 International Business Machines Corporation Method for testing semiconductor devices
US6397364B1 (en) 1998-04-20 2002-05-28 Mordecai Barkan Digital data representation for multi-bit data storage and transmission
US6173382B1 (en) 1998-04-28 2001-01-09 International Business Machines Corporation Dynamic configuration of memory module using modified presence detect data
US6199151B1 (en) 1998-06-05 2001-03-06 Intel Corporation Apparatus and method for storing a device row indicator for use in a subsequent page-miss memory cycle
US6226730B1 (en) 1998-06-05 2001-05-01 Intel Corporation Achieving page hit memory cycles on a virtual address reference
JP3109479B2 (en) 1998-06-12 2000-11-13 日本電気株式会社 Heat radiator and memory module equipped with heat radiator
US6557071B2 (en) 1998-06-22 2003-04-29 Intel Corporation Memory system including a memory controller having a data strobe generator and method for accesing a memory using a data storage
US5978304A (en) 1998-06-30 1999-11-02 Lsi Logic Corporation Hierarchical, adaptable-configuration dynamic random access memory
US6260127B1 (en) 1998-07-13 2001-07-10 Compaq Computer Corporation Method and apparatus for supporting heterogeneous memory in computer systems
KR100385370B1 (en) 1998-07-21 2003-05-27 시게이트 테크놀로지 엘엘씨 Improved memory system apparatus and method
US6154370A (en) 1998-07-21 2000-11-28 Lucent Technologies Inc. Recessed flip-chip package
US6029250A (en) 1998-09-09 2000-02-22 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing offset between a clock signal and digital signals transmitted coincident with that clock signal, and memory device and system using same
US6618267B1 (en) 1998-09-22 2003-09-09 International Business Machines Corporation Multi-level electronic package and method for making same
US6668242B1 (en) 1998-09-25 2003-12-23 Infineon Technologies North America Corp. Emulator chip package that plugs directly into the target system
US6438670B1 (en) 1998-10-02 2002-08-20 International Business Machines Corporation Memory controller with programmable delay counter for tuning performance based on timing parameter of controlled memory storage device
EP1004959B1 (en) 1998-10-06 2018-08-08 Texas Instruments Incorporated Processor with pipeline protection
US6108795A (en) 1998-10-30 2000-08-22 Micron Technology, Inc. Method for aligning clock and data signals received from a RAM
US6101612A (en) 1998-10-30 2000-08-08 Micron Technology, Inc. Apparatus for aligning clock and data signals received from a RAM
US6480929B1 (en) 1998-10-31 2002-11-12 Advanced Micro Devices Inc. Pseudo-concurrency between a volatile memory and a non-volatile memory on a same data bus
US6442698B2 (en) 1998-11-04 2002-08-27 Intel Corporation Method and apparatus for power management in a memory subsystem
US6392304B1 (en) 1998-11-12 2002-05-21 United Memories, Inc. Multi-chip memory apparatus and associated method
JP3549751B2 (en) 1998-11-30 2004-08-04 富士通株式会社 Semiconductor integrated circuit device
TW394469U (en) 1998-12-24 2000-06-11 Foxconn Prec Components Co Ltd Memory bus module
US6324071B2 (en) 1999-01-14 2001-11-27 Micron Technology, Inc. Stacked printed circuit board memory module
US6657634B1 (en) 1999-02-25 2003-12-02 Ati International Srl Dynamic graphics and/or video memory power reducing circuit and method
US6178133B1 (en) 1999-03-01 2001-01-23 Micron Technology, Inc. Method and system for accessing rows in multiple memory banks within an integrated circuit
KR100304705B1 (en) 1999-03-03 2001-10-29 윤종용 SDRAM having posted CAS latency and CAS latency control method therefor
US6658016B1 (en) 1999-03-05 2003-12-02 Broadcom Corporation Packet switching fabric having a segmented ring with token based resource control protocol and output queuing control
US6389514B1 (en) 1999-03-25 2002-05-14 Hewlett-Packard Company Method and computer system for speculatively closing pages in memory
KR100287190B1 (en) 1999-04-07 2001-04-16 윤종용 Memory module system connecting a selected memory module with data line &data input/output method for the same
US6625692B1 (en) 1999-04-14 2003-09-23 Micron Technology, Inc. Integrated semiconductor memory chip with presence detect data capability
US6327664B1 (en) 1999-04-30 2001-12-04 International Business Machines Corporation Power management on a memory card having a signal processing element
US6341347B1 (en) 1999-05-11 2002-01-22 Sun Microsystems, Inc. Thread switch logic in a multiple-thread processor
US6414868B1 (en) 1999-06-07 2002-07-02 Sun Microsystems, Inc. Memory expansion module including multiple memory banks and a bank control circuit
JP3420120B2 (en) 1999-06-29 2003-06-23 日本電気株式会社 Synchronous semiconductor memory system
US6453402B1 (en) 1999-07-13 2002-09-17 Micron Technology, Inc. Method for synchronizing strobe and data signals from a RAM
US6111812A (en) 1999-07-23 2000-08-29 Micron Technology, Inc. Method and apparatus for adjusting control signal timing in a memory device
US7243185B2 (en) 2004-04-05 2007-07-10 Super Talent Electronics, Inc. Flash memory system with a high-speed flash controller
JP2001052479A (en) 1999-08-06 2001-02-23 Mitsubishi Electric Corp Memory device
US6336174B1 (en) 1999-08-09 2002-01-01 Maxtor Corporation Hardware assisted memory backup system and method
US6307769B1 (en) 1999-09-02 2001-10-23 Micron Technology, Inc. Semiconductor devices having mirrored terminal arrangements, devices including same, and methods of testing such semiconductor devices
US6572387B2 (en) 1999-09-24 2003-06-03 Staktek Group, L.P. Flexible circuit connector for stacked chip module
KR100344927B1 (en) 1999-09-27 2002-07-19 삼성전자 주식회사 Stack package and method for manufacturing the same
US6473831B1 (en) 1999-10-01 2002-10-29 Avido Systems Corporation Method and system for providing universal memory bus and module
US6683372B1 (en) 1999-11-18 2004-01-27 Sun Microsystems, Inc. Memory expansion module with stacked memory packages and a serial storage unit
TW451193B (en) 1999-11-30 2001-08-21 Via Tech Inc A method to determine the timing setting value of dynamic random access memory
KR100336573B1 (en) 1999-11-30 2002-05-16 박종섭 Rambus DRAM
US6317381B1 (en) 1999-12-07 2001-11-13 Micron Technology, Inc. Method and system for adaptively adjusting control signal timing in a memory device
US6457095B1 (en) 1999-12-13 2002-09-24 Intel Corporation Method and apparatus for synchronizing dynamic random access memory exiting from a low power state
KR100421774B1 (en) 1999-12-16 2004-03-10 앰코 테크놀로지 코리아 주식회사 semiconductor package and its manufacturing method
GB2357602A (en) 1999-12-22 2001-06-27 Nokia Mobile Phones Ltd Memory controller for a memory array comprising different memory types
US6274395B1 (en) 1999-12-23 2001-08-14 Lsi Logic Corporation Method and apparatus for maintaining test data during fabrication of a semiconductor wafer
US7404032B2 (en) 2000-01-05 2008-07-22 Rambus Inc. Configurable width buffered module having switch elements
US7356639B2 (en) 2000-01-05 2008-04-08 Rambus Inc. Configurable width buffered module having a bypass circuit
US6502161B1 (en) 2000-01-05 2002-12-31 Rambus Inc. Memory system including a point-to-point linked memory subsystem
US7363422B2 (en) 2000-01-05 2008-04-22 Rambus Inc. Configurable width buffered module
US6766469B2 (en) 2000-01-25 2004-07-20 Hewlett-Packard Development Company, L.P. Hot-replace of memory
JP3940539B2 (en) 2000-02-03 2007-07-04 株式会社日立製作所 Semiconductor integrated circuit
JP4569913B2 (en) 2000-03-10 2010-10-27 エルピーダメモリ株式会社 Memory module
JP3745185B2 (en) 2000-03-13 2006-02-15 沖電気工業株式会社 Dynamic random access memory
US6731009B1 (en) 2000-03-20 2004-05-04 Cypress Semiconductor Corporation Multi-die assembly
US6826104B2 (en) 2000-03-24 2004-11-30 Kabushiki Kaisha Toshiba Synchronous semiconductor memory
US6522018B1 (en) 2000-05-16 2003-02-18 Micron Technology, Inc. Ball grid array chip packages having improved testing and stacking characteristics
US6466491B2 (en) 2000-05-19 2002-10-15 Fujitsu Limited Memory system and memory controller with reliable data latch operation
US7247932B1 (en) 2000-05-19 2007-07-24 Megica Corporation Chip package with capacitor
TWI228259B (en) 2000-05-22 2005-02-21 Samsung Electronics Co Ltd Method and circuit for inputting and outputting data, and system using semiconductor memory device including the same
US6434660B1 (en) 2000-05-23 2002-08-13 Centennial Technologies, Inc. Emulating one tape protocol of flash memory to a different type protocol of flash memory
JP2001338489A (en) 2000-05-24 2001-12-07 Mitsubishi Electric Corp Semiconductor device
GB0012420D0 (en) 2000-05-24 2000-07-12 Ibm Microcard interposer
DE10030994A1 (en) 2000-06-30 2002-01-17 Infineon Technologies Ag Semiconductor chip
US7104804B2 (en) 2000-07-03 2006-09-12 Advanced Interconnect Solutions Method and apparatus for memory module circuit interconnection
JP4345204B2 (en) 2000-07-04 2009-10-14 エルピーダメモリ株式会社 Semiconductor memory device
US20020004897A1 (en) 2000-07-05 2002-01-10 Min-Cheng Kao Data processing apparatus for executing multiple instruction sets
JP3902909B2 (en) 2000-07-19 2007-04-11 沖電気工業株式会社 Low power consumption dynamic random access memory
FR2812417A1 (en) 2000-07-27 2002-02-01 St Microelectronics Sa DSP PROCESSOR WITH PARALLEL ARCHITECTURE
US6445591B1 (en) 2000-08-10 2002-09-03 Nortel Networks Limited Multilayer circuit board
US6757751B1 (en) 2000-08-11 2004-06-29 Harrison Gene High-speed, multiple-bank, stacked, and PCB-mounted memory module
US6711043B2 (en) 2000-08-14 2004-03-23 Matrix Semiconductor, Inc. Three-dimensional memory cache system
TW473965B (en) 2000-09-04 2002-01-21 Siliconware Precision Industries Co Ltd Thin type semiconductor device and the manufacturing method thereof
JP4497683B2 (en) 2000-09-11 2010-07-07 ローム株式会社 Integrated circuit device
JP2002093164A (en) 2000-09-12 2002-03-29 Seiko Epson Corp Semiconductor device, its refreshing method, memory system, and electronic equipment
US6487102B1 (en) 2000-09-18 2002-11-26 Intel Corporation Memory module having buffer for isolating stacked memory devices
KR100360408B1 (en) 2000-09-16 2002-11-13 삼성전자 주식회사 Semiconductor memory device having data masking pin for outputting the same signal as data strobe signal during read operation and memory system including the same
US6820163B1 (en) 2000-09-18 2004-11-16 Intel Corporation Buffering data transfer between a chipset and memory modules
US6317352B1 (en) 2000-09-18 2001-11-13 Intel Corporation Apparatus for implementing a buffered daisy chain connection between a memory controller and memory modules
US6492726B1 (en) 2000-09-22 2002-12-10 Chartered Semiconductor Manufacturing Ltd. Chip scale packaging with multi-layer flip chip arrangement and ball grid array interconnection
US6618791B1 (en) 2000-09-29 2003-09-09 Intel Corporation System and method for controlling power states of a memory device via detection of a chip select signal
US6697888B1 (en) 2000-09-29 2004-02-24 Intel Corporation Buffering and interleaving data transfer between a chipset and memory modules
US6658530B1 (en) 2000-10-12 2003-12-02 Sun Microsystems, Inc. High-performance memory module
KR100402391B1 (en) 2000-10-26 2003-10-22 삼성전자주식회사 Memory card system
JP2002151648A (en) 2000-11-07 2002-05-24 Mitsubishi Electric Corp Semiconductor module
JP2002157883A (en) 2000-11-20 2002-05-31 Fujitsu Ltd Synchronous semiconductor device and latch method for input signal in synchronous semiconductor device
US6590827B2 (en) 2000-11-21 2003-07-08 Via Technologies, Inc. Clock device for supporting multiplicity of memory module types
KR100374641B1 (en) 2000-11-24 2003-03-04 삼성전자주식회사 Semiconductor memory device including control circuit for reducing power consumption of delay locked loop in standby mode and power down control method therefore
US6434033B1 (en) 2000-11-30 2002-08-13 Pien Chien DRAM module and method of using SRAM to replace damaged DRAM cell
US6954463B1 (en) 2000-12-11 2005-10-11 Cisco Technology, Inc. Distributed packet processing architecture for network access servers
US6898683B2 (en) 2000-12-19 2005-05-24 Fujitsu Limited Clock synchronized dynamic memory and clock synchronized integrated circuit
US6785767B2 (en) 2000-12-26 2004-08-31 Intel Corporation Hybrid mass storage system and method with two different types of storage medium
US20020089831A1 (en) 2001-01-09 2002-07-11 Forthun John A. Module with one side stacked memory
JP2004538540A (en) 2001-01-17 2004-12-24 ハネウェル・インターナショナル・インコーポレーテッド Improved memory module architecture
JP4817510B2 (en) 2001-02-23 2011-11-16 キヤノン株式会社 Memory controller and memory control device
JP3436253B2 (en) 2001-03-01 2003-08-11 松下電器産業株式会社 Resin-sealed semiconductor device and method of manufacturing the same
JP3436254B2 (en) 2001-03-01 2003-08-11 松下電器産業株式会社 Lead frame and manufacturing method thereof
US6631456B2 (en) 2001-03-06 2003-10-07 Lance Leighnor Hypercache RAM based disk emulation and method
DE10116861A1 (en) 2001-04-04 2002-10-31 Infineon Technologies Ag Program controlled unit
DE10116914B4 (en) 2001-04-05 2005-08-04 Infineon Technologies Ag Circuit arrangement with a memory field
JP4212257B2 (en) 2001-04-26 2009-01-21 株式会社東芝 Semiconductor integrated circuit
US6560158B2 (en) 2001-04-27 2003-05-06 Samsung Electronics Co., Ltd. Power down voltage control method and apparatus
US6978352B2 (en) 2001-05-03 2005-12-20 Hewlett-Packard Development Company, L.P. Memory controller emulator for controlling memory devices in a memory system
US6590822B2 (en) 2001-05-07 2003-07-08 Samsung Electronics Co., Ltd. System and method for performing partial array self-refresh operation in a semiconductor memory device
SG103832A1 (en) 2001-05-08 2004-05-26 Micron Technology Inc Interposer, packages including the interposer, and methods
US6779075B2 (en) 2001-05-15 2004-08-17 Leadtek Research Inc. DDR and QDR converter and interface card, motherboard and memory module interface using the same
SG95651A1 (en) 2001-05-21 2003-04-23 Micron Technology Inc Method for encapsulating intermediate conductive elements connecting a semiconductor die to a substrate and semiconductor devices so packaged
JP2002353398A (en) 2001-05-25 2002-12-06 Nec Kyushu Ltd Semiconductor device
JP2002358231A (en) 2001-05-31 2002-12-13 Fujitsu Ltd Memory control system
JP2002367369A (en) 2001-06-05 2002-12-20 Nec Corp Semiconductor memory
US6964005B2 (en) 2001-06-08 2005-11-08 Broadcom Corporation System and method for interleaving data in a communication device
JP4049297B2 (en) 2001-06-11 2008-02-20 株式会社ルネサステクノロジ Semiconductor memory device
US6914786B1 (en) 2001-06-14 2005-07-05 Lsi Logic Corporation Converter device
US6801989B2 (en) 2001-06-28 2004-10-05 Micron Technology, Inc. Method and system for adjusting the timing offset between a clock signal and respective digital signals transmitted along with that clock signal, and memory device and computer system using same
US6535387B2 (en) 2001-06-28 2003-03-18 Intel Corporation Heat transfer apparatus
US6563337B2 (en) 2001-06-28 2003-05-13 Intel Corporation Driver impedance control mechanism
US6438057B1 (en) 2001-07-06 2002-08-20 Infineon Technologies Ag DRAM refresh timing adjustment device, system and method
US6731527B2 (en) 2001-07-11 2004-05-04 Micron Technology, Inc. Architecture for a semiconductor memory device for minimizing interference and cross-coupling between control signal lines and power lines
US6912778B2 (en) 2001-07-19 2005-07-05 Micron Technology, Inc. Methods of fabricating full-wafer silicon probe cards for burn-in and testing of semiconductor devices
KR100389928B1 (en) 2001-07-20 2003-07-04 삼성전자주식회사 Semiconductor memory system for controlling active termination
TW564432B (en) 2001-07-31 2003-12-01 Infineon Technologies Ag Fuse programmable I/O organization
JP2003045179A (en) 2001-08-01 2003-02-14 Mitsubishi Electric Corp Semiconductor device and semiconductor memory module using the same
US6476476B1 (en) 2001-08-16 2002-11-05 Amkor Technology, Inc. Integrated circuit package including pin and barrel interconnects
US20030041295A1 (en) 2001-08-24 2003-02-27 Chien-Tzu Hou Method of defects recovery and status display of dram
SG111919A1 (en) 2001-08-29 2005-06-29 Micron Technology Inc Packaged microelectronic devices and methods of forming same
US6785793B2 (en) 2001-09-27 2004-08-31 Intel Corporation Method and apparatus for memory access scheduling to reduce memory access latency
US6684292B2 (en) 2001-09-28 2004-01-27 Hewlett-Packard Development Company, L.P. Memory module resync
US6901491B2 (en) 2001-10-22 2005-05-31 Sun Microsystems, Inc. Method and apparatus for integration of communication links with a remote direct memory access protocol
WO2003036850A1 (en) 2001-10-22 2003-05-01 Rambus Inc. Phase adjustment apparatus and method for a memory device signaling system
KR100393232B1 (en) 2001-10-23 2003-07-31 삼성전자주식회사 Semiconductor memory device capable of implementing first or second memory architecture and memory system using the same
US6665227B2 (en) 2001-10-24 2003-12-16 Hewlett-Packard Development Company, L.P. Method and apparatus for reducing average power in RAMs by dynamically changing the bias on PFETs contained in memory cells
US7053478B2 (en) 2001-10-26 2006-05-30 Staktek Group L.P. Pitch change and chip scale stacking system
WO2003036722A1 (en) 2001-10-26 2003-05-01 Fujitsu Limited Semiconductor integrated circuit device, electronic device having the circuit device packaged therein, and power consumption reducing method
US7026708B2 (en) 2001-10-26 2006-04-11 Staktek Group L.P. Low profile chip scale stacking system and method
JP2003141204A (en) 2001-10-30 2003-05-16 Oki Electric Ind Co Ltd Method and device for generating logical simulation model, recording medium and program
US6950910B2 (en) 2001-11-08 2005-09-27 Freescale Semiconductor, Inc. Mobile wireless communication device architectures and methods therefor
TWI245293B (en) 2001-11-26 2005-12-11 Winbond Electronics Corp Method of testing memory with continuous, varying data
US6816991B2 (en) 2001-11-27 2004-11-09 Sun Microsystems, Inc. Built-in self-testing for double data rate input/output
US20030105932A1 (en) 2001-11-30 2003-06-05 David Howard S. Emulation of memory clock enable pin and use of chip select for memory power control
US7007095B2 (en) 2001-12-07 2006-02-28 Redback Networks Inc. Method and apparatus for unscheduled flow control in packet form
US6910092B2 (en) 2001-12-10 2005-06-21 International Business Machines Corporation Chip to chip interface for interconnecting chips
KR100408723B1 (en) 2001-12-21 2003-12-11 주식회사 하이닉스반도체 Power-up signal generator of semiconductor memory device
US6690191B2 (en) 2001-12-21 2004-02-10 Sun Microsystems, Inc. Bi-directional output buffer
CA2366397A1 (en) 2001-12-31 2003-06-30 Tropic Networks Inc. An interface for data transfer between integrated circuits
US6981089B2 (en) 2001-12-31 2005-12-27 Intel Corporation Memory bus termination with memory unit having termination control
US6799241B2 (en) 2002-01-03 2004-09-28 Intel Corporation Method for dynamically adjusting a memory page closing policy
US6490161B1 (en) 2002-01-08 2002-12-03 International Business Machines Corporation Peripheral land grid array package with improved thermal performance
JP2003204015A (en) 2002-01-10 2003-07-18 Oki Electric Ind Co Ltd Semiconductor device, method for manufacturing the same and method for manufacturing interposer substrate
US6754129B2 (en) 2002-01-24 2004-06-22 Micron Technology, Inc. Memory module with integrated bus termination
KR100475433B1 (en) 2002-01-25 2005-03-10 삼성전자주식회사 System comprising dynamic random access memory devices and refresh method thereof
US6771526B2 (en) 2002-02-11 2004-08-03 Micron Technology, Inc. Method and apparatus for data transfer
US6968416B2 (en) 2002-02-15 2005-11-22 International Business Machines Corporation Method, system, and program for processing transaction requests during a pendency of a delayed read request in a system including a bus, a target device and devices capable of accessing the target device over the bus
US20030158995A1 (en) 2002-02-15 2003-08-21 Ming-Hsien Lee Method for DRAM control with adjustable page size
US6933610B2 (en) 2002-02-20 2005-08-23 Silicon Pipe, Inc. Method of bonding a semiconductor die without an ESD circuit and a separate ESD circuit to an external lead, and a semiconductor device made thereby
US6773959B2 (en) 2002-03-01 2004-08-10 Sampson Taiwan Ltd. Method for stacking semiconductor package units and stacked package
SG111935A1 (en) 2002-03-04 2005-06-29 Micron Technology Inc Interposer configured to reduce the profiles of semiconductor device assemblies and packages including the same and methods
JP2003258154A (en) 2002-03-05 2003-09-12 Fujitsu Ltd Package structure of semiconductor device
US6751113B2 (en) 2002-03-07 2004-06-15 Netlist, Inc. Arrangement of integrated circuits in a memory module
US6707756B2 (en) 2002-03-12 2004-03-16 Smart Modular Technologies, Inc. System and method for translation of SDRAM and DDR signals
US6798711B2 (en) 2002-03-19 2004-09-28 Micron Technology, Inc. Memory with address management
US6795899B2 (en) 2002-03-22 2004-09-21 Intel Corporation Memory system with burst length shorter than prefetch length
US20030183934A1 (en) 2002-03-29 2003-10-02 Barrett Joseph C. Method and apparatus for stacking multiple die in a flip chip semiconductor package
US6687172B2 (en) 2002-04-05 2004-02-03 Intel Corporation Individual memory page activity timing method and system
US6781911B2 (en) 2002-04-09 2004-08-24 Intel Corporation Early power-down digital memory device and method
US6838331B2 (en) 2002-04-09 2005-01-04 Micron Technology, Inc. Method and system for dynamically operating memory in a power-saving error correction mode
US7103730B2 (en) 2002-04-09 2006-09-05 Intel Corporation Method, system, and apparatus for reducing power consumption of a memory
JP2003308246A (en) 2002-04-17 2003-10-31 Fujitsu Ltd Unit and method for clock control over memory controller
US7143298B2 (en) 2002-04-18 2006-11-28 Ge Fanuc Automation North America, Inc. Methods and apparatus for backing up a memory device
US6730540B2 (en) 2002-04-18 2004-05-04 Tru-Si Technologies, Inc. Clock distribution networks and conductive lines in semiconductor integrated circuits
US6545895B1 (en) 2002-04-22 2003-04-08 High Connection Density, Inc. High capacity SDRAM memory module with stacked printed circuit boards
US7028215B2 (en) 2002-05-03 2006-04-11 Hewlett-Packard Development Company, L.P. Hot mirroring in a computer system with redundant memory subsystems
US6795361B2 (en) 2002-05-06 2004-09-21 Micron Technology, Inc. Low power consumption memory device having row-to-column short
US6819602B2 (en) 2002-05-10 2004-11-16 Samsung Electronics Co., Ltd. Multimode data buffer and method for controlling propagation delay time
KR100415092B1 (en) 2002-05-13 2004-01-13 주식회사 하이닉스반도체 A semiconductor memory device with a mode register, and method for controlling deep power down mode thereof
US7028200B2 (en) 2002-05-15 2006-04-11 Broadcom Corporation Method and apparatus for adaptive power management of memory subsystem
US6807655B1 (en) 2002-05-17 2004-10-19 Lsi Logic Corporation Adaptive off tester screening method based on intrinsic die parametric measurements
US7003686B2 (en) 2002-05-20 2006-02-21 Hitachi Ltd. Interface circuit
US6665224B1 (en) 2002-05-22 2003-12-16 Infineon Technologies Ag Partial refresh for synchronous dynamic random access memory (SDRAM) circuits
US6807650B2 (en) 2002-06-03 2004-10-19 International Business Machines Corporation DDR-II driver impedance adjustment control algorithm and interface circuits
KR100450677B1 (en) 2002-06-04 2004-10-01 삼성전자주식회사 Semiconductor memory device with data bus scheme for reducing high frequency noise
US6731548B2 (en) 2002-06-07 2004-05-04 Micron Technology, Inc. Reduced power registered memory module and method
US6667929B1 (en) 2002-06-14 2003-12-23 International Business Machines Corporation Power governor for dynamic RAM
US6741515B2 (en) 2002-06-18 2004-05-25 Nanoamp Solutions, Inc. DRAM with total self refresh and control circuit
JP2004021916A (en) 2002-06-20 2004-01-22 Renesas Technology Corp Data bus
US7043599B1 (en) 2002-06-20 2006-05-09 Rambus Inc. Dynamic memory supporting simultaneous refresh and data-access transactions
US7089438B2 (en) 2002-06-25 2006-08-08 Micron Technology, Inc. Circuit, system and method for selectively turning off internal clock drivers
US6639820B1 (en) 2002-06-27 2003-10-28 Intel Corporation Memory buffer arrangement
US7573136B2 (en) 2002-06-27 2009-08-11 Micron Technology, Inc. Semiconductor device assemblies and packages including multiple semiconductor device components
US7082495B2 (en) 2002-06-27 2006-07-25 Microsoft Corporation Method and apparatus to reduce power consumption and improve read/write performance of hard disk drives using non-volatile memory
US6906415B2 (en) 2002-06-27 2005-06-14 Micron Technology, Inc. Semiconductor device assemblies and packages including multiple semiconductor devices and methods
US6854043B2 (en) 2002-07-05 2005-02-08 Hewlett-Packard Development Company, L.P. System and method for multi-modal memory controller system operation
US7149824B2 (en) 2002-07-10 2006-12-12 Micron Technology, Inc. Dynamically setting burst length of memory device by applying signal to at least one external pin during a read or write transaction
US20040009112A1 (en) * 2002-07-10 2004-01-15 Advanced Composite Materials Corporation Silicon carbide fibers essentially devoid of whiskers and method for preparation thereof
US6650594B1 (en) 2002-07-12 2003-11-18 Samsung Electronics Co., Ltd. Device and method for selecting power down exit
US6659512B1 (en) 2002-07-18 2003-12-09 Hewlett-Packard Development Company, L.P. Integrated circuit package employing flip-chip technology and method of assembly
US6631086B1 (en) 2002-07-22 2003-10-07 Advanced Micro Devices, Inc. On-chip repair of defective address of core flash memory cells
KR100437454B1 (en) 2002-07-30 2004-06-23 삼성전자주식회사 Asynchronous memory using source synchronous transfer fashion and system comprising the same
US6851032B2 (en) 2002-08-16 2005-02-01 Micron Technology, Inc. Latency reduction using negative clock edge and read flags
KR100468761B1 (en) 2002-08-23 2005-01-29 삼성전자주식회사 Semiconductor memory system having memory module connected to devided system bus
US6930949B2 (en) 2002-08-26 2005-08-16 Micron Technology, Inc. Power savings in active standby mode
US7764715B2 (en) 2002-08-30 2010-07-27 Finisar Corporation Circuits and methods for data multiplexing
US6713856B2 (en) 2002-09-03 2004-03-30 Ultratera Corporation Stacked chip package with enhanced thermal conductivity
US20040049624A1 (en) 2002-09-06 2004-03-11 Oak Technology, Inc. Network to computer internal interface
JP4499982B2 (en) 2002-09-11 2010-07-14 株式会社日立製作所 Memory system
US6910106B2 (en) 2002-10-04 2005-06-21 Microsoft Corporation Methods and mechanisms for proactive memory management
US6952794B2 (en) 2002-10-10 2005-10-04 Ching-Hung Lu Method, system and apparatus for scanning newly added disk drives and automatically updating RAID configuration and rebuilding RAID data
US20040083324A1 (en) 2002-10-24 2004-04-29 Josef Rabinovitz Large array of mass data storage devices connected to a computer by a serial link
JP3742051B2 (en) 2002-10-31 2006-02-01 エルピーダメモリ株式会社 Memory module, memory chip, and memory system
US7549066B2 (en) 2002-11-15 2009-06-16 Intel Corporation Automatic power savings stand-by control for non-volatile memory
KR100464437B1 (en) 2002-11-20 2004-12-31 삼성전자주식회사 On-Die Termination circuit and method for reducing on-chip DC current and memory system including memory device having the same
US7142461B2 (en) 2002-11-20 2006-11-28 Micron Technology, Inc. Active termination control though on module register
US7093101B2 (en) 2002-11-21 2006-08-15 Microsoft Corporation Dynamic data structures for tracking file system free space in a flash memory device
DE60221407T2 (en) 2002-11-21 2008-08-07 Qimonda Ag Storage system and storage subsystem
SG114585A1 (en) 2002-11-22 2005-09-28 Micron Technology Inc Packaged microelectronic component assemblies
DE10255872B4 (en) 2002-11-29 2004-09-30 Infineon Technologies Ag Memory module and method for operating a memory module in a data storage system
CA2447204C (en) 2002-11-29 2010-03-23 Memory Management Services Ltd. Error correction scheme for memory
EP1570474A1 (en) 2002-12-04 2005-09-07 Koninklijke Philips Electronics N.V. Portable media player with adaptive playback buffer control
US7043611B2 (en) 2002-12-11 2006-05-09 Lsi Logic Corporation Reconfigurable memory controller
US7089509B2 (en) 2002-12-23 2006-08-08 Sun Microsystems, Inc. Controlling the propagation of a control signal by means of variable I/O delay compensation using a programmable delay circuit and detection sequence
KR100520219B1 (en) 2003-01-03 2005-10-11 삼성전자주식회사 memory module device for use in high frequency operation
US6971034B2 (en) 2003-01-09 2005-11-29 Intel Corporation Power/performance optimized memory controller considering processor power states
DE10300781B4 (en) 2003-01-11 2014-02-06 Qimonda Ag Memory module, test system and method for testing one or more memory modules
KR100510515B1 (en) 2003-01-17 2005-08-26 삼성전자주식회사 Semiconductor memory device comprising duty cycle correction circuit correcting the duty cycle of clock signal according to process variation
US6705877B1 (en) 2003-01-17 2004-03-16 High Connection Density, Inc. Stackable memory module with variable bandwidth
DE10302128B3 (en) 2003-01-21 2004-09-09 Infineon Technologies Ag Buffer amplifier system for buffer storage of signals runs several DRAM chips in parallel and has two output buffer amplifiers in parallel feeding reference and signal networks with capacitors and DRAMs
KR100468783B1 (en) 2003-02-11 2005-01-29 삼성전자주식회사 Clothespin typed apparatus for dissipating heat generated from semiconductor module
KR100510521B1 (en) 2003-03-04 2005-08-26 삼성전자주식회사 Double data rate synchronous dynamic random access memory semiconductor device
US7054874B2 (en) 2003-03-05 2006-05-30 Sun Microsystems, Inc. Modeling overlapping of memory references in a queueing system model
DE10309919B4 (en) 2003-03-07 2008-09-25 Qimonda Ag Buffer block and memory modules
US6917219B2 (en) 2003-03-12 2005-07-12 Xilinx, Inc. Multi-chip programmable logic device having configurable logic circuitry and configuration data storage on different dice
US7480774B2 (en) 2003-04-01 2009-01-20 International Business Machines Corporation Method for performing a command cancel function in a DRAM
KR100518564B1 (en) 2003-04-03 2005-10-04 삼성전자주식회사 Ouput multiplexing circuit and method for double data rate synchronous memory device
US7117309B2 (en) 2003-04-14 2006-10-03 Hewlett-Packard Development Company, L.P. Method of detecting sequential workloads to increase host read throughput
US7234099B2 (en) 2003-04-14 2007-06-19 International Business Machines Corporation High reliability memory module with a fault tolerant address and command bus
DE10317370B4 (en) 2003-04-15 2010-05-12 Infineon Technologies Ag Scheduler for reporting an expiry time
JP4419049B2 (en) 2003-04-21 2010-02-24 エルピーダメモリ株式会社 Memory module and memory system
US6968440B2 (en) 2003-05-09 2005-11-22 Hewlett-Packard Development Company, L.P. Systems and methods for processor memory allocation
KR100541045B1 (en) 2003-05-13 2006-01-10 삼성전자주식회사 Dual bank system, memory for use in this system, and on die termination control method thereof
KR100543915B1 (en) 2003-05-16 2006-01-23 주식회사 하이닉스반도체 Data input circuit in memory device
US7120727B2 (en) 2003-06-19 2006-10-10 Micron Technology, Inc. Reconfigurable memory module and method
US7428644B2 (en) 2003-06-20 2008-09-23 Micron Technology, Inc. System and method for selective memory module power management
JP4462852B2 (en) 2003-06-23 2010-05-12 株式会社日立製作所 Storage system and storage system connection method
US6961269B2 (en) 2003-06-24 2005-11-01 Micron Technology, Inc. Memory device having data paths with multiple speeds
US7016249B2 (en) 2003-06-30 2006-03-21 Intel Corporation Reference voltage generator
DE10330811B4 (en) 2003-07-08 2009-08-13 Qimonda Ag Semiconductor memory module
US6908314B2 (en) 2003-07-15 2005-06-21 Alcatel Tailored interconnect module
US7752380B2 (en) 2003-07-31 2010-07-06 Sandisk Il Ltd SDRAM memory device with an embedded NAND flash controller
US20050044302A1 (en) 2003-08-06 2005-02-24 Pauley Robert S. Non-standard dual in-line memory modules with more than two ranks of memory per module and multiple serial-presence-detect devices to simulate multiple modules
US7149825B2 (en) 2003-08-08 2006-12-12 Hewlett-Packard Development Company, L.P. System and method for sending data at sampling rate based on bit transfer period
KR100585099B1 (en) 2003-08-13 2006-05-30 삼성전자주식회사 Stacked memory module and memoey system
US7210059B2 (en) 2003-08-19 2007-04-24 Micron Technology, Inc. System and method for on-board diagnostics of memory modules
US6961281B2 (en) 2003-09-12 2005-11-01 Sun Microsystems, Inc. Single rank memory module for use in a two-rank memory module system
US6953891B2 (en) 2003-09-16 2005-10-11 Micron Technology, Inc. Moisture-resistant electronic device package and methods of assembly
JP4205553B2 (en) 2003-11-06 2009-01-07 エルピーダメモリ株式会社 Memory module and memory system
US7243276B2 (en) 2003-11-06 2007-07-10 International Business Machines Corporation Method for performing a burn-in test
US20050108460A1 (en) 2003-11-14 2005-05-19 Intel Corporation Partial bank DRAM refresh
KR101198981B1 (en) 2003-12-09 2012-11-07 톰슨 라이센싱 Memory controller
US7127566B2 (en) 2003-12-18 2006-10-24 Intel Corporation Synchronizing memory copy operations with memory accesses
US7127567B2 (en) 2003-12-18 2006-10-24 Intel Corporation Performing memory RAS operations over a point-to-point interconnect
US20050138267A1 (en) 2003-12-23 2005-06-23 Bains Kuljit S. Integral memory buffer and serial presence detect capability for fully-buffered memory modules
US7023700B2 (en) 2003-12-24 2006-04-04 Super Talent Electronics, Inc. Heat sink riveted to memory module with upper slots and open bottom edge for air flow
JP3896112B2 (en) 2003-12-25 2007-03-22 エルピーダメモリ株式会社 Semiconductor integrated circuit device
US7085152B2 (en) 2003-12-29 2006-08-01 Intel Corporation Memory system segmented power supply and control
US7173863B2 (en) 2004-03-08 2007-02-06 Sandisk Corporation Flash controller cache architecture
US7111143B2 (en) 2003-12-30 2006-09-19 Infineon Technologies Ag Burst mode implementation in a memory device
US7133960B1 (en) 2003-12-31 2006-11-07 Intel Corporation Logical to physical address mapping of chip selects
US8250295B2 (en) 2004-01-05 2012-08-21 Smart Modular Technologies, Inc. Multi-rank memory module that emulates a memory module having a different number of ranks
TWI252399B (en) 2004-01-14 2006-04-01 Sunplus Technology Co Ltd Memory control device capable of estimating the memory power consumption
US7234081B2 (en) 2004-02-04 2007-06-19 Hewlett-Packard Development Company, L.P. Memory module with testing logic
DE102004009055B4 (en) 2004-02-23 2006-01-26 Infineon Technologies Ag Cooling arrangement for devices with power semiconductors and method for cooling such devices
JP4205613B2 (en) 2004-03-01 2009-01-07 エルピーダメモリ株式会社 Semiconductor device
US20050195629A1 (en) 2004-03-02 2005-09-08 Leddige Michael W. Interchangeable connection arrays for double-sided memory module placement
JP3910598B2 (en) 2004-03-04 2007-04-25 松下電器産業株式会社 Resin-sealed semiconductor device and manufacturing method thereof
US7532537B2 (en) 2004-03-05 2009-05-12 Netlist, Inc. Memory module with a circuit providing load isolation and memory domain translation
US7286436B2 (en) 2004-03-05 2007-10-23 Netlist, Inc. High-density memory module utilizing low-density memory components
US7020818B2 (en) 2004-03-08 2006-03-28 Intel Corporation Method and apparatus for PVT controller for programmable on die termination
US20050204111A1 (en) 2004-03-10 2005-09-15 Rohit Natarajan Command scheduling for dual-data-rate two (DDR2) memory devices
US6992501B2 (en) 2004-03-15 2006-01-31 Staktek Group L.P. Reflection-control system and method
KR100558065B1 (en) 2004-03-15 2006-03-10 삼성전자주식회사 Semiconductor module with heat sink
US8128871B2 (en) 2005-04-22 2012-03-06 Alverix, Inc. Lateral flow assay systems and methods
KR100564621B1 (en) 2004-04-08 2006-03-28 삼성전자주식회사 Buffered memory module package and module-stacked package comprising the module package
US7254036B2 (en) 2004-04-09 2007-08-07 Netlist, Inc. High density memory module using stacked printed circuit boards
KR100642414B1 (en) 2004-04-20 2006-11-03 주식회사 하이닉스반도체 Control circuit for semiconductor memory device
US7269708B2 (en) 2004-04-20 2007-09-11 Rambus Inc. Memory controller for non-homogenous memory system
US7075175B2 (en) 2004-04-22 2006-07-11 Qualcomm Incorporated Systems and methods for testing packaged dies
KR100596443B1 (en) 2004-04-27 2006-07-05 주식회사 하이닉스반도체 Refresh control circuit and method for multi-bank structure dram
KR100567065B1 (en) 2004-04-28 2006-04-04 주식회사 하이닉스반도체 Input circuir for a memory device
US7412614B2 (en) 2004-04-29 2008-08-12 Hewlett-Packard Development Company, L.P. Power management using a pre-determined thermal characteristic of a memory module
JP2005322109A (en) 2004-05-11 2005-11-17 Renesas Technology Corp Ic card module
US7079446B2 (en) 2004-05-21 2006-07-18 Integrated Device Technology, Inc. DRAM interface circuits having enhanced skew, slew rate and impedance control
US8151030B2 (en) 2004-05-26 2012-04-03 Ocz Technology Group, Inc. Method of increasing DDR memory bandwidth in DDR SDRAM modules
US7126399B1 (en) 2004-05-27 2006-10-24 Altera Corporation Memory interface phase-shift circuitry to support multiple frequency ranges
KR100640580B1 (en) 2004-06-08 2006-10-31 삼성전자주식회사 Semiconductor package covered with a encapsulant in a side portion and method of manufacturing the same
US7079396B2 (en) 2004-06-14 2006-07-18 Sun Microsystems, Inc. Memory module cooling
JP2006004079A (en) 2004-06-16 2006-01-05 Sony Corp Storage device
JP2006004108A (en) 2004-06-16 2006-01-05 Oki Electric Ind Co Ltd Semiconductor integrated circuit and method for controlling power saving of the same
US6980021B1 (en) 2004-06-18 2005-12-27 Inphi Corporation Output buffer with time varying source impedance for driving capacitively-terminated transmission lines
TWI299497B (en) 2004-06-24 2008-08-01 Via Tech Inc Method and related apparatus for accessing memory apparatus
JP4662740B2 (en) 2004-06-28 2011-03-30 日本電気株式会社 Stacked semiconductor memory device
US7318130B2 (en) 2004-06-29 2008-01-08 Intel Corporation System and method for thermal throttling of memory modules
JP4534132B2 (en) 2004-06-29 2010-09-01 エルピーダメモリ株式会社 Stacked semiconductor memory device
US8345433B2 (en) * 2004-07-08 2013-01-01 Avx Corporation Heterogeneous organic laminate stack ups for high frequency applications
US7149145B2 (en) 2004-07-19 2006-12-12 Micron Technology, Inc. Delay stage-interweaved analog DLL/PLL
US7539800B2 (en) 2004-07-30 2009-05-26 International Business Machines Corporation System, method and storage medium for providing segment level sparing
US7224595B2 (en) 2004-07-30 2007-05-29 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US7126393B2 (en) 2004-08-20 2006-10-24 Micron Technology, Inc. Delay circuit with reset-based forward path static delay
US7437497B2 (en) 2004-08-23 2008-10-14 Apple Inc. Method and apparatus for encoding memory control signals to reduce pin count
US7061823B2 (en) 2004-08-24 2006-06-13 Promos Technologies Inc. Limited output address register technique providing selectively variable write latency in DDR2 (double data rate two) integrated circuit memory devices
US7205789B1 (en) 2004-08-26 2007-04-17 Chris Karabatsos Termination arrangement for high speed data rate multi-drop data bit connections
US7200062B2 (en) 2004-08-31 2007-04-03 Micron Technology, Inc. Method and system for reducing the peak current in refreshing dynamic random access memory devices
US6965537B1 (en) 2004-08-31 2005-11-15 Micron Technology, Inc. Memory system and method using ECC to achieve low power refresh
US7046538B2 (en) 2004-09-01 2006-05-16 Micron Technology, Inc. Memory stacking system and method
US7606049B2 (en) 2004-09-03 2009-10-20 Entorian Technologies, Lp Module thermal management system and method
US7301831B2 (en) 2004-09-15 2007-11-27 Rambus Inc. Memory systems with variable delays for write data signals
US7317250B2 (en) 2004-09-30 2008-01-08 Kingston Technology Corporation High density memory card assembly
DE102004051345B9 (en) 2004-10-21 2014-01-02 Qimonda Ag Semiconductor device, method for inputting and / or outputting test data, and memory module
US7490197B2 (en) 2004-10-21 2009-02-10 Microsoft Corporation Using external memory devices to improve system performance
DE102004053316A1 (en) 2004-11-04 2006-05-18 Infineon Technologies Ag Operating parameters e.g. operating temperatures, reading and selecting method for e.g. dynamic RAM, involves providing memory with registers to store parameters, where read and write access on register takes place similar to access on cell
US7433992B2 (en) 2004-11-18 2008-10-07 Intel Corporation Command controlling different operations in different chips
US20060112219A1 (en) 2004-11-19 2006-05-25 Gaurav Chawla Functional partitioning method for providing modular data storage systems
US7336490B2 (en) 2004-11-24 2008-02-26 Hewlett-Packard Development Company, L.P. Multi-chip module with power system
TW200617955A (en) 2004-11-24 2006-06-01 Cheerteck Inc Method for applying downgraded dram to the electronic device and the electronic device thereof
US20060117160A1 (en) 2004-12-01 2006-06-01 Intel Corporation Method to consolidate memory usage to reduce power consumption
US7334150B2 (en) 2004-12-03 2008-02-19 Infineon Technologies Ag Memory module with a clock signal regeneration circuit and a register circuit for temporarily storing the incoming command and address signals
US7082073B2 (en) 2004-12-03 2006-07-25 Micron Technology, Inc. System and method for reducing power consumption during extended refresh periods of dynamic random access memory devices
DE102004058528B3 (en) 2004-12-04 2006-05-04 Hyperstone Ag Memory system for reading and writing logical sector, has logical sectors for communication with host system are buffered in sector buffers and assigned by direct-flash-access-units between sector buffers and flash memory chips
US20060118933A1 (en) 2004-12-07 2006-06-08 Tessera, Inc. Stackable frames for packaging microelectronic devices
US20060129712A1 (en) 2004-12-10 2006-06-15 Siva Raghuram Buffer chip for a multi-rank dual inline memory module (DIMM)
US7266639B2 (en) 2004-12-10 2007-09-04 Infineon Technologies Ag Memory rank decoder for a multi-rank Dual Inline Memory Module (DIMM)
US7200021B2 (en) 2004-12-10 2007-04-03 Infineon Technologies Ag Stacked DRAM memory chip for a dual inline memory module (DIMM)
US20060129740A1 (en) 2004-12-13 2006-06-15 Hermann Ruckerbauer Memory device, memory controller and method for operating the same
US20060136791A1 (en) 2004-12-16 2006-06-22 Klaus Nierle Test method, control circuit and system for reduced time combined write window and retention testing
US7342841B2 (en) 2004-12-21 2008-03-11 Intel Corporation Method, apparatus, and system for active refresh management
US7366931B2 (en) 2004-12-30 2008-04-29 Intel Corporation Memory modules that receive clock information and are placed in a low power state
KR100691583B1 (en) 2004-12-31 2007-03-09 학교법인 포항공과대학교 Memory system having multi terminated multi-drop bus
US7138823B2 (en) 2005-01-20 2006-11-21 Micron Technology, Inc. Apparatus and method for independent control of on-die termination for output buffers of a memory device
US20060195631A1 (en) 2005-01-31 2006-08-31 Ramasubramanian Rajamani Memory buffers for merging local data from memory modules
US7321950B2 (en) 2005-02-03 2008-01-22 International Business Machines Corporation Method and apparatus for managing write-to-read turnarounds in an early read after write memory system
US7079441B1 (en) 2005-02-04 2006-07-18 Infineon Technologies Ag Methods and apparatus for implementing a power down in a memory device
US7426649B2 (en) 2005-02-09 2008-09-16 International Business Machines Corporation Power management via DIMM read operation limiter
US7421598B2 (en) 2005-02-09 2008-09-02 International Business Machines Corporation Dynamic power management via DIMM read operation limiter
US20060174431A1 (en) 2005-02-09 2006-08-10 Dr. Fresh, Inc. Electric toothbrush
US7337293B2 (en) 2005-02-09 2008-02-26 International Business Machines Corporation Streaming reads for early processing in a cascaded memory subsystem with buffered memory devices
US7167401B2 (en) 2005-02-10 2007-01-23 Micron Technology, Inc. Low power chip select (CS) latency option
US7099215B1 (en) 2005-02-11 2006-08-29 North Carolina State University Systems, methods and devices for providing variable-latency write operations in memory devices
US20060180926A1 (en) 2005-02-11 2006-08-17 Rambus, Inc. Heat spreader clamping mechanism for semiconductor modules
US7791889B2 (en) 2005-02-16 2010-09-07 Hewlett-Packard Development Company, L.P. Redundant power beneath circuit board
US7053470B1 (en) 2005-02-19 2006-05-30 Azul Systems, Inc. Multi-chip package having repairable embedded memories on a system chip with an EEPROM chip storing repair information
JP4824936B2 (en) 2005-03-10 2011-11-30 株式会社日立製作所 Inspection method for dynamic random access memory device
US8301938B2 (en) 2005-03-21 2012-10-30 Hewlett-Packard Development Company, L.P. Managing memory health
JP4309368B2 (en) 2005-03-30 2009-08-05 エルピーダメモリ株式会社 Semiconductor memory device
US7620773B2 (en) 2005-04-15 2009-11-17 Microsoft Corporation In-line non volatile memory disk read cache and write buffer
US7543102B2 (en) 2005-04-18 2009-06-02 University Of Maryland System and method for performing multi-rank command scheduling in DDR SDRAM memory systems
US7218566B1 (en) 2005-04-28 2007-05-15 Network Applicance, Inc. Power management of memory via wake/sleep cycles
US7033861B1 (en) 2005-05-18 2006-04-25 Staktek Group L.P. Stacked module systems and method
US20060277355A1 (en) 2005-06-01 2006-12-07 Mark Ellsberry Capacity-expanding memory device
US7472220B2 (en) 2006-07-31 2008-12-30 Metaram, Inc. Interface circuit system and method for performing power management operations utilizing power management signals
US8077535B2 (en) 2006-07-31 2011-12-13 Google Inc. Memory refresh apparatus and method
US8244971B2 (en) 2006-07-31 2012-08-14 Google Inc. Memory circuit system and method
US7386656B2 (en) 2006-07-31 2008-06-10 Metaram, Inc. Interface circuit system and method for performing power management operations in conjunction with only a portion of a memory circuit
US20080082763A1 (en) 2006-10-02 2008-04-03 Metaram, Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US8060774B2 (en) 2005-06-24 2011-11-15 Google Inc. Memory systems and memory modules
US8327104B2 (en) 2006-07-31 2012-12-04 Google Inc. Adjusting the timing of signals associated with a memory system
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
KR101463375B1 (en) 2005-06-24 2014-11-18 구글 인코포레이티드 An integrated memory core and memory interface circuit
US20080028136A1 (en) 2006-07-31 2008-01-31 Schakel Keith R Method and apparatus for refresh management of memory modules
US7580312B2 (en) 2006-07-31 2009-08-25 Metaram, Inc. Power saving system and method for use with a plurality of memory circuits
US8041881B2 (en) 2006-07-31 2011-10-18 Google Inc. Memory device with emulated characteristics
US20080126690A1 (en) 2006-02-09 2008-05-29 Rajan Suresh N Memory module with memory stack
US8090897B2 (en) 2006-07-31 2012-01-03 Google Inc. System and method for simulating an aspect of a memory circuit
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US9542352B2 (en) 2006-02-09 2017-01-10 Google Inc. System and method for reducing command scheduling constraints of memory circuits
US8359187B2 (en) 2005-06-24 2013-01-22 Google Inc. Simulating a different number of memory circuit devices
US7609567B2 (en) 2005-06-24 2009-10-27 Metaram, Inc. System and method for simulating an aspect of a memory circuit
US20060294295A1 (en) 2005-06-24 2006-12-28 Yukio Fukuzo DRAM chip device well-communicated with flash memory chip and multi-chip package comprising such a device
US7590796B2 (en) 2006-07-31 2009-09-15 Metaram, Inc. System and method for power management in memory systems
US8055833B2 (en) 2006-10-05 2011-11-08 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US7392338B2 (en) 2006-07-31 2008-06-24 Metaram, Inc. Interface circuit system and method for autonomously performing power management operations in conjunction with a plurality of memory circuits
US7454639B2 (en) * 2005-06-30 2008-11-18 Intel Corporation Various apparatuses and methods for reduced power states in system memory
US7441064B2 (en) 2005-07-11 2008-10-21 Via Technologies, Inc. Flexible width data protocol
US7414917B2 (en) 2005-07-29 2008-08-19 Infineon Technologies Re-driving CAwD and rD signal lines
DE102005036528B4 (en) 2005-07-29 2012-01-26 Qimonda Ag Memory module and method for operating a memory module
US7307863B2 (en) 2005-08-02 2007-12-11 Inphi Corporation Programmable strength output buffer for RDIMM address register
KR101303518B1 (en) 2005-09-02 2013-09-03 구글 인코포레이티드 Methods and apparatus of stacking drams
US7464225B2 (en) 2005-09-26 2008-12-09 Rambus Inc. Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US7496777B2 (en) 2005-10-12 2009-02-24 Sun Microsystems, Inc. Power throttling in a memory system
US7549034B2 (en) 2005-11-10 2009-06-16 International Business Machines Corporation Redistribution of memory to reduce computer system power consumption
US7409491B2 (en) 2005-12-14 2008-08-05 Sun Microsystems, Inc. System memory board subsystem using DRAM with stacked dedicated high speed point to point links
US8914557B2 (en) 2005-12-16 2014-12-16 Microsoft Corporation Optimizing write and wear performance for a memory
US7279795B2 (en) 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
US7738252B2 (en) 2006-01-09 2010-06-15 Ocz Technology, Group, Inc. Method and apparatus for thermal management of computer memory modules
DE102006002090A1 (en) 2006-01-17 2007-07-26 Infineon Technologies Ag Memory module radiator box for use in fully buffered dual inline memory module to remove heat produced in memory module, has even metal plate, at which memory module is provided, where metal plate at the outer edge has reinforcing element
US9632929B2 (en) 2006-02-09 2017-04-25 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US7411283B2 (en) 2006-02-14 2008-08-12 Sun Microsystems, Inc. Interconnect design for reducing radiated emissions
CN100482060C (en) 2006-02-22 2009-04-22 富准精密工业(深圳)有限公司 Heat radiator
US7479799B2 (en) 2006-03-14 2009-01-20 Inphi Corporation Output buffer with switchable output impedance
JP4863749B2 (en) 2006-03-29 2012-01-25 株式会社日立製作所 Storage device using flash memory, erase number leveling method thereof, and erase number level program
US20070247194A1 (en) 2006-04-24 2007-10-25 Inphi Corporation Output buffer to drive AC-coupled terminated transmission lines
US7486104B2 (en) 2006-06-02 2009-02-03 Rambus Inc. Integrated circuit with graduated on-die termination
US7716411B2 (en) 2006-06-07 2010-05-11 Microsoft Corporation Hybrid memory device with single interface
US7506098B2 (en) 2006-06-08 2009-03-17 Bitmicro Networks, Inc. Optimized placement policy for solid state storage devices
US7620784B2 (en) 2006-06-09 2009-11-17 Microsoft Corporation High speed nonvolatile memory device using parallel writing among a plurality of interfaces
US20080002447A1 (en) 2006-06-29 2008-01-03 Smart Modular Technologies, Inc. Memory supermodule utilizing point to point serial data links
US20080014035A1 (en) * 2006-06-30 2008-01-17 Noveon Ip Holdings Corp. Clamping Tool And Hole Saw Apparatus
US7379361B2 (en) 2006-07-24 2008-05-27 Kingston Technology Corp. Fully-buffered memory-module with redundant memory buffer in serializing advanced-memory buffer (AMB) for repairing DRAM
US20080025136A1 (en) 2006-07-31 2008-01-31 Metaram, Inc. System and method for storing at least a portion of information received in association with a first operation for use in performing a second operation
US7724589B2 (en) 2006-07-31 2010-05-25 Google Inc. System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits
US20080028137A1 (en) 2006-07-31 2008-01-31 Schakel Keith R Method and Apparatus For Refresh Management of Memory Modules
US20080028135A1 (en) 2006-07-31 2008-01-31 Metaram, Inc. Multiple-component memory interface system and method
US7480147B2 (en) 2006-10-13 2009-01-20 Dell Products L.P. Heat dissipation apparatus utilizing empty component slot
US7870459B2 (en) 2006-10-23 2011-01-11 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
US20080126624A1 (en) 2006-11-27 2008-05-29 Edoardo Prete Memory buffer and method for buffering data
JP4946423B2 (en) 2006-12-22 2012-06-06 日本電気株式会社 Memory controller, computer, and data reading method
KR100881393B1 (en) 2006-12-28 2009-02-02 주식회사 하이닉스반도체 Semiconductor memory device with mirror function
US7945840B2 (en) 2007-02-12 2011-05-17 Micron Technology, Inc. Memory array error correction apparatus, systems, and methods
US7660952B2 (en) 2007-03-01 2010-02-09 International Business Machines Corporation Data bus bandwidth scheduling in an FBDIMM memory system operating in variable latency mode
US7408393B1 (en) 2007-03-08 2008-08-05 Inphi Corporation Master-slave flip-flop and clocking scheme
US7865660B2 (en) 2007-04-16 2011-01-04 Montage Technology Group Ltd. Calibration of read/write memory access via advanced memory buffer
US20080282341A1 (en) 2007-05-09 2008-11-13 Sony Computer Entertainment Inc. Methods and apparatus for random number generation in a multiprocessor system
US7958371B2 (en) 2007-05-09 2011-06-07 Sony Computer Entertainment Inc. Methods and apparatus for secure operating system distribution in a multiprocessor system
US8209479B2 (en) 2007-07-18 2012-06-26 Google Inc. Memory circuit system and method
US8006095B2 (en) 2007-08-31 2011-08-23 Standard Microsystems Corporation Configurable signature for authenticating data or program code
US7984329B2 (en) 2007-09-04 2011-07-19 International Business Machines Corporation System and method for providing DRAM device-level repair via address remappings external to the device
JP5087347B2 (en) 2007-09-06 2012-12-05 株式会社日立製作所 Semiconductor memory device and method for controlling semiconductor memory device
US7861053B2 (en) 2007-09-28 2010-12-28 Intel Corporation Supporting un-buffered memory modules on a platform configured for registered memory modules
TWM340493U (en) 2007-11-09 2008-09-11 Zhi-Yi Zhang Memory heat dissipating device with increasing cooling area
US20100005218A1 (en) 2008-07-01 2010-01-07 International Business Machines Corporation Enhanced cascade interconnected memory system
US8116144B2 (en) 2008-10-15 2012-02-14 Hewlett-Packard Development Company, L.P. Memory module having a memory device configurable to different data pin configurations
US7990797B2 (en) 2009-02-11 2011-08-02 Stec, Inc. State of health monitored flash backed dram module

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5083266A (en) * 1986-12-26 1992-01-21 Kabushiki Kaisha Toshiba Microcomputer which enters sleep mode for a predetermined period of time on response to an activity of an input/output device
US4899107A (en) * 1988-09-30 1990-02-06 Micron Technology, Inc. Discrete die burn-in for nonpackaged die
US6546446B2 (en) * 1990-04-18 2003-04-08 Rambus Inc. Synchronous memory device having automatic precharge
US6378020B2 (en) * 1990-04-18 2002-04-23 Rambus Inc. System having double data transfer rate and intergrated circuit therefor
US6032215A (en) * 1990-04-18 2000-02-29 Rambus Inc. Synchronous memory device utilizing two external clocks
US6038195A (en) * 1990-04-18 2000-03-14 Rambus Inc. Synchronous memory device having a delay time register and method of operating same
US6182184B1 (en) * 1990-04-18 2001-01-30 Rambus Inc. Method of operating a memory device having a variable data input length
US6697295B2 (en) * 1990-04-18 2004-02-24 Rambus Inc. Memory device having a programmable register
US6035365A (en) * 1990-04-18 2000-03-07 Rambus Inc. Dual clocked synchronous memory device having a delay time register and method of operating same
US6034918A (en) * 1990-04-18 2000-03-07 Rambus Inc. Method of operating a memory having a variable data output length and a programmable register
US6032214A (en) * 1990-04-18 2000-02-29 Rambus Inc. Method of operating a synchronous memory device having a variable data output length
US5278796A (en) * 1991-04-12 1994-01-11 Micron Technology, Inc. Temperature-dependent DRAM refresh circuit
US5408190A (en) * 1991-06-04 1995-04-18 Micron Technology, Inc. Testing apparatus having substrate interconnect for discrete die burn-in for nonpackaged die
US5498886A (en) * 1991-11-05 1996-03-12 Monolithic System Technology, Inc. Circuit module redundancy architecture
US5388265A (en) * 1992-03-06 1995-02-07 Intel Corporation Method and apparatus for placing an integrated circuit chip in a reduced power consumption state
US5282177A (en) * 1992-04-08 1994-01-25 Micron Technology, Inc. Multiple register block write method and circuit for video DRAMs
US5384745A (en) * 1992-04-27 1995-01-24 Mitsubishi Denki Kabushiki Kaisha Synchronous semiconductor memory device
US5623686A (en) * 1994-12-20 1997-04-22 National Semiconductor Corporation Non-volatile memory control and data loading architecture for multiple chip processor
US5606710A (en) * 1994-12-20 1997-02-25 National Semiconductor Corporation Multiple chip package processor having feed through paths on one die
US5706247A (en) * 1994-12-23 1998-01-06 Micron Technology, Inc. Self-enabling pulse-trapping circuit
US5729503A (en) * 1994-12-23 1998-03-17 Micron Technology, Inc. Address transition detection on a synchronous design
US5598376A (en) * 1994-12-23 1997-01-28 Micron Technology, Inc. Distributed write data drivers for burst access memories
US5721859A (en) * 1994-12-23 1998-02-24 Micron Technology, Inc. Counter control circuit in a burst memory
US5610864A (en) * 1994-12-23 1997-03-11 Micron Technology, Inc. Burst EDO memory device with maximized write cycle timing
US5717654A (en) * 1995-02-10 1998-02-10 Micron Technology, Inc. Burst EDO memory device with maximized write cycle timing
US5860106A (en) * 1995-07-13 1999-01-12 Intel Corporation Method and apparatus for dynamically adjusting power/performance characteristics of a memory subsystem
US5724288A (en) * 1995-08-30 1998-03-03 Micron Technology, Inc. Data communication for memory
US5604714A (en) * 1995-11-30 1997-02-18 Micron Technology, Inc. DRAM having multiple column address strobe operation
US5729504A (en) * 1995-12-14 1998-03-17 Micron Technology, Inc. Continuous burst edo memory device
US5884088A (en) * 1995-12-29 1999-03-16 Intel Corporation System, apparatus and method for managing power in a computer system
US5859792A (en) * 1996-05-15 1999-01-12 Micron Electronics, Inc. Circuit for on-board programming of PRD serial EEPROMs
US6708144B1 (en) * 1997-01-27 2004-03-16 Unisys Corporation Spreadsheet driven I/O buffer synthesis process
US5870347A (en) * 1997-03-11 1999-02-09 Micron Technology, Inc. Multi-bank memory input/output line selection
US6381668B1 (en) * 1997-03-21 2002-04-30 International Business Machines Corporation Address mapping for system memory
US6014339A (en) * 1997-04-03 2000-01-11 Fujitsu Limited Synchronous DRAM whose power consumption is minimized
US6338108B1 (en) * 1997-04-15 2002-01-08 Nec Corporation Coprocessor-integrated packet-type memory LSI, packet-type memory/coprocessor bus, and control method thereof
US5875142A (en) * 1997-06-17 1999-02-23 Micron Technology, Inc. Integrated circuit with temperature detector
US6362656B2 (en) * 1997-06-27 2002-03-26 Samsung Electronics Co., Ltd. Integrated circuit memory devices having programmable output driver circuits therein
US6208168B1 (en) * 1997-06-27 2001-03-27 Samsung Electronics Co., Ltd. Output driver circuits having programmable pull-up and pull-down capability for driving variable loads
US6701446B2 (en) * 1997-10-10 2004-03-02 Rambus Inc. Power control system for synchronous memory device
US6034916A (en) * 1997-11-18 2000-03-07 Samsung Electronics Co., Ltd. Data masking circuits and methods for integrated circuit memory devices, including data strobe signal synchronization
US6016282A (en) * 1998-05-28 2000-01-18 Micron Technology, Inc. Clock vernier adjustment
US6338113B1 (en) * 1998-06-10 2002-01-08 Mitsubishi Denki Kabushiki Kaisha Memory module system having multiple memory modules
US20080065820A1 (en) * 1998-07-27 2008-03-13 Peter Gillingham High bandwidth memory interface
US6510503B2 (en) * 1998-07-27 2003-01-21 Mosaid Technologies Incorporated High bandwidth memory interface
US20020019961A1 (en) * 1998-08-28 2002-02-14 Blodgett Greg A. Device and method for repairing a semiconductor memory
US6353561B1 (en) * 1998-09-18 2002-03-05 Fujitsu Limited Semiconductor integrated circuit and method for controlling the same
US6526471B1 (en) * 1998-09-18 2003-02-25 Digeo, Inc. Method and apparatus for a high-speed memory subsystem
US20020038405A1 (en) * 1998-09-30 2002-03-28 Michael W. Leddige Method and apparatus for implementing multiple memory buses on a memory module
US6038673A (en) * 1998-11-03 2000-03-14 Intel Corporation Computer system with power management scheme for DRAM devices
US6526484B1 (en) * 1998-11-16 2003-02-25 Infineon Technologies Ag Methods and apparatus for reordering of the memory requests to achieve higher average utilization of the command and data bus
US6044032A (en) * 1998-12-03 2000-03-28 Micron Technology, Inc. Addressing scheme for a double data rate SDRAM
US6381188B1 (en) * 1999-01-12 2002-04-30 Samsung Electronics Co., Ltd. DRAM capable of selectively performing self-refresh operation for memory bank
US6363031B2 (en) * 1999-11-03 2002-03-26 Cypress Semiconductor Corp. Circuit, architecture and method for reducing power consumption in a synchronous integrated circuit
US7000062B2 (en) * 2000-01-05 2006-02-14 Rambus Inc. System and method featuring a controller device and a memory module that includes an integrated circuit buffer device and a plurality of integrated circuit memory devices
US20050044303A1 (en) * 2000-01-05 2005-02-24 Perego Richard E. Memory system including an integrated circuit buffer device
US7003618B2 (en) * 2000-01-05 2006-02-21 Rambus Inc. System featuring memory modules that include an integrated circuit buffer devices
US7010642B2 (en) * 2000-01-05 2006-03-07 Rambus Inc. System featuring a controller device and a memory module that includes an integrated circuit buffer device and a plurality of integrated circuit memory devices
US6839290B2 (en) * 2000-01-13 2005-01-04 Intel Corporation Method, apparatus, and system for high speed data transfer using source synchronous data strobe
US6356105B1 (en) * 2000-06-28 2002-03-12 Intel Corporation Impedance control system for a center tapped termination bus
US7003639B2 (en) * 2000-07-19 2006-02-21 Rambus Inc. Memory controller with power management logic
US6356500B1 (en) * 2000-08-23 2002-03-12 Micron Technology, Inc. Reduced power DRAM device and method
US6553450B1 (en) * 2000-09-18 2003-04-22 Intel Corporation Buffer to multiply memory interface
US6862653B1 (en) * 2000-09-18 2005-03-01 Intel Corporation System and method for controlling data flow direction in a memory system
US20020041507A1 (en) * 2000-10-10 2002-04-11 Woo Steven C. Methods and systems for reducing heat flux in memory systems
US6510097B2 (en) * 2001-02-15 2003-01-21 Oki Electric Industry Co., Ltd. DRAM interface circuit providing continuous access across row boundaries
US7007175B2 (en) * 2001-04-02 2006-02-28 Via Technologies, Inc. Motherboard with reduced power consumption
US20030002262A1 (en) * 2001-07-02 2003-01-02 Martin Benisek Electronic printed circuit board having a plurality of identically designed, housing-encapsulated semiconductor memories
US20030021175A1 (en) * 2001-07-27 2003-01-30 Jong Tae Kwak Low power type Rambus DRAM
US20030061458A1 (en) * 2001-09-25 2003-03-27 Wilcox Jeffrey R. Memory control with lookahead power management
US20040047228A1 (en) * 2001-10-11 2004-03-11 Cascade Semiconductor Corporation Asynchronous hidden refresh of semiconductor memory
US6862249B2 (en) * 2001-10-19 2005-03-01 Samsung Electronics Co., Ltd. Devices and methods for controlling active termination resistors in a memory system
US6714891B2 (en) * 2001-12-14 2004-03-30 Intel Corporation Method and apparatus for thermal management of a power supply to a high performance processor in a computer system
US6724684B2 (en) * 2001-12-24 2004-04-20 Hynix Semiconductor Inc. Apparatus for pipe latch control circuit in synchronous memory device
US7010736B1 (en) * 2002-07-22 2006-03-07 Advanced Micro Devices, Inc. Address sequencer within BIST (Built-in-Self-Test) system
US20040034732A1 (en) * 2002-08-15 2004-02-19 Network Appliance, Inc. Apparatus and method for placing memory into self-refresh state
US20040044808A1 (en) * 2002-08-29 2004-03-04 Intel Corporation (A Delaware Corporation) Slave I/O driver calibration using error-nulling master reference
US20040064767A1 (en) * 2002-09-27 2004-04-01 Infineon Technologies North America Corp. Method of self-repairing dynamic random access memory
US6986118B2 (en) * 2002-09-27 2006-01-10 Infineon Technologies Ag Method for controlling semiconductor chips and control apparatus
US6850449B2 (en) * 2002-10-11 2005-02-01 Nec Electronics Corp. Semiconductor memory device having mode storing one bit data in two memory cells and method of controlling same
US20060050574A1 (en) * 2002-10-31 2006-03-09 Harald Streif Memory device with column select being variably delayed
US20060041711A1 (en) * 2002-11-28 2006-02-23 Renesas Technology Corporation Memory module, memory system, and information device
US6847582B2 (en) * 2003-03-11 2005-01-25 Micron Technology, Inc. Low skew clock input buffer and method
US20050044305A1 (en) * 2003-07-08 2005-02-24 Infineon Technologies Ag Semiconductor memory module
US20050021874A1 (en) * 2003-07-25 2005-01-27 Georgiou Christos J. Single chip protocol converter
US20050078532A1 (en) * 2003-07-30 2005-04-14 Hermann Ruckerbauer Semiconductor memory module
US20050028038A1 (en) * 2003-07-30 2005-02-03 Pomaranski Ken Gary Persistent volatile memory fault tracking
US20050047192A1 (en) * 2003-09-03 2005-03-03 Renesas Technology Corp. Semiconductor integrated circuit
US20050071543A1 (en) * 2003-09-29 2005-03-31 Ellis Robert M. Memory buffer device integrating refresh
US20050081085A1 (en) * 2003-09-29 2005-04-14 Ellis Robert M. Memory buffer device integrating ECC
US6845055B1 (en) * 2003-11-06 2005-01-18 Fujitsu Limited Semiconductor memory capable of transitioning from a power-down state in a synchronous mode to a standby state in an asynchronous mode without setting by a control register
US20050018495A1 (en) * 2004-01-29 2005-01-27 Netlist, Inc. Arrangement of integrated circuits in a memory module
US20060062047A1 (en) * 2004-03-05 2006-03-23 Bhakta Jayesh R Memory module decoder
US20060010339A1 (en) * 2004-06-24 2006-01-12 Klein Dean A Memory system and method having selective ECC during low power refresh
US20060041730A1 (en) * 2004-08-19 2006-02-23 Larson Douglas A Memory command delay balancing in a daisy-chained memory topology
US20060039205A1 (en) * 2004-08-23 2006-02-23 Cornelius William P Reducing the number of power and ground pins required to drive address signals to memory modules
US20060064083A1 (en) * 2004-09-17 2006-03-23 Steve Khalaj Multi-tip probe used for an ocular procedure
US20060085616A1 (en) * 2004-10-20 2006-04-20 Zeighami Roy M Method and system for dynamically adjusting DRAM refresh rate
US20060090054A1 (en) * 2004-10-25 2006-04-27 Hee-Joo Choi System controlling interface timing in memory module and related method

Cited By (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9171585B2 (en) 2005-06-24 2015-10-27 Google Inc. Configurable memory circuit system and method
US10013371B2 (en) 2005-06-24 2018-07-03 Google Llc Configurable memory circuit system and method
US8359187B2 (en) 2005-06-24 2013-01-22 Google Inc. Simulating a different number of memory circuit devices
US8386833B2 (en) 2005-06-24 2013-02-26 Google Inc. Memory systems and memory modules
US8949519B2 (en) 2005-06-24 2015-02-03 Google Inc. Simulating a memory circuit
US7990746B2 (en) 2005-06-24 2011-08-02 Google Inc. Method and circuit for configuring memory core integrated circuit dies with memory interface integrated circuit dies
US20090290442A1 (en) * 2005-06-24 2009-11-26 Rajan Suresh N Method and circuit for configuring memory core integrated circuit dies with memory interface integrated circuit dies
US8615679B2 (en) 2005-06-24 2013-12-24 Google Inc. Memory modules with reliability and serviceability functions
US9507739B2 (en) 2005-06-24 2016-11-29 Google Inc. Configurable memory circuit system and method
US8060774B2 (en) 2005-06-24 2011-11-15 Google Inc. Memory systems and memory modules
US8773937B2 (en) 2005-06-24 2014-07-08 Google Inc. Memory refresh apparatus and method
US8811065B2 (en) 2005-09-02 2014-08-19 Google Inc. Performing error detection on DRAMs
US8213205B2 (en) 2005-09-02 2012-07-03 Google Inc. Memory system including multiple memory stacks
US8619452B2 (en) 2005-09-02 2013-12-31 Google Inc. Methods and apparatus of stacking DRAMs
US8582339B2 (en) 2005-09-02 2013-11-12 Google Inc. System including memory stacks
US8797779B2 (en) 2006-02-09 2014-08-05 Google Inc. Memory module with memory stack and interface with enhanced capabilites
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US8566556B2 (en) 2006-02-09 2013-10-22 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US9542353B2 (en) 2006-02-09 2017-01-10 Google Inc. System and method for reducing command scheduling constraints of memory circuits
US9727458B2 (en) 2006-02-09 2017-08-08 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US9632929B2 (en) 2006-02-09 2017-04-25 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US8327104B2 (en) 2006-07-31 2012-12-04 Google Inc. Adjusting the timing of signals associated with a memory system
US8112266B2 (en) 2006-07-31 2012-02-07 Google Inc. Apparatus for simulating an aspect of a memory circuit
US8181048B2 (en) 2006-07-31 2012-05-15 Google Inc. Performing power management operations
US8244971B2 (en) 2006-07-31 2012-08-14 Google Inc. Memory circuit system and method
US8280714B2 (en) 2006-07-31 2012-10-02 Google Inc. Memory circuit simulation system and method with refresh capabilities
US8745321B2 (en) 2006-07-31 2014-06-03 Google Inc. Simulating a memory standard
US7724589B2 (en) 2006-07-31 2010-05-25 Google Inc. System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits
US8340953B2 (en) 2006-07-31 2012-12-25 Google, Inc. Memory circuit simulation with power saving capabilities
US20080133825A1 (en) * 2006-07-31 2008-06-05 Suresh Natarajan Rajan System and method for simulating an aspect of a memory circuit
US20100257304A1 (en) * 2006-07-31 2010-10-07 Google Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US8972673B2 (en) 2006-07-31 2015-03-03 Google Inc. Power management of memory circuits by virtual memory simulation
US8154935B2 (en) 2006-07-31 2012-04-10 Google Inc. Delaying a signal communicated from a system to at least one of a plurality of memory circuits
US8671244B2 (en) 2006-07-31 2014-03-11 Google Inc. Simulating a memory standard
US8407412B2 (en) 2006-07-31 2013-03-26 Google Inc. Power management of memory circuits by virtual memory simulation
US8122207B2 (en) 2006-07-31 2012-02-21 Google Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US9047976B2 (en) 2006-07-31 2015-06-02 Google Inc. Combined signal delay and power saving for use with a plurality of memory circuits
US8090897B2 (en) 2006-07-31 2012-01-03 Google Inc. System and method for simulating an aspect of a memory circuit
US8566516B2 (en) 2006-07-31 2013-10-22 Google Inc. Refresh management of memory modules
US8868829B2 (en) 2006-07-31 2014-10-21 Google Inc. Memory circuit system and method
US8077535B2 (en) 2006-07-31 2011-12-13 Google Inc. Memory refresh apparatus and method
US8667312B2 (en) 2006-07-31 2014-03-04 Google Inc. Performing power management operations
US8595419B2 (en) 2006-07-31 2013-11-26 Google Inc. Memory apparatus operable to perform a power-saving operation
US8601204B2 (en) 2006-07-31 2013-12-03 Google Inc. Simulating a refresh operation latency
US8041881B2 (en) 2006-07-31 2011-10-18 Google Inc. Memory device with emulated characteristics
US8019589B2 (en) 2006-07-31 2011-09-13 Google Inc. Memory apparatus operable to perform a power-saving operation
US8631220B2 (en) 2006-07-31 2014-01-14 Google Inc. Adjusting the timing of signals associated with a memory system
US8796830B1 (en) 2006-09-01 2014-08-05 Google Inc. Stackable low-profile lead frame package
US8055833B2 (en) 2006-10-05 2011-11-08 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
US8370566B2 (en) 2006-10-05 2013-02-05 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US8977806B1 (en) 2006-10-05 2015-03-10 Google Inc. Hybrid memory module
US8751732B2 (en) 2006-10-05 2014-06-10 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US8130560B1 (en) 2006-11-13 2012-03-06 Google Inc. Multi-rank partial width memory modules
US8446781B1 (en) 2006-11-13 2013-05-21 Google Inc. Multi-rank partial width memory modules
US8760936B1 (en) 2006-11-13 2014-06-24 Google Inc. Multi-rank partial width memory modules
US8209479B2 (en) 2007-07-18 2012-06-26 Google Inc. Memory circuit system and method
US8080874B1 (en) 2007-09-14 2011-12-20 Google Inc. Providing additional space between an integrated circuit and a circuit board for positioning a component therebetween
US8675429B1 (en) 2007-11-16 2014-03-18 Google Inc. Optimal channel design for memory devices for providing a high-speed memory interface
US8111566B1 (en) 2007-11-16 2012-02-07 Google, Inc. Optimal channel design for memory devices for providing a high-speed memory interface
US8081474B1 (en) 2007-12-18 2011-12-20 Google Inc. Embossed heat spreader
US8730670B1 (en) 2007-12-18 2014-05-20 Google Inc. Embossed heat spreader
US8705240B1 (en) 2007-12-18 2014-04-22 Google Inc. Embossed heat spreader
US8631193B2 (en) 2008-02-21 2014-01-14 Google Inc. Emulation of abstracted DIMMS using abstracted DRAMS
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
US8386722B1 (en) 2008-06-23 2013-02-26 Google Inc. Stacked DIMM memory interface
US8762675B2 (en) 2008-06-23 2014-06-24 Google Inc. Memory system for synchronous data transmission
US8819356B2 (en) 2008-07-25 2014-08-26 Google Inc. Configurable multirank memory system with interface circuit
US8335894B1 (en) 2008-07-25 2012-12-18 Google Inc. Configurable memory system with interface circuit
US8572320B1 (en) 2009-01-23 2013-10-29 Cypress Semiconductor Corporation Memory devices and systems including cache devices for memory modules
US9390783B1 (en) 2009-01-23 2016-07-12 Cypress Semiconductor Corporation Memory devices and systems including cache devices for memory modules
US9836416B2 (en) 2009-01-23 2017-12-05 Cypress Semiconductor Corporation Memory devices and systems including multi-speed access of memory modules
US8725983B2 (en) 2009-01-23 2014-05-13 Cypress Semiconductor Corporation Memory devices and systems including multi-speed access of memory modules
US20100293325A1 (en) * 2009-01-23 2010-11-18 Cypress Semiconductor Corporation Memory devices and systems including multi-speed access of memory modules
US8169233B2 (en) 2009-06-09 2012-05-01 Google Inc. Programming of DIMM termination resistance values
US8588017B2 (en) 2010-10-20 2013-11-19 Samsung Electronics Co., Ltd. Memory circuits, systems, and modules for performing DRAM refresh operations and methods of operating the same
US9164679B2 (en) 2011-04-06 2015-10-20 Patents1, Llc System, method and computer program product for multi-thread operation involving first memory of a first memory class and second memory of a second memory class
US9223507B1 (en) 2011-04-06 2015-12-29 P4tents1, LLC System, method and computer program product for fetching data between an execution of a plurality of threads
US9195395B1 (en) 2011-04-06 2015-11-24 P4tents1, LLC Flash/DRAM/embedded DRAM-equipped system and method
US9189442B1 (en) 2011-04-06 2015-11-17 P4tents1, LLC Fetching data between thread execution in a flash/DRAM/embedded DRAM-equipped system
US9182914B1 (en) 2011-04-06 2015-11-10 P4tents1, LLC System, method and computer program product for multi-thread operation involving first memory of a first memory class and second memory of a second memory class
US9176671B1 (en) 2011-04-06 2015-11-03 P4tents1, LLC Fetching data between thread execution in a flash/DRAM/embedded DRAM-equipped system
US9170744B1 (en) 2011-04-06 2015-10-27 P4tents1, LLC Computer program product for controlling a flash/DRAM/embedded DRAM-equipped system
US9158546B1 (en) 2011-04-06 2015-10-13 P4tents1, LLC Computer program product for fetching from a first physical memory between an execution of a plurality of threads associated with a second physical memory
US8930647B1 (en) 2011-04-06 2015-01-06 P4tents1, LLC Multiple class memory systems
US9417754B2 (en) 2011-08-05 2016-08-16 P4tents1, LLC User interface system, method, and computer program product
US10031607B1 (en) 2011-08-05 2018-07-24 P4tents1, LLC System, method, and computer program product for a multi-pressure selection touch screen
US10120480B1 (en) 2011-08-05 2018-11-06 P4tents1, LLC Application-specific pressure-sensitive touch screen system, method, and computer program product
US10146353B1 (en) 2011-08-05 2018-12-04 P4tents1, LLC Touch screen system, method, and computer program product
US10156921B1 (en) 2011-08-05 2018-12-18 P4tents1, LLC Tri-state gesture-equipped touch screen system, method, and computer program product
US10162448B1 (en) 2011-08-05 2018-12-25 P4tents1, LLC System, method, and computer program product for a pressure-sensitive touch screen for messages
US10203794B1 (en) 2011-08-05 2019-02-12 P4tents1, LLC Pressure-sensitive home interface system, method, and computer program product
US10209807B1 (en) 2011-08-05 2019-02-19 P4tents1, LLC Pressure sensitive touch screen system, method, and computer program product for hyperlinks
US10209808B1 (en) 2011-08-05 2019-02-19 P4tents1, LLC Pressure-based interface system, method, and computer program product with virtual display layers
US10209806B1 (en) 2011-08-05 2019-02-19 P4tents1, LLC Tri-state gesture-equipped touch screen system, method, and computer program product
US10209809B1 (en) 2011-08-05 2019-02-19 P4tents1, LLC Pressure-sensitive touch screen system, method, and computer program product for objects
US10222895B1 (en) 2011-08-05 2019-03-05 P4tents1, LLC Pressure-based touch screen system, method, and computer program product with virtual display layers
US10222891B1 (en) 2011-08-05 2019-03-05 P4tents1, LLC Setting interface system, method, and computer program product for a multi-pressure selection touch screen
US10222894B1 (en) 2011-08-05 2019-03-05 P4tents1, LLC System, method, and computer program product for a multi-pressure selection touch screen
US10222892B1 (en) 2011-08-05 2019-03-05 P4tents1, LLC System, method, and computer program product for a multi-pressure selection touch screen
US10222893B1 (en) 2011-08-05 2019-03-05 P4tents1, LLC Pressure-based touch screen system, method, and computer program product with virtual display layers
US10275086B1 (en) 2011-08-05 2019-04-30 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10275087B1 (en) 2011-08-05 2019-04-30 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10338736B1 (en) 2011-08-05 2019-07-02 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10345961B1 (en) 2011-08-05 2019-07-09 P4tents1, LLC Devices and methods for navigating between user interfaces
US10365758B1 (en) 2011-08-05 2019-07-30 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10386960B1 (en) 2011-08-05 2019-08-20 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10521047B1 (en) 2011-08-05 2019-12-31 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10534474B1 (en) 2011-08-05 2020-01-14 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10540039B1 (en) 2011-08-05 2020-01-21 P4tents1, LLC Devices and methods for navigating between user interface
US10551966B1 (en) 2011-08-05 2020-02-04 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10592039B1 (en) 2011-08-05 2020-03-17 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product for displaying multiple active applications
US10606396B1 (en) 2011-08-05 2020-03-31 P4tents1, LLC Gesture-equipped touch screen methods for duration-based functions
US10642413B1 (en) 2011-08-05 2020-05-05 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10649580B1 (en) 2011-08-05 2020-05-12 P4tents1, LLC Devices, methods, and graphical use interfaces for manipulating user interface objects with visual and/or haptic feedback
US10649579B1 (en) 2011-08-05 2020-05-12 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10649578B1 (en) 2011-08-05 2020-05-12 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10649581B1 (en) 2011-08-05 2020-05-12 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10649571B1 (en) 2011-08-05 2020-05-12 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10656755B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10656759B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10656752B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10656753B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10656758B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10656757B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10656754B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Devices and methods for navigating between user interfaces
US10656756B1 (en) 2011-08-05 2020-05-19 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10664097B1 (en) 2011-08-05 2020-05-26 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10671212B1 (en) 2011-08-05 2020-06-02 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10671213B1 (en) 2011-08-05 2020-06-02 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10725581B1 (en) 2011-08-05 2020-07-28 P4tents1, LLC Devices, methods and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10782819B1 (en) 2011-08-05 2020-09-22 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10788931B1 (en) 2011-08-05 2020-09-29 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10838542B1 (en) 2011-08-05 2020-11-17 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10936114B1 (en) 2011-08-05 2021-03-02 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US10996787B1 (en) 2011-08-05 2021-05-04 P4tents1, LLC Gesture-equipped touch screen system, method, and computer program product
US11061503B1 (en) 2011-08-05 2021-07-13 P4tents1, LLC Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US11740727B1 (en) 2011-08-05 2023-08-29 P4Tents1 Llc Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback
US10679722B2 (en) 2016-08-26 2020-06-09 Sandisk Technologies Llc Storage system with several integrated components and method for use therewith
US11211141B2 (en) 2016-08-26 2021-12-28 Sandisk Technologies Llc Storage system with multiple components and method for use therewith
US11610642B2 (en) 2016-08-26 2023-03-21 Sandisk Technologies Llc Storage system with multiple components and method for use therewith

Also Published As

Publication number Publication date
US8601204B2 (en) 2013-12-03
US20080126687A1 (en) 2008-05-29
US20080126688A1 (en) 2008-05-29
US8671244B2 (en) 2014-03-11
US20080103753A1 (en) 2008-05-01
US20120008436A1 (en) 2012-01-12
US20120011310A1 (en) 2012-01-12
US20120011386A1 (en) 2012-01-12
US8595419B2 (en) 2013-11-26
US20080109206A1 (en) 2008-05-08
US20080126692A1 (en) 2008-05-29
US20130191585A1 (en) 2013-07-25
US8745321B2 (en) 2014-06-03
US20080104314A1 (en) 2008-05-01
US8041881B2 (en) 2011-10-18
US8019589B2 (en) 2011-09-13
US20080056014A1 (en) 2008-03-06

Similar Documents

Publication Publication Date Title
US8745321B2 (en) Simulating a memory standard
US8112266B2 (en) Apparatus for simulating an aspect of a memory circuit
US7609567B2 (en) System and method for simulating an aspect of a memory circuit
US8154935B2 (en) Delaying a signal communicated from a system to at least one of a plurality of memory circuits
US8280714B2 (en) Memory circuit simulation system and method with refresh capabilities
US7580312B2 (en) Power saving system and method for use with a plurality of memory circuits
US8077535B2 (en) Memory refresh apparatus and method
US20080025136A1 (en) System and method for storing at least a portion of information received in association with a first operation for use in performing a second operation
US20080028135A1 (en) Multiple-component memory interface system and method
US9507739B2 (en) Configurable memory circuit system and method
US10013371B2 (en) Configurable memory circuit system and method

Legal Events

Date Code Title Description
AS Assignment

Owner name: GOOGLE INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:METARAM, INC.;REEL/FRAME:023525/0835

Effective date: 20090911

Owner name: GOOGLE INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:METARAM, INC.;REEL/FRAME:023525/0835

Effective date: 20090911

AS Assignment

Owner name: GOOGLE INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:METARAM, INC.;REEL/FRAME:023560/0563

Effective date: 20090911

Owner name: METARAM, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAJAN, SURESH NATARAJAN;SCHAKEL, KEITH R.;SMITH, MICHAEL JOHN SEBASTIAN;AND OTHERS;REEL/FRAME:023560/0548;SIGNING DATES FROM 20070529 TO 20070530

Owner name: METARAM, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAJAN, SURESH NATARAJAN;SCHAKEL, KEITH R.;SMITH, MICHAEL JOHN SEBASTIAN;AND OTHERS;SIGNING DATES FROM 20070529 TO 20070530;REEL/FRAME:023560/0548

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GOOGLE LLC, CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:GOOGLE INC.;REEL/FRAME:044142/0357

Effective date: 20170929