US20070029607A1 - Dense arrays and charge storage devices - Google Patents

Dense arrays and charge storage devices Download PDF

Info

Publication number
US20070029607A1
US20070029607A1 US11/544,666 US54466606A US2007029607A1 US 20070029607 A1 US20070029607 A1 US 20070029607A1 US 54466606 A US54466606 A US 54466606A US 2007029607 A1 US2007029607 A1 US 2007029607A1
Authority
US
United States
Prior art keywords
layer
array
gate
silicon
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/544,666
Inventor
Igor Kouznetzov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
WODEN TECHNOLOGIES INC.
Original Assignee
SanDisk 3D LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk 3D LLC filed Critical SanDisk 3D LLC
Priority to US11/544,666 priority Critical patent/US20070029607A1/en
Publication of US20070029607A1 publication Critical patent/US20070029607A1/en
Priority to US12/320,351 priority patent/US7825455B2/en
Priority to US13/027,113 priority patent/US20110156044A1/en
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK 3D LLC.
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: SANDISK 3D LLC
Assigned to SANDISK TECHNOLOGIES LLC reassignment SANDISK TECHNOLOGIES LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK TECHNOLOGIES INC
Assigned to WODEN TECHNOLOGIES INC. reassignment WODEN TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK TECHNOLOGIES LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • G11C16/14Circuits for erasing electrically, e.g. erase voltage switching circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3418Disturbance prevention or evaluation; Refreshing of disturbed memory data
    • G11C16/3427Circuits or methods to prevent or reduce disturbance of the state of a memory cell when neighbouring cells are read or written
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0626Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a localised breakdown region, e.g. built-in avalanching region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1604Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42332Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8616Charge trapping diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/60Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/60Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates the control gate being a doped region, e.g. single-poly memory cell
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2211/00Indexing scheme relating to digital stores characterized by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C2211/56Indexing scheme relating to G11C11/56 and sub-groups for features not covered by these groups
    • G11C2211/561Multilevel memory cell aspects
    • G11C2211/5612Multilevel memory cell with more than one floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels

Definitions

  • 09/927,648 is also a continuation-in-part of U.S. application Ser. No. 09/639,579 filed on Aug. 14, 2000, which is incorporated by reference in its entirety.
  • Application Ser. No. 09/927,648 is also a continuation-in-part of U.S. application Ser. No. 09/639,702 filed on Aug. 14, 2000, which is incorporated by reference in its entirety.
  • Application Ser. No. 09/927,648 is also a continuation-in-part of U.S. application Ser. No. 09/639,749 filed on Aug. 17, 2000, which is incorporated by reference in its entirety.
  • Application Ser. No. 09/927,648 also claims benefit of priority of provisional application 60/279,855, filed on Mar. 28, 2001, which is incorporated by reference in its entirety.
  • the present invention relates to semiconductor devices in general and to a three dimensional TFT array in particular.
  • Such memories should be archival and non-volatile in that they should be able to withstand being removed from equipment and all sources of power for a period of up to about 10 years with no significant degradation of the information stored in them. Such a requirement approximates the typical longevity for CDs, DVDs, magnetic tape and most forms of photographic film.
  • such memories are formed with electrically erasable nonvolatile memories such as flash memories and EEPROMs.
  • electrically erasable nonvolatile memories such as flash memories and EEPROMs.
  • flash memories and EEPROMs.
  • these devices are typically fabricated in a single crystalline silicon substrate and therefore are limited to two-dimensional arrays of storage devices, thereby limiting the amount of data that can be stored to the number of devices that can be fabricated in a single plane of silicon.
  • Nonvolatile memories that employed trapped charge in a dielectric layer.
  • electrons are trapped in a layer of silicon nitride by, for instance, tunneling a current through the nitride layer.
  • the silicon nitride is formed between a gate insulated from the channel of a field-effect transistor.
  • the trapped charge shifts the threshold voltage of the transistor and thus, the threshold voltage is sensed to determine whether or not charge is trapped in the nitride layer. See U.S. Pat. No. 5,768,192 for an example of such memories.
  • the memory cell is constructed in a p-type silicon substrate.
  • this silicon-oxide-nitride-oxide-silicon (SONOS) ITC memory is arranged in an NOR Virtual Ground Array with a cell area of 2.5 F 2 per bit, where F is the minimum feature size. This cell area is larger than desirable, and leads to a less than optimum cell density.
  • SONOS silicon-oxide-nitride-oxide-silicon
  • Prior art negative-resistance devices are also known. These devices were discovered around 1972 and are described in Thin-MIS-Structure Si Negative-Resistance Diode, Applied Physics Letters, Volume 20, No. 8, beginning on page 269, 15 Apr. 1972.
  • the device described in the article is a junction diode, such as diode 5510 of FIG. 96 and a thin oxide region disposed on the n-type region of the diode, such as the oxide region 5511 of FIG. 96 .
  • the device provides a switching phenomenon exhibiting a negative-resistance region as shown in FIG. 97 .
  • the device of FIG. 96 comprises a PN junction diode and a thin oxide region.
  • the diode When the diode is forward biased, initially very little current flows because the diode junction voltage is a fraction of the applied voltage, with the balance of the voltage drop across the n ⁇ region and oxide region. Holes injected into the n ⁇ region from the p region are sufficiently low in number that the tunneling current through the oxide (despite the unfavorable barrier to the hole flow) allows the n ⁇ region to remain an n-type region. Similarly, any holes generated within the depletion region are able to pass through the thin oxide while any generated electrons are swept across to the p region and out of the anode contact.
  • the device behaves essentially as an ordinary forward biased diode as most of the voltage is ultimately dropped across the PN junction.
  • V-I characteristics of the structure are shown in FIG. 97 with the slope of the segment 5513 being determined in large part by the series resistance coupled to the structure of FIG. 96 .
  • the diode When reverse biased, the diode is in its blocking state and the only current that flows through the oxide is electron leakage current.
  • the reverse junction voltage is a fraction of the applied voltage because some is dropped across the oxide region. It should be noted that electrons carry current through the oxide region in both reverse bias and in a strong forward bias.
  • each memory cell is a “self-aligned” floating gate cell and contains a polycrystalline silicon thin film transistor electrically erasable programmable read only memory (TFT EEPROM) over an insulating layer.
  • TFT EEPROM polycrystalline silicon thin film transistor electrically erasable programmable read only memory
  • the word lines extend in the direction perpendicular to the source-channel-drain direction (i.e., the word lines extend perpendicular to the charge carrier flow direction).
  • the TFT EEPROMs do not contain a separate control gate. Instead, the word line acts as a control gate in regions where it overlies the floating gates.
  • the layout of Koyama requires two polycide contact pads to be formed to contact the source and drain regions of each TFT.
  • the bit lines are formed above the word lines and contact the contact pads through contact vias in an interlayer insulating layer which separates the bits lines from the word lines. Therefore, each cell in this layout is not fully self-aligned, because the contact pads and the contact vias are each patterned using a non-self aligned photolithography step. Therefore, each memory cell has an area that is larger than desirable, and leads to a less than optimum cell density.
  • the memory cell of Koyama is also complex to fabricate because it requires the formation of contact pads and bit line contact vias. Furthermore, the manufacturability of the device of Koyama is less than optimum because both bit lines and word lines have a non-planar top surface due to the non-planar underlying topography. This may lead to open circuits in the bit and word lines.
  • the Virtual Ground Array approach to crystalline silicon non-volatile memories has also been known for some time and is an elegant way of aggressively reducing memory cell size.
  • the basic approach utilizes a cross point array 5610 of bitlines in buried n+ diffusion 5612 within a single crystalline silicon p-type substrate 5614 and wordlines formed of polysilicon rails 5616 disposed over the substrate 5614 .
  • a transistor is formed from adjacent bitlines 5612 and a p-type channel region 5618 disposed between the adjacent bitlines 5612 .
  • a layer of gate oxide 5620 insulates the floating gates 5622 , which lie above the channels 5618 and are formed of, for example, polysilicon.
  • An upper dielectric layer 5624 insulates the floating gates 5622 from polysilicon wordlines (WLs) 5616 .
  • “Virtual Ground” refers to the fact that there is no dedicated ground line in the array. Whenever a cell is chosen for read or program, a pair of buried n+ bitlines (BLs) is the source and drain with the source grounded. For example, to select the cell 5624 outlined in FIG. 100 , BL(k) and BL(k+1) would be selected as the source and drain (or vice versa) and WL(j) would be selected as the control gate of the device. In one approach, all of the bit lines to the left of BL(k) as shown in FIG.
  • the charge storage medium is a conducting floating gate made of doped polysilicon.
  • hot electron injection programming the method of choice in all classic EPROM (erasable programmable read only memory) and single transistor Flash memory cells
  • electrons are injected onto the floating gate thus changing the threshold voltage of the inherent MOS transistor.
  • the above discussed SONOS (polysilicon-blocking oxide-nitride-tunnel oxide-silicon) charge trapping approach has reemerged as a viable candidate for non-volatile MTP memories arranged in a virtual ground array structure 5626 , as shown in FIG. 101 .
  • the array includes n+ buried bitlines 5612 disposed in a single crystalline silicon substrate 5614 .
  • An ONO (oxide-nitride-oxide) dielectric stack 5628 insulates bitlines 5612 from polysilicon wordline 5630 . The hot electrons are injected into the ONO dielectric stack 5628 near the drain edge during programming where charge is trapped in the nitride layer.
  • Two bits can be stored per memory cell utilizing this approach because hot electrons are injected into the ONO dielectric stack at the programming drain edge. Since the nitride charge storage medium does not laterally conduct, the charge stays where it was injected. Trapped charge near the source of a transistor has a large effect on the transistor's threshold voltage while trapped charge near the drain has little effect on threshold voltage. Accordingly, individual charge zones on either side of the ONO layer may be written and read by simply reversing the drain and source connections for the cell. When the cell is programmed, charge is injected at the zone closest to the drain. If source and drain are reversed for the same cell, another charge may be injected into the same cell but at the “other” drain. Both sides can also be read, thus two bits per cell may be stored and retrieved.
  • a semiconductor device comprises a monolithic three dimensional array of charge storage devices comprising a plurality of device levels, wherein at least one surface between two successive device levels is planarized by chemical mechanical polishing.
  • a monolithic three dimensional array of charge storage devices is formed in an amorphous or polycrystalline semiconductor layer over a monocrystalline semiconductor substrate, and driver circuitry is formed in the substrate at least in part under the array, within the array or above the array.
  • Another preferred embodiment of the present invention provides a memory device comprising a first input/output conductor formed above or on a first plane of a substrate.
  • the memory device also includes a second input/output conductor.
  • a semiconductor region is located between the first input/output conductor and the second input/output conductor at an intersection of their projections.
  • the memory device includes a charge storage medium wherein charge stored in the charge storage medium affects the amount of current that flows between the first input/output conductor and the second input/output conductor.
  • Another preferred embodiment of the present invention provides a nonvolatile read-write memory cell having an N doped region, a P doped region, and a storage element disposed between the two.
  • Another preferred embodiment of the present invention provides a method for operating a memory cell.
  • the method comprises the steps of trapping charge in a region to program the cell, and passing current through the region when reading data from the cell.
  • Another preferred embodiment of the present invention provides an array of memory cells, said array having a plurality of memory cells each comprising at least one semiconductor region and a storage means for trapping charge.
  • the array also has control means for controlling the flow of current through the semiconductor region and the storage means of the cells.
  • the memory device includes a substrate having a first plane.
  • a first contact is formed on or above the plane of a substrate.
  • a body is formed on the first contact.
  • a second contact is formed on the body wherein the second contact is at least partially aligned over the first contact.
  • a control gate is formed adjacent to the charge storage medium.
  • a read current flows between the first contact and the second contact in a direction perpendicular to the plane of the substrate.
  • a field effect transistor comprising a source, a drain, a channel, a gate, at least one insulating layer between the gate and the channel, and a gate line which extends substantially parallel to a source-channel-drain direction and which contacts the gate and is self aligned to the gate.
  • Another preferred embodiment of the present invention provides a three dimensional nonvolatile memory array, comprising a plurality of vertically separated device levels, each level comprising an array of TFT EEPROMs, each TFT EEPROM comprising a channel, source and drain regions, and a charge storage region adjacent to the channel, a plurality of bit line columns in each device level, each bit line contacting the source or the drain regions of the TFT EEPROMs, a plurality of word line rows in each device level, and at least one interlayer insulating layer located between the device levels.
  • an EEPROM comprising a channel, a source, a drain, a tunneling dielectric located above the channel, a floating gate located above the tunneling dielectric, sidewall spacers located adjacent to the floating gate sidewalls, a word line located above the floating gate, and a control gate dielectric located between the control gate and the floating gate.
  • the control gate dielectric is located above the sidewall spacers.
  • Another preferred embodiment of the present invention provides an array of nonvolatile memory cells, wherein each memory cell comprises a semiconductor device and each memory cell size per bit is about ( 2 F 2 )/N, where F is a minimum feature size and N is a number of device layers in the third dimension, and where N>1
  • Another preferred embodiment of the present invention provides a method of making an EEPROM, comprising providing a semiconductor active area, forming a charge storage region over the active area, forming a conductive gate layer over the charge storage region and patterning the gate layer to form a control gate overlying the charge storage region.
  • the method also comprises doping the active area using the control gate as a mask to form source and drain regions in the active area, forming a first insulating layer above and adjacent to the control gate, exposing a top portion of the control gate without photolithographic masking, and forming a word line contacting the exposed top portion of the control gate, such that the word line is self aligned to the control gate.
  • Another preferred embodiment of the present invention provides a method of making an EEPROM, comprising providing a semiconductor active area, forming a tunnel dielectric layer over the active area, forming a conductive gate layer over the tunnel dielectric layer, patterning the gate layer to form a floating gate overlying the tunnel dielectric layer and doping the active area using the floating gate as a mask to form source and drain regions in the active area.
  • the method also comprises forming sidewall spacers adjacent to the floating gate sidewalls, forming a first insulating layer above and adjacent to the sidewall spacers and above the source and drain regions, forming a control gate dielectric layer over the floating gate, and forming a word line over the control gate dielectric and over the first insulating layer.
  • Another preferred embodiment of the present invention provides a method of forming a nonvolatile memory array, comprising forming a semiconductor active layer, forming a first insulating layer over the active layer, forming a plurality of gate electrodes over the first insulating layer and doping the active layer using the gate electrodes as a mask to form a plurality of source and drain regions in the active layer, and a plurality of bit lines extending substantially perpendicular to a source-drain direction.
  • the method also comprises forming a second insulating layer above and adjacent to the gate electrodes and above the source regions, drain regions and the bit lines, planarizing the second insulating layer, and forming a plurality of word lines over the second insulating layer extending substantially parallel to the source-drain direction.
  • Another preferred embodiment of the present invention provides a method of making an EEPROM array, comprising providing a semiconductor active area, forming a plurality of dummy blocks above the active area, doping the active area using the dummy blocks as a mask to form source and drain regions in the active area, forming an intergate insulating layer above and between the dummy blocks, planarizing the intergate insulating layer to expose top portions of the dummy blocks, selectively removing the dummy blocks from between portions of the planarized intergate insulating layer to form a plurality of vias between the portions of the intergate insulating layer, forming charge storage regions over the active area in the plurality of vias, forming a conductive gate layer over the charge storage regions, and patterning the conductive gate layer to form a control gate overlying the charge storage region.
  • Another preferred embodiment of the present invention provides a method of forming a TFT EEPROM, comprising forming a TFT EEPROM comprising an amorphous silicon or a polysilicon active layer, a charge storage region and a control gate, providing a crystallization catalyst in contact with the active layer, and heating the active layer after the step of providing the catalyst to recrystallize the active layer using the catalyst.
  • Another preferred embodiment of the present invention provides a two- or three-dimensional memory array constructed of thin film transistors disposed above the substrate. Spaced-apart conductors disposed in a first direction form contacts with memory cells formed in rail stacks disposed in a second direction different from the first direction.
  • a local charge trapping medium receives and stores hot electrons injected by thin film transistors formed at the intersections of the spaced-apart conductors and the rail stacks. The local charge trapping medium may be used to store charge adjacent to a transistor drain and by reversing the drain and source lines, two bits per memory cell may be stored, if desired.
  • a programming method insures that stored memory will not be inadvertently disturbed.
  • TFT non-volatile thin film transistor
  • a local charge storage film is disposed vertically adjacent to the channel and stores injected charge.
  • a two- or three-dimensional array of such devices may be constructed above the substrate.
  • Spaced-apart conductors disposed in a first direction form contacts with memory cells formed in rail stacks disposed in a second direction different from the first direction.
  • the local charge storage film receives and stores charge injected by TFTs formed at the intersections of the spaced-apart conductors and the rail stacks.
  • the local charge storage film may be used to store charge adjacent to a transistor drain and by reversing the drain and source lines, two bits per memory cell may be stored, if desired.
  • a programming method insures that stored memory will not be inadvertently disturbed.
  • a TFT CMOS device comprising a gate electrode, a first insulating layer adjacent to a first side of the gate electrode, a first semiconductor layer having a first conductivity type disposed on a side of the first insulating layer opposite to the gate electrode, a first source and drain regions of a second conductivity type disposed in the first semiconductor layer, first source and drain electrodes in contact with the first source and drain regions and disposed on a side of the first semiconductor layer opposite to the first insulating layer.
  • the TFT CMOS device further comprises a second insulating layer adjacent to a second side of the gate electrode, a second semiconductor layer having a second conductivity type disposed on a side of the second insulating layer opposite to the gate electrode, second source and drain regions of a first conductivity type disposed in the second semiconductor layer, and second source and drain electrodes in contact with the second source and drain regions and disposed on a side of the second semiconductor layer opposite to the second insulating layer.
  • Another preferred embodiment of the present invention provides a circuit comprising a plurality of charge storage devices and a plurality of antifuse devices.
  • Another preferred embodiment of the present invention provides a semiconductor device comprising a semiconductor active region, a charge storage region adjacent to the semiconductor active region, a first electrode, and a second electrode. Charge is stored in the charge storage region when a first programming voltage is applied between the first and the second electrodes, and a conductive link is formed through the charge storage region to form a conductive path between the first and the second electrodes when a second programming voltage higher than the first voltage is applied between the first and the second electrodes.
  • FIG. 1A is an illustration of a pillar memory in accordance with an embodiment of the present invention.
  • FIG. 1B is an illustration of an overhead view of a pillar memory in accordance with an embodiment of the present invention having a single charge storage medium and single control gate surrounding a pillar.
  • FIG. 1C is an illustration of an overhead view showing a pillar memory in accordance with an embodiment of the present invention having multiple charge storage mediums and multiple control gates.
  • FIG. 2 is an illustration of the pillar memory in accordance with an embodiment of the present invention.
  • FIGS. 3A-3D illustrate an ultra thin channel pillar memory device in accordance with an embodiment of the present invention and its method of fabrication.
  • FIG. 4 is an illustration of a pillar memory of an embodiment of the present invention having Schottky contacts.
  • FIG. 5 is an illustration of a gated diode pillar memory in accordance with an embodiment of the present invention.
  • FIG. 6 is an illustration of a pillar memory in accordance with an embodiment of the present invention having a nanocrystal floating gate.
  • FIG. 7 is an illustration of a pillar memory of an embodiment of the present invention having a charge trapping dielectric.
  • FIGS. 8A and 8B illustrate a method of forming a pillar utilizing an explicit pillar formation process.
  • FIGS. 9A and 9B illustrate a method of forming a pillar utilizing an intersection etch technique.
  • FIGS. 10A-10E illustrate a method of forming a pillar memory device in accordance with an embodiment of the present invention utilizing a “spacer etch” technique.
  • FIGS. 11A-11C illustrate a method of forming a common control gate between adjacent pillar memories as well as showing the isolation of control gates between adjacent pillars.
  • FIGS. 12A and 12B illustrate a method of forming a common continuous film control gate between two or more levels of pillar memories.
  • FIGS. 13 to FIG. 28 illustrate a method of fabricating multiple levels of pillar memories in accordance with an embodiment of the present invention.
  • FIG. 29A is a representation of a memory cell of an embodiment of the present invention.
  • FIG. 29B is a graph illustrating the characteristics of the cell of FIG. 29A .
  • FIG. 30 is a cross-sectional elevation view of a two terminal cell built in accordance with an embodiment of the present invention.
  • FIG. 31 is a cross-sectional elevation view of a three terminal cell built in accordance with an embodiment of the present invention.
  • FIG. 32 is a cross-sectional elevation view of a three-dimensional memory array employing rail stacks built in accordance with an embodiment of the present invention.
  • FIG. 33 is a perspective view of a cell formed as a pillar above a substrate in accordance with an embodiment of the present invention.
  • FIG. 34 is another embodiment of a cell formed as a pillar.
  • FIGS. 35 and 36 are schematics of a three dimensional array of devices.
  • FIG. 37 is a side cross-sectional view of a wafer after ONO dielectric, first gate electrode, protective oxide and blocking nitride layers have been deposited in a method according to an embodiment of the present invention.
  • FIG. 38 is a side cross-sectional view of a memory array after bit line patterning and source/drain implantation.
  • the cross-section is perpendicular to the bit lines.
  • FIG. 39 is a side cross-sectional view of the array after salicide process.
  • the cross-section is perpendicular to the bit lines.
  • FIG. 40 is a side cross-sectional view of the array after the oxide fill and planarization.
  • the cross-section is perpendicular to the bit lines.
  • FIG. 41 is a side cross-sectional view of the array after the blocking layer is removed.
  • the cross section is perpendicular to the bit lines.
  • FIG. 42 is a side cross-sectional view of the array during word line formation. The cross-section is perpendicular to the bit lines.
  • FIG. 43 is a side cross-sectional view of the array after word line formation along line A-A in FIG. 42 .
  • the cross-section is perpendicular to the word lines and passes through a bit line.
  • FIG. 44 is a side cross-sectional view of the array after word line formation along line B-B in FIG. 42 .
  • the cross-section is perpendicular to the word lines and passes through a transistor channel.
  • FIG. 45 is a side cross-sectional view of the array of the second preferred embodiment after the oxide fill and planarization.
  • the cross-section is perpendicular to the bit lines.
  • FIG. 46 is a side cross-sectional view of the array of the second preferred embodiment after word line formation.
  • the cross-section is perpendicular to the bit lines.
  • FIG. 47 is a side cross-sectional view of the array of a preferred embodiment after word line formation.
  • the cross-section is perpendicular to the bit lines.
  • FIGS. 48 A-C and 49 A-C illustrate alternative methods of making a TFT of the array of a preferred embodiment.
  • FIGS. 50 and 51 are side cross-sectional views of the array of two preferred aspects of a preferred embodiment after word line formation.
  • the cross-section is perpendicular to the bit lines.
  • FIG. 52 is a three dimensional view of a three dimensional array of a preferred embodiment.
  • FIG. 53 is a side cross-sectional view of a word line contact conductor and bit line contact conductor at the same level. Openings are made for the next level contacts.
  • FIG. 54 is a side cross-section view of a word line contact conductor in level N+1 and word line and bit line contact conductors in level N. Landing pads are made in level N+1 conductor for the next level contacts.
  • FIGS. 55-61 are side cross-sectional views of a method of making the array of a preferred embodiment.
  • the cross-section is perpendicular to the bit lines.
  • FIG. 62 is a top view of the array of a preferred embodiment of the present invention after forming crystallization windows.
  • FIGS. 63 and 64 are side cross-sectional views along lines A-A and B-B, respectively, in FIG. 62 .
  • the cross-section is perpendicular to the bit lines in FIG. 63 and parallel to the bit lines in FIG. 64 .
  • FIG. 65 is a top view of the array of a preferred embodiment after the crystallization of the active layer.
  • FIG. 66 is a drawing showing a front perspective view of a two-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 67 is a drawing showing an elevational cross sectional view of a two-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 68 is a drawing showing a top plan view of a memory array in accordance with a specific embodiment of the present invention.
  • FIG. 69 is a drawing showing an elevational cross sectional view of a three-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 70 is a drawing showing an elevational cross sectional view of a two-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 71 is a drawing showing an elevational cross sectional view of a three-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 72 is a drawing showing an elevational cross sectional view of a memory array in accordance with a specific embodiment of the present invention.
  • FIG. 73 is a drawing showing an elevational cross sectional view of a three-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIGS. 74 and 75 are drawings illustrating methods for programming memory cells in accordance with a specific embodiment of the present invention.
  • FIG. 76 is a drawing illustrating a method of fabrication of memory cells in accordance with a specific embodiment of the present invention.
  • FIG. 77 is a cross sectional drawing illustrating a SONOS on a dielectric stack.
  • FIG. 78 is a cross-sectional drawing illustrating a nanocrystalline charge storage medium.
  • FIG. 79 is a cross-sectional drawing of a bitline of doped polysilicon having a refractory metal silicide formed therein to improve lateral conductivity.
  • FIG. 80 is a cross-sectional drawing of a substrate in accordance with a specific embodiment of the present invention.
  • FIGS. 81A-81H illustrate steps in the fabrication of a memory array in accordance with a specific embodiment of the present invention.
  • FIGS. 82A-821 illustrate steps in the fabrication of a memory array in accordance with a specific embodiment of the present invention.
  • FIGS. 83-85 illustrate flash memory arrays according to a preferred embodiment of the present invention.
  • FIGS. 86A-86J illustrate methods of making the arrays of FIGS. 83-85 .
  • FIG. 87 illustrates a CMOS array according to a preferred embodiment of the present invention.
  • FIGS. 88 A-D illustrate a method of making the CMOS array of FIG. 87 .
  • FIGS. 89-92 illustrate logic and memory circuits using the CMOS array of FIG. 87 .
  • FIG. 93 is a process flow diagram illustrating a process for fabricating a crystallized amorphous silicon layer for use in a non-volatile TFT memory device in accordance with a specific embodiment of the present invention.
  • FIGS. 94A-94H are vertical cross-sectional drawings illustrating steps in the process of FIG. 93 .
  • FIG. 95 is a top plan view of a portion of a silicon wafer after processing in accordance with the process of FIG. 93 .
  • FIGS. 96-101 are illustrations of prior art devices.
  • the present inventors have realized that the cost of memory and logic devices would be decreased if the device density was increased. Thus, the present inventors have provided an ultra dense matrix array of charge storage semiconductor devices which has an increased density and a lower cost.
  • One method of improving device density is to arrange the devices in a monolithic three dimensional array of charge storage devices comprising a plurality of device levels.
  • monolithic means that layers of each level of the array were directly deposited on the layers of each underlying level of the array. In contrast, two dimensional arrays may be formed separately and then packaged together to form a non-monolithic memory device.
  • CMP chemical mechanical polishing
  • chemical mechanical polishing allows a sufficient degree of planarization to stack multiple device levels of a commercially feasible device on top of each other.
  • the inventors have found that chemical mechanical polishing typically achieves flatness on the order of 4000 Angstroms or less within a stepper field (i.e., a peak to peak roughness value of 4000 Angstroms or less in an area on the order of 10 to 50 mm) in three-dimensional memory arrays, even after 4 to 8 layers of the array have been formed.
  • the peak to peak roughness of a layer in the array polished by CMP is 3000 Angstroms or less, such as 500 to 1000 Angstroms, within a stepper field.
  • etch back alone typically does not afford sufficient flatness to achieve a commercially suitable three-dimensional memory or logic monolithic array.
  • the term “at least one surface between two successive device levels is planarized by chemical mechanical polishing” includes surfaces formed in the bottom and intermediate device layers, as well as surfaces of the interlayer insulating layers that are disposed in between the device layers.
  • the surfaces of conductive and/or insulating layers in each intermediate and bottom device level of the array are planarized by chemical mechanical polishing.
  • the array includes at least four device levels, then at least three device levels should have at least one surface that is planarized by chemical mechanical polishing.
  • the surfaces of the conductive and/or insulating layers in the top device level may also be planarized by chemical mechanical polishing.
  • a preferred embodiment of the present invention provides a monolithic three dimensional array of charge storage devices formed in an amorphous or polycrystalline semiconductor layer over a monocrystalline semiconductor substrate, while at least part, and preferably all, the driver (i.e., peripheral) circuitry is formed in the substrate under the array, within the array or above the array.
  • the driver circuitry comprises at least one of sense amps and charge pumps formed wholly or partially under the array in the substrate.
  • FIG. 35 schematically illustrates an array of charge storage logic or memory devices 3101 formed above an interlayer insulating layer 3102 disposed above a monocrystalline substrate 3105 .
  • the array of charge storage logic or memory devices 3101 are thus arranged as a three dimensional monolithic array thin film transistors or diodes in amorphous or polysilicon layers.
  • the array 3101 has a plurality of device levels 3104 , preferably separated by interlayer insulating layers.
  • the driver circuits 3103 such as sense amps and charge pumps, are disposed in the monocrystalline substrate 3105 , as CMOS or other transistors.
  • FIG. 35 schematically illustrates an array of charge storage logic or memory devices 3101 formed above an interlayer insulating layer 3102 disposed above a monocrystalline substrate 3105 .
  • the array of charge storage logic or memory devices 3101 are thus arranged as a three dimensional monolithic array thin film transistors or diodes in amorphous or polysilicon layers.
  • the array 3101 has a plurality
  • FIG. 36 schematically illustrates an array of charge storage logic or memory devices 3101 formed above a monocrystalline substrate 3105 as thin film transistors or diodes in amorphous or polysilicon layers.
  • the driver circuits 3103 such as sense amps and charge pumps, are formed within the array 3101 and/or above the array 3101 .
  • Another method of improving device density is self-alignment and using the same photolithography step to pattern different layers.
  • the device cell area is enlarged by misalignment tolerances that are put into place to guarantee complete overlap between features on different layers.
  • the present inventors have developed a fully or partially aligned memory cell structure that does not require misalignment tolerances or that requires a reduced number of misalignment tolerances.
  • certain device features may be self aligned to other device features, and do not require a photolithography step for patterning.
  • plural layers may be etched using the same photoresist mask or a lower device layer may be etched using a patterned upper device layer as a mask.
  • aligned memory cells will be discussed in more detail below.
  • the charge storage devices of the array may be any type of semiconductor devices which store charge, such as EPROMs or EEPROMs.
  • the charge storage devices are formed in various configurations, such as a pillar TFT EEPROM, a pillar diode with a charge storage region, a self aligned TFT EEPROM, a rail stack TFT EEPROM, and various other configurations. Each of these configurations provides devices with a high degree of planarity and alignment or self-alignment to increase the array density.
  • the semiconductor active region is aligned to one of the electrodes contacting the semiconductor active region.
  • the semiconductor active region is aligned to both the source and the drain electrodes. This alignment occurs because at least two sides of the active semiconductor region and one of the electrodes are patterned during a same photolithography step (i.e., etched using the same photoresist mask or one layer is used as a mask for the other layer).
  • two sides of the active semiconductor region are aligned to a side of the gate electrode only in the channel portion of the active semiconductor region, but not in the source and drain regions.
  • This alignment occurs because at least two sides of the channel region and the gate electrode are patterned during a same photolithography step (i.e., etched using the same photoresist mask or one layer is used as a mask for the other layer).
  • the source and drain regions are not etched.
  • the first set of embodiments describes various pillar devices
  • the second set of embodiments describes self-aligned TFT devices
  • the third set of embodiments describes rail stack TFT devices.
  • the fourth and fifth set of embodiments describes how these devices may be used in a logic or memory circuit.
  • the final set of embodiments describes the use of metal induced crystallization to improve the crystallinity of the device levels.
  • the present embodiment is directed to thin film transistors (TFTs) and diodes arranged in a pillar configuration (i.e., the vertical direction with respect to the substrate, where the length of the device is perpendicular to the substrate) and their method of fabrication.
  • the pillar devices form a charge trapping memory that has a vertical read current.
  • the memory includes a first input/output conductor formed on or above a plane of a substrate and a second input/output conductor located above and spaced apart from the first input/output conductor.
  • the first input/output conductor and the second input/output conductor are positioned so that they overlap or intersect one another and preferably intersect perpendicular to one another.
  • a semiconductor region such as a doped silicon region, is formed between the first input/output conductor and the second input/output conductor at the intersection of the first input/output conductor and the second input/output conductor.
  • a charge storage medium such as but not limited to a charge trapping dielectric, is formed near the semiconductor region and affects the amount of current that flows through the semiconductor region between the first input/output conductor and the second input/output conductor for a given voltage applied across the first input/output conductor and the second input/output conductor.
  • the amount of current (read current) for a single voltage that flows through the semiconductor region can be used to determine whether or not charge is stored in the charge storage medium and therefore whether or not the memory is programmed or erased.
  • the structure of the charge trapping memory of the present embodiment, as well as its method of fabrication, is ideally suited for integration into a three dimensional array of memory devices.
  • the charge trapping memory device of the present embodiment can be fabricated with one of two general structures.
  • the charge storage medium is formed adjacent to the semiconductor region and in a second embodiment the charge storage medium is formed above or below the semiconductor region.
  • An embodiment of the present invention is a three terminal nonvolatile stackable pillar memory device.
  • a pillar memory device 100 in accordance with this embodiment of the present invention is broadly illustrated in FIG. 1A .
  • Pillar memory device 100 includes a first contact region 102 formed on a first input/output (I/O) 103 conductor formed on or above a plane (x-y) of a single crystal substrate 101 .
  • a semiconductor body 104 is formed directly on the first contact region 102 and a second contact region 106 is formed directly on the body 104 .
  • a second I/O conductor 116 is formed on the second contact region 106 .
  • the first contact region 102 , the body 104 , and the second contact (source/drain) region 106 are each vertically aligned with one another to form a pillar 108 .
  • Adjacent to and in contact with body 104 is a charge storage medium 110 .
  • a control gate 112 is formed adjacent to and in direct contact with the charge storage medium 110 .
  • the control gate 112 and charge storage medium 110 are constructed so that they lie laterally adjacent to pillar 108 so that they may electrically communicate with pillar 108 .
  • the charge storage medium is the region that electrically screens the control gate and the channel region addressed by the control gate.
  • the programmed or unprogrammed state of the pillar memory device is determined by whether or not charge is stored in charge storage medium 110 .
  • the charge stored in the charge storage medium adds or subtracts from the voltage applied to the control gate, thereby altering the voltage required to form a conducting channel in body 104 to enable a current (e.g., read current IR) to flow between the first and second contact (source/drain) regions.
  • This voltage is defined as the VT.
  • the amount of voltage required to form a conducting channel in body 104 or the amount of current flowing in the body for a given control gate voltage can be used to determine whether or not the device is programmed or unprogrammed.
  • multiple bits of data can be stored in a single charge storage medium 110 whereby each different amount of stored charge creates a different VT each representing a different state of the charge storage medium. Because the charge storage medium can contain multiple states, multiple bits can be stored in a single charge storage medium.
  • the pillar memory cell of the present invention can be easily stacked in a three dimensional array with source/drain conductors 103 and 116 running parallel or perpendicular to each other and parallel to the plane of the substrate 101 without requiring the use of vertical interconnect strategies for the source and drain connections.
  • the conductor 112 to the control gate may be run vertically (as shown in FIG. 1A ) or horizontally.
  • memory device 100 shown in FIG. 1A includes a charge storage medium 110 and a control gate 112 formed on only one side or surface of pillar 108
  • the pillar memory device of the present invention can be fabricated so that the entire body 110 of the pillar 108 is surrounded by a single charge storage member 110 and a single control gate 112 as shown in FIG. 1B .
  • each surface of the pillar 108 can have an independently controlled charge storage member and control gate as shown in FIG. 1C and thereby enable multiple bits of data to be stored in a single pillar memory device of the present invention.
  • the use of multiple charge storage members and control gates enables the storage of multiple values on a single pillar device by determining how much of the channel is exposed to charge.
  • each face of body 104 of pillar 108 can have different doping densities to create different threshold voltages for each face to further enable the pillar memory to store additional states and therefore additional bits.
  • FIG. 2 shows an embodiment of the present invention where the pillar 207 comprises a first source/drain contact region 202 comprising a heavily doped N+ silicon film having a doping density in the range between 1 ⁇ 10 19 to 1 ⁇ 10 20 , preferably 1 ⁇ 10 19 to 1 ⁇ 10 21 atoms/cm 3 , formed on a first input/output 204 (e.g. bit line) formed on or above a substrate 201 .
  • a body comprising a lightly doped P ⁇ type silicon film 206 having a doping density between 1 ⁇ 10 16 to 1 ⁇ 10 18 atoms/cm 3 is formed on and in direct contact with the first N+ source/drain contact region 202 .
  • a second source/drain region 208 comprising a heavily doped N+ silicon film having a doping density of 1 ⁇ 10 19 to 1 ⁇ 10 20 , preferably 1 ⁇ 10 19 to 1 ⁇ 10 21 , atoms/cm 3 is formed on and in direct contact with P type silicon film 206 , as shown in FIG. 2 .
  • a second conductive input/output (e.g. word line/bit line) 210 is formed on the second N+ source/drain region 208 .
  • the N+ source/drain films 202 and 208 can have a thickness between 500-1000 ⁇ .
  • the first and second input/outputs 204 and 210 can be formed of a highly conductive material such as but not limited to a metal such as tungsten, a silicide such as titanium silicide or tungsten siticide, or heavily doped silicon.
  • a metal such as tungsten
  • a silicide such as titanium silicide or tungsten siticide
  • heavily doped silicon In memory device 200 N+ source/drain region 202 , P type silicon body 206 and N+ source/drain region 208 are each substantially vertically aligned with one another to form pillar 207 .
  • Pillar memory 200 shown in FIG. 2 , has a charge storage medium 211 comprising a tunnel dielectric 212 , a floating gate 214 , and a control gate dielectric 216 .
  • the tunnel dielectric is formed adjacent to and in direct contact with P type silicon body 206 .
  • a floating gate 214 is formed adjacent to and in direct contact with tunnel dielectric 212 .
  • Floating gate 214 comprises a conductor such as but not limited to doped silicon, such as N type silicon, or metal such as tungsten.
  • the control gate dielectric 216 is formed adjacent to and in direct contact with floating gate 214 .
  • a control gate 218 is formed adjacent to and in direct contact with control gate dielectric 216 .
  • Control gate 218 is formed of a conductor such as but not limited to doped silicon or a metal such as tungsten.
  • the thicknesses of P type silicon film 206 and tunnel dielectric 212 are dependent upon the desired programming and erasing voltage. If low voltage programming operations between 4 to 5 volts are desired, then P-type silicon film 206 can have a thickness between 1000-2500 ⁇ and the tunnel dielectric can have a thickness between 20 and 150 ⁇ , such as 20-50 ⁇ , preferably 80-130 ⁇ . (If a nitride tunnel dielectric 212 is desired it would be scaled slightly thicker.) It is to be appreciated that the thickness of P ⁇ type silicon film 206 defines the channel length of the device.
  • the P type silicon film 206 can have a thickness between 6000-7000 ⁇ and tunnel dielectric 212 can have a thickness between 60-100 ⁇ .
  • the control dielectric 216 typically has a thickness on order of tunnel dielectric 212 but is slightly (10-30 ⁇ ) thicker, preferably 130 to 180 ⁇ .
  • Pillar memory 200 is considered programmed or unprogrammed depending upon 25 whether or not charge is stored on floating gate 214 .
  • Pillar memory device 200 can be programmed utilizing drain side programming whereby electrons are placed on floating gate 214 by grounding the source region 202 while a relatively high voltage is applied to the drain region 208 and while approximately 4-5 volts, for low voltage operations, or 6-10 volts, for high voltage operations, is applied to control gate 218 in order to invert a portion of P ⁇ type silicon region 206 into N type silicon so that a channel region is formed and electrons flow between the source region and the drain region.
  • the high control gate voltage pulls electrons from the inverted channel region through the tunnel dielectric 212 and on to floating gate 214 . Because electrons lose some of their energy tunneling through the tunnel oxide, they no longer have enough energy to escape from the floating gate which is surrounded by insulators. Other techniques such as but not limited to source side injection can be used to program memory device 200 .
  • Memory device 200 can be erased by removing stored electrons from floating gate 214 .
  • Memory device 200 can be erased by placing a relatively high positive voltage (3 volts) on to the source region, while applying a negative voltage of approximately 4-5 volts in low voltage operations or 6-10 volts for high voltage operations on to control gate 218 .
  • the positive voltage on the source region attracts electrons on floating gate 214 and thereby pulls electrons off floating gate 214 through tunnel dielectric 212 and into the source region.
  • a voltage (such as 3.3 volts) can be applied to the drain while a given control gate voltage is applied to the control gate.
  • the amount of current (read current) that flows from the drain region through the channel region and into the source region for a given control gate voltage can be used to determine the state of the memory device.
  • read current flows between the first and second source/drain regions 202 and 208 through body 206 it flows in a direction perpendicular (z) to the plane (x-y) of the substrate 201 on or above which it is built.
  • FIG. 3 shows another embodiment of the nonvolatile pillar memory device of the present invention.
  • FIG. 3 shows a three terminal nonvolatile pillar memory device 300 having an ultra thin silicon channel or body 302 .
  • the ultra thin memory device 300 has a first N+ source/drain contact region 202 formed on a first input/output 204 .
  • An insulator 304 such as an SiO 2 film or a silicon nitride film, is formed on the first source/drain contact region 202 .
  • a second N+ source/drain region 208 is formed on the insulating layer 304 . Insulator 304 separates the source/drain regions 202 and 208 from one another and therefore defines the channel length of the device.
  • a 30 thin P ⁇ type silicon film 302 having a concentration in the range between 1 ⁇ 10 16 to 1 ⁇ 10 18 atoms/cm 3 is formed along the sidewalls of the N+/insulator/N+ stack so that it is adjacent to and in direct contact with the first and second source/drain regions as well as separating insulator 304 .
  • the P ⁇ type silicon film acts as the channel or body for the device and bridges the gap between source/drain regions 202 and 208 .
  • the thickness of the P ⁇ type silicon film which represents the channel thickness is preferably less than 1 ⁇ 2 the channel length (i.e. the distance between the source/drain regions 202 and 208 ) and ideally less than 1 ⁇ 3 the channel length.
  • memory device 300 also includes a charge storage medium 211 , and a control gate 218 .
  • transistor 300 When transistor 300 is turned on, a portion of the P ⁇ type silicon region inverts to form a conductive channel therein so that current can flow from one source/drain region 202 to the other source/drain region 208 .
  • the majority of the current path 306 through the ultra thin body 302 or channel from one source/drain region to the other source/drain region is in a direction perpendicular (z) to the plane (x-y) of the substrate above which the device is built.
  • An ultra thin channel or body transistor can be formed, for example, by using a “spacer etch” technique.
  • a “spacer etch” technique For example, as shown in FIG. 3B an N+ silicon/insulator/N+ silicon stack can be blanket deposited over a substrate having a patterned metal I/O 204 . The stack is then patterned utilizing well-known photolithography and etching techniques into a pillar 306 is shown in FIG. 3B .
  • a P ⁇ type silicon film can then be blanket deposited over the pillar as shown in FIG. 3C . The P ⁇ type silicon film is deposited to a thickness desired for the channel thickness of the device.
  • the P ⁇ type polysilicon film is then anisotropically etched so that P ⁇ type silicon film 302 is removed from horizontal surfaces and remains on vertical surfaces such as the sidewalls of pillar 306 . In this way the P ⁇ type silicon film is formed adjacent to the pillar and bridges the source/drain regions across the insulator 304 .
  • the charge storage medium 211 and control gate 218 can then subsequently be formed as in the other pillar devices.
  • FIG. 4 shows another embodiment of the three terminal stackable nonvolatile pillar memory device of the present invention.
  • FIG. 4 is a three terminal stackable non-volatile pillar memory device where Schottky contacts form the source and drain regions of the device.
  • the Schottky contact MOSFET 400 of the present invention includes a first metal contact 402 formed on a first input/output 204 .
  • a doped silicon body or channel 404 such as N type silicon doped to a concentration level between 1 ⁇ 10 16 to 1 ⁇ 10 18 atoms/cm 3 and having a thickness desired for the channel length is formed on metal contact 402 .
  • a second metal contact 406 is formed on and in direct contact with silicon body 404 .
  • a second I/O is then formed on second metal contact 406 .
  • First metal contact 402 and second metal contact 406 are formed of a material such as platinum silicide, tungsten silicide and titanium silicide and to a thickness that forms a Schottky barrier contact with silicon body 404 .
  • the first metal contact 402 , silicon body 404 , and second metal contact 406 are each directly vertically aligned to one another to form a pillar 408 as shown in FIG. 4 .
  • Memory device 400 also includes a charge storage medium 211 directly adjacent to and in contact with silicon body 404 as shown in FIG. 4 . Additionally, memory device 400 includes a control gate adjacent to and in direct contact with the charge storage medium 211 .
  • current e.g., read current I R
  • metal contact 402 When a channel is formed in silicon body 404 , current (e.g., read current I R ) flows from metal contact 402 to metal contact 406 in a direction perpendicular (z) to the surface of the substrate (x-y) on which memory device 400 is formed.
  • FIG. 5 illustrates another embodiment of a three terminal nonvolatile memory device in accordance with the embodiment of the present invention.
  • FIG. 5 illustrates a gated diode memory device 500 .
  • Memory device 500 includes a P+ type silicon film contact region 502 having a dopant density between 1 ⁇ 10 19 to 1 ⁇ 10 21 , preferably 1 ⁇ 10 19 to 1 ⁇ 10 20 atoms/cm 3 and a thickness between 500-1000 ⁇ .
  • a P ⁇ silicon film 504 having a doping density between 1 ⁇ 10 16 to 1 ⁇ 10 18 atoms/cm 3 is formed on and in direct contact with P+ silicon film 502 .
  • N+ type silicon contact region 506 having a doping density between 1 ⁇ 10 19 to 1 ⁇ 10 21 , preferably 1 ⁇ 10 19 to 1 ⁇ 10 20 , atoms/cm 3 and a thickness between 500-1000 ⁇ is formed directly on P ⁇ silicon film 504 .
  • P+ silicon film 502 , P ⁇ silicon film 504 , and N+ silicon film 506 are each vertically aligned with one another to form a pillar 508 as shown in FIG. 5 .
  • Memory device 500 also includes a memory storage medium 211 formed adjacent to and in direct contact with P ⁇ silicon film 504 and N+ silicon film 506 as shown in FIG. 5 . Adjacent to and in direct contact with charge storage medium 211 is a control gate 218 .
  • a current (I) travels from P+ silicon film 502 to N-type silicon film 506 in a direction perpendicular (z) to the plane (x-y) of the substrate 501 on or above which device 500 is formed.
  • Nanocrystals are small clusters or crystals of a conductive material that are electrically isolated from one another.
  • An advantage of the use of nanocrystals for the floating gate is that because they do not form a continuous film, nanocrystal floating gates are self isolating. Nanocrystals 602 enable multiple self-isolating floating gates to be formed around a single silicon body 206 .
  • a floating gate can be formed on each side of the silicon body or channel enabling four or more isolated floating gates to be formed around a single square pillar. In this way, multiple bits can be stored in each pillar memory.
  • floating gates can be formed after two or more levels of pillars are formed without worrying about shorting of the floating gate of one cell level to the floating gates to adjacent cells lying directly above or below (i.e., vertically adjacent).
  • Yet another advantage of the use of nanocrystals for floating gates is that they experience less charge leakage than do continuous film floating gates.
  • Nanocrystals 602 can be formed from conductive material such as silicon, tungsten, or aluminum. In order to be self isolating, the nanocrystals must have a material cluster size less than one-half the pitch of the cell so that floating gates from vertically and horizontally adjacent cells are isolated. That is, the nanocrystals or material clusters 602 must be small enough so that a single nanocrystal 602 cannot bridge vertically or horizontally adjacent cells. Silicon nanocrystals can be formed from silicon by utilizing chemical vapor deposition to decompose a silicon source gas such as silane at very low pressure.
  • a tungsten nanocrystal floating gate can be formed by chemical vapor deposition by decomposing a tungsten source gas such as WF 6 at very low pressures.
  • a tungsten source gas such as WF 6
  • an aluminum nanocrystal floating gate can be formed by sputter deposition at or near the melting temperature of aluminum.
  • the charge storage medium can be a dielectric stack 702 comprising a first oxide layer 704 adjacent to the silicon body or channel, a nitride layer 706 adjacent to the first oxide layer and a second oxide layer 708 adjacent to the nitride layer and adjacent to the control gate 218 .
  • a dielectric stack 702 is sometimes referred to as an ONO stack (i.e., oxide-nitride-oxide) stack.
  • ONO stack i.e., oxide-nitride-oxide
  • Other suitable charge trapping dielectric films such as an H+ containing oxide film can be used if desired.
  • each of the memory devices 200 - 500 shown in FIGS. 2-5 can be made of opposite polarity by simply reversing the conductivity type of each of the silicon regions in the pillar and maintaining concentration ranges. In this way, not only can NMOS devices be fabricated as shown in FIGS. 2-5 , but also PMOS devices can be formed if desired.
  • the silicon films used to form the pillars of the device may be single crystal silicon or polycrystalline silicon. Additionally, the silicon film can be a silicon alloy film such as a silicon germanium film doped with N type or P type conductivity ions to the desired concentration.
  • the pillars 108 , 208 , 308 , and 508 are fabricated so that the contacts and body are aligned with one another when viewed from the top. This may be achieved by first forming an I/O 204 and then blanket depositing the pillar film stack (e.g., N+/P ⁇ /N+) as shown in FIG. 8A .
  • the film stack 802 can then be masked and all three films anisotropically etched in a single step as shown in FIG. 8B to form a pillar 804 .
  • An explicit pillar formation step can form a pillar having any desired shape.
  • the pillar 804 can take the shape of a square as shown in FIG. 8B or can take the shape of rectangle, or a circle when viewed from above.
  • a pillar can be formed by the intersection of the patterning of the first and second I/O's.
  • a pillar can be formed by first blanket depositing a first I/O conductor 900 followed by the sequential blanket deposition of the film stack 902 (e.g., N+/P ⁇ /N+) of the desired pillar.
  • the first I/O film 900 and the pillar film stack 902 are then etched to form a plurality of pillar strips 904 as shown in FIG. 9 a.
  • the second I/O 906 is etched in a direction perpendicular or orthogonal to the plurality of strips 904 .
  • the etch step used to pattern the second I/O 906 is continued so as to etch away the pillar film stack 902 from the portions of the strip 904 which are not covered or masked by the second I/O 906 .
  • a pillar 908 is formed at the intersection of the first and second I/O's.
  • the pillar 908 is formed in direct alignment with the intersection or overlap of the first and second I/O's.
  • the intersection technique of forming a pillar is advantageous because it saves additional lithography steps.
  • the charge storage medium of the memory device of the present invention can be formed utilizing a “spacer etch” technique. For example, as shown in FIG. 10A-10E a pillar 1000 or a pillar strip is first formed. A first tunnel dielectric 1002 is then blanket deposited over the pillar 1000 . Next, a floating gate material 1004 is blanket deposited over the tunnel dielectric 1002 . The floating gate dielectric material is deposited to a thickness desired for the floating gate. The floating gate material can be nanocrystals or can be a continuous conductive film.
  • the floating gate material 1004 and the tunnel dielectric 1002 are then anisotropically etched back to remove them from horizontal surfaces such as the top of pillar 1000 and between adjacent pillars so as to leave a floating gate 1008 isolated by a tunnel dielectric on the sidewalls of the pillar 1000 or strip. If the floating gate is made from a continuous conductive film, as opposed to nanocrystals, then care must be taken to ensure the complete removal of the floating gate material 1004 from between adjacent cells so that the floating gates 1008 of adjacent cells are isolated.
  • the films need not necessarily be etched from horizontal surfaces between adjacent cells because these films do not electrically couple adjacent cells. If desired, however, charge trapping dielectric and nanocrystal floating gates can be anisotropically etched back.
  • a control gate dielectric 1006 is blanket deposited over floating gate 1008 and the top of pillar 1000 .
  • a control gate can also be formed using a “spacer etch” technique.
  • a control gate material 1010 such as doped polysilicon, is blanket deposited over the control gate dielectric 1006 to the thickness desired of the control gate as shown in FIG. 10 D.
  • the control gate material 1010 is then anisotropically etched back as shown in FIG. 10E to remove the control gate material 1010 from horizontal surfaces such as on top of control gate dielectric 1006 and between adjacent pillars or strips and form a control gate 1012 adjacent to control gate dielectric 1006 .
  • the control gate dielectric 1006 protects the underlying silicon pillar 1000 from being etched during the anisotropic etch of the control gate material.
  • control gate can be shared between horizontal or vertically adjacent cells.
  • Horizontally shared control gates can be achieved by utilizing lithography to form a conductor strip which connects horizontally adjacent transistors.
  • horizontal coupling of adjacent cells can be achieved by accurately controlling the space between adjacent cells 1100 so that a minimal space 1102 is placed between cells having control gates to be coupled together while larger gaps 1104 are placed between cells having controls gates which are to be isolated as shown in FIG. 11A .
  • a control gate material 1106 is deposited, it completely fills the minimum or small gaps 1102 between adjacent cells while leaving only a thin film on the large gaps 1104 between cells to be isolated as shown in FIG.
  • control gate plug can be formed by blanket depositing a conductive film such as a doped polysilicon film or a tungsten film 1200 over and between two or more levels of pillars and then planarizing or patterning the portion of the tungsten film above the pillars to form a plug between pillars. In this way, the control gate would be shared with devices on two or more vertical levels and between horizontally adjacent cells.
  • Substrate 1300 will typically include a lightly doped monocrystalline silicon substrate 1302 in which transistors such as metal oxide semiconductor (MOS) transistors are formed. These transistors can be used as, for example, access transistors or they can be coupled together into circuits to form, for example, charge pumps or sense amps for the fabricated memory devices.
  • MOS metal oxide semiconductor
  • Substrate 1300 will typically also include multiple levels of interconnects and interlayer dielectrics 1304 used to couple transistors in substrate 1302 together into functional circuits.
  • the top surface 1306 of substrate 1300 will typically include an insulating layer or passivation layer to protect the underlying transistors and interconnects from contamination.
  • the top surface 1306 will typically contain electrical contact pads to which multilevel arrays of memory devices of the present invention can be electrically coupled in order to make electrical contact with the transistors in silicon substrate 1302 .
  • the memory devices are physically isolated and separated from the single crystalline substrate by multiple levels of interconnects and dielectric 1304 .
  • the top surface of passivation or insulating layer 1306 will typically be planarized to enable uniform and reliable fabrication of multiple levels of the charge storage devices of the present invention.
  • FIG. 13A shows a cross-sectional view through the substrate while FIG.
  • FIG. 13B illustrates an overhead view of the substrate looking down at the plane of the substrate 1300 across which the devices of the present invention are fabricated.
  • the memory devices are physically separated from monocrystalline silicon substrate 1302 .
  • memory devices can be fabricated on a glass substrate 1300 such as used in flat panel displays.
  • a process of forming a multilevel array of memory devices in accordance with an embodiment of the present invention begins by blanket depositing a first conductor layer 1308 over surface 1306 of substrate 1300 .
  • Conductor 1308 can be any suitable conductor such as but not limited to, titanium silicide, doped polysilicon, or a metal such as aluminum or tungsten and their alloys formed by any suitable technique.
  • Conductor layer 1308 is to be used as, for example, a bitline or a wordline to couple a row or column of memory devices together.
  • a stack 13 10 of films from which the first level of pillars is to be fabricated is blanket deposited over conductor 1308 as shown in FIG. 13A .
  • the pillar is to comprise an N+ source/drain region, a P ⁇ silicon body, and an N+ silicon source/drain region.
  • a suitable film stack 1310 can be formed by first blanket depositing an amorphous silicon film by chemical vapor deposition (CVD) which is in situ doped with N type impurities to a doping density between 1 ⁇ 10 19 to 1 ⁇ 10 21 , preferably 1 ⁇ 19 to 1 ⁇ 10 20 , atoms/cm 3 .
  • CVD chemical vapor deposition
  • a P ⁇ silicon film is deposited over the N+ silicon film 1312 , by for example, depositing an amorphous silicon film by chemical vapor deposition and which is in situ doped with P type impurities (e.g., boron) to a dopant density of between 1 ⁇ 10 16 to 1 ⁇ 10 18 atoms/cm 3 .
  • An N+ silicon film 1316 is then blanket deposited over P ⁇ silicon body 1314 by depositing a amorphous silicon film by chemical vapor deposition and in situ doping it to a level between 1 ⁇ 10 19 to 1 ⁇ 10 21 , preferably 1 ⁇ 10 19 to 1 ⁇ 10 20 , atoms/cm 3 .
  • the amorphous silicon films can then be converted into polycrystalline silicon through a subsequent anneal.
  • the stack of films can be deposited as undoped silicon and then implanted or diffused with dopants.
  • FIGS. 14A and 14B the blanket deposited film stack 1310 and metal conductor 1308 are patterned utilizing well-known photolithography and etching techniques to form a plurality of pillar strips 1318 .
  • the films of the deposited film stack 1310 and metal conductor 1308 are etched in alignment with one another and form strips with vertical sidewalls.
  • the substrate can be subjected to threshold adjusting ion implantation steps in order to alter the doping density of the surface or face of the P type silicon region on each strip. That is, at this time, a first ion implantation step 1315 can be used to implant one surface of pillar 1318 with P type dopants to increase its P type doping density or can be implanted with N type dopants to counterdope and decrease its P type doping density. Similarly, after the first implant 1315 , the substrate can be rotated and subjected to a second ion implantation step 1317 to alter the doping density of the opposite side or face of pillars strips 1318 .
  • the threshold adjustment implants should be of a sufficient dose to sufficiently alter the threshold voltage of each face so as to be able to sufficiently distinguish or sense different read currents associated with each face.
  • the angle of the ion implantation step is chosen so that the bulk of the implantation occurs into the surface of the P type body 1314 .
  • the angle of the implant is dependent upon the strip height as well as on the spacing between strips 1314 .
  • tunnel dielectric 1320 is formed over the sidewalls and the top of strip 1318 as well as on substrate 1300 between strips 1318 .
  • Tunnel dielectric can be an oxide, a nitride, a oxynitride, or other suitable dielectric.
  • the tunnel dielectric 1320 is preferably deposited utilizing a plasma deposition or growth process at a temperature of less than 750° C. and preferably less than 600° C.
  • the tunnel dielectric 1320 is formed to a thickness and quality to prevent breakdown and leakage at operating conditions.
  • a floating gate material 1322 is blanket deposited over tunnel dielectric 1320 .
  • the floating gate material is formed of nanocrystals.
  • Silicon nanocrystals can be formed by depositing silicon in a manner whereby silicon has a very high surface diffusivity relative to its sticking coefficient.
  • silicon nanocrystals can be formed by chemical vapor deposition (CVD), by decomposing silane (SiH 4 ) at a very low pressure, between 1 millitorr to 200 millitorr, at a temperature between 250-650° C. In such a process, a very thin deposition, between 50-250 ⁇ , will form little islands 1322 of silicon. If H 2 is included with silane during the deposition, higher pressures can be utilized and still obtain nanocrystals.
  • CVD chemical vapor deposition
  • SiH 4 silane
  • metal nanocrystals such as aluminum nanocrystals
  • metal nanocrystals can be formed by sputtering from a metal target at a temperature near the melting temperature of the metal, so that the metal agglomerates and forms nanocrystals.
  • Tungsten nanocrystals can be formed by chemical vapor deposition utilizing a reactant gas mix comprising a tungsten source gas such as WF 6 and germane (GeH 4 ).
  • a continuous film of floating gate material can be deposited and then caused to precipitate (by heating) to cause islands to form in the film.
  • the floating gate can be formed from a continuous film such as, but not limited to, a metal such as tungsten or a silicon film such as polycrystalline or amorphous silicon doped to the desired conductivity type (typically N+ silicon for an N+/P ⁇ /N+pillar). If a continuous film is used as floating gate material 1322 , the film 1322 would be anisotropically etched at this time to remove the portion of the floating gate material 1322 between strips 1318 to electrically isolate the strips.
  • a continuous film such as, but not limited to, a metal such as tungsten or a silicon film such as polycrystalline or amorphous silicon doped to the desired conductivity type (typically N+ silicon for an N+/P ⁇ /N+pillar). If a continuous film is used as floating gate material 1322 , the film 1322 would be anisotropically etched at this time to remove the portion of the floating gate material 1322 between strips 1318 to electrically isolate the strips.
  • a control gate dielectric 1324 is blanket deposited over and onto floating gate material or nanocrystals 1322 .
  • the control gate dielectric 1324 is a deposited dielectric of, for example, an oxide or oxynitride film formed by a plasma enhanced deposition process to reduce the deposition temperature.
  • the control gate dielectric 1324 has a thickness similar to the tunnel dielectric 1320 but slightly, e.g., 20-30 ⁇ , thicker.
  • the control gate dielectric 1324 is used to isolate the floating gate from a subsequently formed control gate.
  • the thickness and quality of the control gate dielectric depends upon the program threshold voltage for programming and unprogramming the memory cell. As discussed above, the thickness of the tunnel dielectric as well as the thickness of the P type silicon body or channel are dependent upon the programming voltage desired.
  • a control gate material 1328 is blanket deposited on and over strips 1318 .
  • the control gate material is formed to a thickness at least sufficient to fill the gaps between adjacent strips. Typically, a conformal film deposited to a thickness of at least one-half the width of the gap 1330 will ensure complete filling of gap 1330 .
  • the control gate material 1328 is a doped polycrystalline silicon film formed by chemical vapor deposition.
  • the control gate can be formed from other conductors such as a blanket deposited tungsten film formed by chemical vapor deposition utilizing WF 6 .
  • control gate film 1328 is planarized back by for example, chemical mechanical polishing until the top surface of the control gate is substantially planar with the control gate dielectric on the top of strips 1318 .
  • a plasma etch process is then utilized to recess 1331 the top surface of the control gate material below the top surface of strips 1318 and preferably to slightly above the top source/body junction (e.g., junction of N+ silicon film 1316 and P ⁇ silicon film 1314 ) as shown in FIG. 18A .
  • the control gate dielectric 1324 on the top of strips 1318 protects strips 1318 from etching during the recess etch.
  • control gates 1332 A and B have been formed.
  • an interlayer dielectric (WLD) 1334 such as an oxide
  • WLD interlayer dielectric
  • the deposited oxide layer 1334 , as well as the control gate dielectric, the nanocrystals, and tunnel dielectric on the top of strips 1318 are then polished or etched back as shown in FIGS. 19A and 19B to reveal and open the surface of the top source/drain region (e.g., N+ film 1316 ) of each pillar strip 1318 .
  • a second conductor layer 1336 is blanket deposited over and in contact with the top source/drain region (N+ source/drain region 1316 ) as well as over and onto ILD 1334 .
  • the second conductive layer 1336 will be used to form a second input/output (e.g., a bitline or a wordline) for the first level of memory devices and will be used to form a first input/output (e.g., a wordline or a bitline) for the second level of memory devices.
  • Second conductive layer 1336 can be formed of materials and to thicknesses similar to first conductive layer 1308 .
  • a film stack 1338 such as an N+/P ⁇ /N+ stack, used to form the second level of pillars, is blanket deposited over second conductive layer 1336 as shown in FIGS. 20A and 20B .
  • the film stack 1338 can be formed with the same materials and to the same thickness as used for film stack 1310 . Alternatively, if a different type of memory device is desired, then a film stack corresponding to that device type would be formed.
  • the second pillar stack 1338 and the second conductive layer 1336 are patterned with well-known photolithography and etching techniques to form a plurality of second pillar strips 1340 orthogonal or perpendicular to the first plurality of pillar strips 1318 . It is to be appreciated that the films of the second pillar stack 1338 and the second conductive layer 1336 are etched in alignment with one another to form a strip with substantially vertical sidewalls.
  • FIGS. 22A and 22B show the substrate of FIGS. 21A and 21B rotated 90°.
  • the etch is continued to remove the portion 1341 of the first pillar strips 1318 not covered or masked by the second pillar strips 1340 as shown in FIGS. 23A and 23B .
  • the etch is continued until the first conductive layer 1308 is reached.
  • a first level of square or rectangular pillars 1342 have been formed from first pillar strips 1318 at the intersections or overlaps of the first and second I/O 1308 and 1336 (shown as M 1 and M 2 in FIG. 23A ).
  • the etch step preferably uses an etch that can selectively etch the pillar strip with respect to the ILD 1334 and the tunnel and control gate dielectrics.
  • the pillar comprises doped silicon and the ILD and the tunnel and control gate dielectrics are oxides
  • a plasma etch utilizing Cl 2 and HBr can etch silicon without significantly etching the oxide ILD or tunnel and control gate dielectrics.
  • ILD 1334 protects the underlying silicon control gate 1332 from being etched as shown in FIG. 23C .
  • the purpose of ILD 1334 is to electrically isolate control gates 1332 from subsequently formed control gates for the second level of pillars.
  • the substrate can be subjected to successive ion implantation steps to alter the doping density of each newly revealed surface of P type body 1314 of pillar 1342 (see FIG. 23A ) in order to alter the doping density of each face and therefore the threshold voltage of each face.
  • a tunnel dielectric 1344 , a nanocrystal floating gate material 1346 , and a control gate dielectric 1348 are each successively blanket deposited over substrate 1300 to form a tunnel dielectric/floating gate/control gate on the sidewalls of pillar devices 1342 as well as along the sidewalls of the second pillar strip 1340 (see FIG. 23A ).
  • This film stack also forms along the top surface of the second pillar strips 1340 as well as on the first conductor 1308 between the first level of pillars 1342 and on ILD 1334 .
  • the floating gate material need not be anisotropically etched to remove floating gate material from gaps 1343 between adjacent pillars 1342 in order to isolate the pillars because although the floating gate material is conductive the non-continuous nature of the nanocrystals provides isolation between the pillars. In this way, the tunnel dielectric, floating gate, and control gate dielectric can be used to isolate a subsequently formed control gate from the first metal conductor. Additionally, because the floating gate 1346 is formed from nanocrystals, it is self isolating from the floating gate positioned directly above in Level 2 even though they have been formed at the same time.
  • a control gate 1350 is formed between second pillar strip 1340 as well as in the gaps 1343 between pillars 1342 .
  • the control gate can be formed as discussed above with respect to FIGS. 17-20 whereby a control gate film, such as doped polysilicon, is blanket deposited to fill the gaps 1343 between adjacent pillars 1342 as well as the gaps between second pillar strips 1340 .
  • the control gate film would then be polished and recessed back below the top surface of the N+ source/drain regions and a second ILD 1352 formed in the recesses as shown in FIG. 25A to allow additional layers to be added.
  • ILD 1352 , the tunnel dielectric/floating gate/control gate dielectric on the top of the second pillar strip 1340 would then be polished back to reveal the top N+ source/drain regions of strips 1340 .
  • Each pillar 1342 on the first level includes a separate floating gate and control gate on each face of the pillar for a total of four independently controllable charge storage regions as shown in FIG. 26 . That is, as illustrated in FIG. 26 , pillar 1342 contains a first pair of control gates 1332 A and B formed along laterally opposite sidewalls of the pillar 1342 . The control gates 1332 A and B are each also shared with the horizontally adjacent pillars. Pillar 1342 also contains a second pair of control gates 1350 A and B formed along laterally opposite third and fourth faces of pillar 1342 . Each control gate 1350 will be shared with the subsequently formed pillar memory device position vertically above, in Level 2 , as well as with horizontally adjacent pillars 1342 in the same level. Because pillar 1342 contains four independently controllable control gate and four associated and isolated floating gates, each pillar memory device 1342 is able to store multiple states.
  • FIGS. 20-25 The process as described with respect to FIGS. 20-25 can be repeated again to complete the fabrication of memory devices on the second level and to begin the fabrication of the memory device on the third level. That is, as shown in FIGS. 27A and 27B ( FIG. 26 rotated 90°) the steps of FIGS. 20-25 can be repeated to form third pillar strips 1360 orthogonal to the second pillar strips 1340 which are used to pattern the second pillar strips 1340 into a plurality of second pillars 1362 on a second level and to form a second pair of control gates 1364 adjacent to the second pillars.
  • a second level of memory pillars 1362 are fabricated which contain four independently controllable control gates and four associated and isolated floating gates.
  • a first pair of control gates 1350 A and B are formed along laterally opposite sidewalls of the second level of pillars 1362 and are shared with memory pillar 1342 located on the first level as well as with horizontally adjacent cells.
  • a second pair of control gates 1364 A and B are formed along the third and fourth laterally opposite faces of the second level of pillars 1362 and are shared with the subsequently formed pillars in the third level of the memory array.
  • the above described processes can be repeated as many times as desired to add additional levels of pillar memory to the array.
  • the final level of memory cells can be patterned from a pillar stack strip while patterning the final I/O.
  • the three terminal memory pillar devices of the present invention have been shown integrated into a three dimensional memory array in a specific preferred embodiment, it is to be appreciated that other methods may be utilized to fabricate a three dimensional memory array without departing from the scope of the present invention.
  • the cell comprises a diode and a stack comprising regions 2921 , 2922 and 2923 .
  • the region 2921 comprises a first dielectric region and the region 2923 comprises a second dielectric region. Disposed between these regions is a storage region 2922 which is used to trap charge. It is primarily this region that retains charge and thus provides the “memory” of the cell. As will be described below, charge can be electrically placed within the region 2922 , electrically sensed and electrically removed from the region 2922 .
  • the region 2921 comprises an oxide with a thickness, typically between 1-5 nm, and preferably 2-3 nm. In one embodiment, the region 2921 is referred to in this application as a tunnel dielectric.
  • the region 2922 is a region that stores trapped charge, as known in the prior art such as a nitride region (discussed in more detail below). In one embodiment, the region 2922 is referred to in this application as a storage dielectric.
  • the region 2923 which may comprise an oxide, acts as a barrier for retaining a trapped charge and in one embodiment is referred to in this application as a blocking dielectric. It may have thicknesses similar to those of region 2921 .
  • programming consists of applying a sufficient forward bias to the diode to cause the device to conduct and allowing forward current to persist long enough for sufficient charge to become trapped thereby shifting the voltage threshold from the peak forward voltage shown for curve 2927 to the peak forward voltage shown for curve 2926 . While throughout the discussion that follows, binary programming is discussed, multiple bits may be stored per cell by employing multiple values of threshold shifts. By analogy, some flash memories store 2-4 bits per cell or even more.
  • Reading may be performed by applying a forward voltage that falls between the peaks 2928 and 2929 . If current in excess of a predetermined threshold value flows, the cell is programmed; if conduction does not occur it is not programmed. The conduction that does flow through a programmed cell during a read operation reinforces the trapped charge.
  • Erasing is accomplished by applying a sufficient reverse bias to the memory cell that electrons tunnel out of the traps, through the blocking oxide 2923 or through the flow of holes so as to neutralize the trapped electrons. This action necessarily requires the diode to operate in breakdown, so the erase voltage will require at least the lower end of a breakdown voltage.
  • a first embodiment of the invented memory cell is illustrated disposed in a p-type substrate 2930 .
  • a diode (steering element of the cell) is formed in the substrate comprising an n ⁇ region 2932 , doped, for instance to a level of 5 ⁇ 10 16 -10 18 cm ⁇ 3 , and a p+ region 2931 , doped to >10 19 cm ⁇ 3 formed within the n ⁇ region 2932 .
  • These regions may be formed with well-known methods such as diffusion or ion implantation.
  • a storage stack comprising a dielectric (e.g., oxide) region 2933 , trapping layer 2934 and a second dielectric (e.g., oxide) region 2935 is formed on the region 2932 .
  • a dielectric e.g., oxide
  • trapping layer 2934 e.g., oxide
  • a second dielectric region 2935 is formed on the region 2932 .
  • the dielectric region 2933 may be a grown oxide layer or a deposited silicon dioxide region. When comprising oxide, this region may be 1-5 nm thick. Ordinary processing may be used to form these regions.
  • the trapping region 2934 and the other trapping regions discussed in this application may be formed from a compound of nitrogen as well as other materials.
  • silicon nitride nitride
  • Other layers that may be used that have compounds of nitrogen are oxynitride (ON) and oxide-nitride-oxide (ONO).
  • oxynitride ON
  • oxide-nitride-oxide ONO
  • Other materials, alone or in combination, that exhibit charge trapping characteristics can be used. For instance, alumina (Al 2 O 3 ) and silicon dioxide with insulated regions of polysilicon exhibit these characteristics.
  • the trapping region is generally between 2-20 nm thick, and preferably 3-10 nm thick.
  • the regions 2933 and 2934 have thicknesses determined by factors well-known in the art for SONOS memories.
  • the tunnel dielectric region needs to be thin enough to permit tunneling without excess voltage drop and to provide longevity, while the trapping dielectric region must be thick enough not to allow significant spontaneous detrapping of charge.
  • typical thicknesses are in the range of 1-5 nm, and preferably 2-3 nm for the oxide region 2933 and 3-10 nm for the trapping region where nitride is used.
  • the layer 2935 is an oxide or other dielectric region which may have the same thickness as region 2933 .
  • Other dielectrics that may be used include perovskites, ceramics, diamond (and diamond-like films), silicon carbide, and undoped silicon (including polysilicon). This region may be formed by well-known deposition techniques.
  • the region 2933 as previously mentioned, is referred to as a tunnel dielectric layer and is responsible, at least in part, for the negative-resistance characteristics previously discussed.
  • the layer 2935 prevents trapped charge from region 2934 from leaking to, for instance, contact 2938 . Hence, layer 2935 is sometimes referred to as the blocking dielectric.
  • the storage stack comprising regions 2933 , 2934 and 2935 may be fabricated in a single, continuous process where, for instance, gas mixtures in a deposition chamber are altered to first provide oxide then nitride and finally oxide again. Because of the relative thinness of these regions, the entire stack may be laid down in a matter of seconds.
  • a potential is applied between lines 2937 and 2938 again to forward bias the diode defined by regions 2931 and 2932 . However, this time the potential is in a range greater than the voltage 2928 shown in FIG. 29B but less than the voltage 2929 . If current in excess of a predetermined threshold flows, then it is known that charge is trapped in the region 2934 . On the other hand, if such current flow does not occur, it is known that little or no charge has been stored in the layer. In this way it can be determined whether the cell is programmed or not programmed for the binary data case.
  • the trapping layer 2934 may be placed in the trapping layer 2934 , and the voltage at which said current flow occurs (say between voltages 2928 and 2929 ) can be determined. This corresponds to the amount of charge in the layer 2934 that can be used to provide more than one bit of data from an individual cell.
  • the read current passes through a programmed cell, and then passes through the region 2933 , trapping region 2934 and the oxide region 2938 .
  • This is unlike the typical sensing that occurs where trapped charge is used to shift a threshold voltage in, for example, a field-effect transistor where the current does not pass through the trapped charge region itself when reading the state of the cell.
  • the current does pass through the region 2934 for reading it, in effect, refreshes the cell; that is if the cell was originally programmed it will remain programmed when the data is read from the cell.
  • a current represented by line 2924 Care must be taken when reading data from the cell not to exceed a current represented by line 2924 . If a current exceeds this limit, for example, 5000-10,000 amps/cm 2 , one or both of the oxide regions 2933 or 2935 may be permanently damaged and may likely provide a short circuit or open circuit.
  • the diode is reverse biased: that is, the anode is brought negative relative to the cathode.
  • the diode breaks down and (e.g., avalanches, Zeners, or punches through) and strips the charge from the region 2934 . It may be necessary to float the substrate 2930 during erasing to prevent forward biasing the junction between layer 2932 and the substrate 2930 .
  • Other isolation methods such as shallow-trench isolation (STI) or silicon-on-insulator (SOI) may be used as well.
  • the cell incorporates a field-effect transistor having a source and drain region and a gate 2946 .
  • Regions 2941 and 2942 are formed in alignment with gate 2946 in the substrate 2940 as is well-known in the art.
  • a stack comprising an oxide region 2943 , trapping region 2944 and oxide region 2945 are formed on region 2941 .
  • the regions 2943 , 2944 and 2945 may be the same as regions 2933 , 2934 or 2935 of FIG. 30 .
  • a positive potential is applied to gate 2946 and contact 2948 is maintained positive relative to contact 2947 . This is done for programming and reading of the cell.
  • contact 2948 is negative relative to contact 2947 , causing trapped charge to be removed from the region 2944 .
  • the cells of FIGS. 30 and 31 may be formed above the substrate rather than in the substrate and/or stacked in three dimensions.
  • FIG. 32 three full levels of a memory array are shown, specifically levels 2950 , 2951 and 2952 .
  • Each level comprises a plurality of parallel, spaced-apart rail-stacks.
  • Rail-stacks 3 and 5 of FIG. 32 extend in a first direction and rail-stacks 4 and 6 extend in a second direction, typically perpendicular to the first direction.
  • Each of the rail-stacks of FIG. 32 includes a conductor or input/output at the center of the rail stack and semiconductor regions disposed on both sides of the conductor.
  • first alternate rail-stacks for instance rail-stacks 3 and 5 , are fabricated from n type polysilicon disposed on the conductors.
  • the second alternate rail-stacks 4 and 6 have p-type polysilicon on the conductors.
  • rail stack 5 it includes the center conductor or input/output 2953 , for instance, an aluminum or silicide conductor, n+ regions 2954 and 2956 disposed on both sides of the conductor and n ⁇ regions 2955 and 2957 disposed on the regions 2954 and 2956 , respectively.
  • the n+ regions may be doped to a level of >10 19 cm ⁇ 3 and the n ⁇ regions to a level of 5 ⁇ 10 16 -10 18 cm ⁇ 3 .
  • Rail-stacks 4 and 6 again include a conductor or input/output, such as conductor 2960 with p+ regions disposed on both sides of the conductor shown as p+ regions 2961 and 2962 for one of the rail-stacks. The fabrication of these regions and the entire set of rail-stacks is described in the above-referenced application, which is hereby incorporated by reference herein.
  • a blanket layer of an anti-fuse material is used between the rail-stacks.
  • three blanket layers are used between each level of rail-stacks.
  • layers 2963 are disposed between the rail-stacks 5 and 6 and layers 2964 between the rail-stacks 4 and 5 .
  • the layers 2963 and 2964 correspond to the layers 2933 , 2934 and 2935 of, for example, FIG. 30 .
  • layer 2964 comprises a dielectric (e.g., oxide) layer 2966 which may have a thickness of 1-5 nm, and preferably 2-3 nm, a trapping layer 2967 such as a silicon nitride layer which may have a thickness of 2-20 nm, and preferably 3-10 nm, and a dielectric (e.g., oxide) layer 2968 which may have a thickness similar to that of layer 2966 .
  • the materials described above for forming the regions 2933 , 2934 and 2935 of FIG. 30 apply to the layers 2966 , 2967 and 2968 of FIG. 32 .
  • a cell in the array of FIG. 32 occurs at the intersection of the rail-stacks.
  • the storage stack is disposed between the p and n regions of a diode. That is, the storage stack is embedded in the steering element.
  • conductor 2960 provides access to one of the cells through the p region 2961 .
  • the layers 2963 are disposed between the p region 2961 and n ⁇ region 2955 .
  • the other contact for this two terminal cell is through region 2954 onto conductor 2953 .
  • the cells of FIG. 32 are programmed, read and erased in the same manner as described above for the cell of FIG. 30 .
  • the diodes in adjacent pairs of memory array levels “point” to a common conductor. More specifically, referring to FIG. 32 , the illustrated cells at memory array level 2950 have their cathodes connected to conductor 2953 . The illustrated cells in memory level 2951 also have their cathodes connected to conductors 2953 . This simplifies fabrication, programming, reading and erasing since the conductor 2953 serves two sets of cells.
  • a three-dimensional memory array employing a plurality of levels, each level having parallel, spaced-apart conductors.
  • the conductors at the alternate levels are perpendicular to one another.
  • Pillar structures are formed at the intersection of a conductor in adjacent levels.
  • the structures, as described in the patent, are formed in alignment with the conductors.
  • the fabrication technology described in this patent may be used to fabricate memory arrays employing the cell having a charge storage or trapping region of the present embodiment.
  • a single level of the three-dimensional memory is illustrated having a conductor or input/output 2981 at one level and a conductor 2980 at the next level in the array.
  • a pillar structure is formed in alignment with the conductors 2980 and 2981 .
  • This pillar structure forms a cell in accordance with the present invention.
  • the cell includes a steering element comprising a junction diode comprising the p+ region 2982 , n ⁇ region 2983 and the storage stack.
  • the storage stack comprises a tunnel oxide region 2984 , a trapping region 2986 and a blocking oxide 2985 .
  • the conductors 2980 and 2981 are shared with cells disposed above and below the single cell shown in FIG. 33 .
  • FIG. 34 shows another embodiment where again there are spaced-apart, parallel conductors or input/output at one level such as conductor 2991 and parallel, spaced-apart conductors at the next level such as conductor 2990 .
  • a pillar structure is again fabricated between the conductors 2990 and 2991 as taught by the above-referenced patent.
  • the storage stack comprising the blocking oxide 2993 , trapping region 2994 and tunnel oxide 2995 is disposed between the p and n regions of the diode. Specifically, the p+ region 2992 of the diode is in contact with the blocking oxide 2993 and the n ⁇ region 2996 is in contact with the tunnel oxide 2995 .
  • the thicknesses of the various regions shown in FIGS. 33 and 34 and the doping for the polysilicon diode may be similar to embodiments previously discussed in this application.
  • the programming, reading and erasing of the structures of FIGS. 33 and 34 are also performed as described above for the other embodiments.
  • the array of cells is disposed above a substrate with the peripheral circuits being formed in the substrate.
  • Another cell configuration that differs from pillar configuration is the self aligned TFT.
  • the present inventors have realized that memory and logic cell area is enlarged by misalignment tolerances that are put into place to guarantee complete overlap between features on different layers.
  • the present inventors have developed a fully aligned memory or logic cell structure which does not require misalignment tolerances. Therefore, such a cell structure has a smaller area per bit (i.e., per cell) and uses fewer mask steps.
  • the fully aligned cell structure increases array density and decreases die size and cost. Furthermore, by optionally stacking the cells vertically in the Z-direction, the array density is further increased, which leads to further decreases in the die size and cost.
  • bit line contact pads i.e., source and drain electrodes
  • bit line contact vias are not required because the bit lines may be formed in self alignment with the EEPROM gate(s) directly on the source and/or drain regions of the EEPROMs.
  • the bit and word lines may have a substantially planar upper surface, which improves the reliability of the device.
  • the EEPROMs are TFTs arranged in a three dimensional virtual ground array (VGA) non volatile flash memory, where each vertically separated level is separated from an adjacent level by an interlayer insulating layer.
  • VGA virtual ground array
  • the EEPROMs may be formed in a single level array or in a bulk semiconductor substrate.
  • the preferred aspects of the present embodiment may also be applied to non volatile flash memory architectures other than VGA, e.g., to NOR-type memory and Dual String NOR (DuSNOR) memory.
  • the present invention is not limited to TFT EEPROM flash memory arrays, and also encompasses other semiconductor devices within its scope.
  • the self aligned transistors may be MOSFETs in a bulk substrate or non-EEPROM TFTs formed over an insulating substrate.
  • These self aligned transistors may be used as non-flash EEPROMs (i.e., EEPROMs where each transistor is erased separately), UV erasable PROMs (EPROMs), mask ROMs, dynamic random access memories (DRAMs), liquid crystal displays (LCDs), field programmable gate arrays (FPGA) and microprocessors.
  • FIGS. 37-44 illustrate a method of making a TFT EEPROM nonvolatile flash memory array 4001 according to the first preferred embodiment of the present invention.
  • a substrate having an insulating surface i.e., a Silicon-On-Insulator (SOI) substrate
  • the substrate may comprise a semiconductor (i.e., silicon, GaAs, etc.) wafer covered with an insulating layer, such as a silicon oxide or nitride layer, a glass substrate, a plastic substrate, or a ceramic substrate.
  • the substrate is a monocrystalline bulk silicon substrate that has received prior processing steps, such as forming CMOS (complementary metal oxide semiconductor) transistors in the substrate.
  • CMOS transistors may comprise peripheral or driver circuitry for the memory array.
  • the circuitry comprises row and column address decoders, column input/outputs (I/O's), and other logic circuitry.
  • the driver circuitry may be formed on an insulating substrate, such as a silicon-on-insulator substrate, a glass substrate, a plastic substrate, or a ceramic substrate.
  • the silicon-on-insulator substrate may be formed by any conventional method, such as wafer bonding, Separation by Implantation of Oxygen (SIMOX), and formation of an insulating layer on a silicon substrate.
  • SIMOX Separation by Implantation of Oxygen
  • the interlayer insulating layer 4003 may comprise one or more of any suitable insulating layers, such as silicon oxide, silicon nitride, silicon oxynitride, PSG, BPSG, BSG, spin-on glass and/or a polymer dielectric layer (such as polyimide, etc.).
  • the interlayer insulating layer 4003 is preferably planarized using chemical-mechanical polishing (CMP), but in other embodiments can be planarized by etch back and/or any other means.
  • CMP chemical-mechanical polishing
  • a semiconductor active area layer 4005 is then deposited over the insulating layer 4003 to complete the SOI substrate.
  • the semiconductor layer will be used for the transistor active areas.
  • Layer 4005 may have any desired thickness, such as 20 to 120 nm, preferably 70 nm, and is chosen so that in depletion regime the space charge region below the transistor gate extends over the entire layer.
  • the semiconductor layer 4005 comprises an amorphous or polycrystalline silicon layer doped with first conductivity type dopants.
  • layer 4005 may be p-type doped by in-situ doping during deposition, or after deposition by ion implantation or diffusion.
  • the crystallinity of the semiconductor layer 4005 may be improved by heating the layer 4005 .
  • an amorphous silicon layer may be recrystallized to form polysilicon or a grain size of a polysilicon layer may be increased.
  • the heating may comprise thermal or laser annealing the layer 4005 .
  • catalyst induced crystallization may be used to improve the crystallinity of layer 4005 .
  • a catalyst element such as Ni, Ge, Mo, Co, Pt, Pd, a silicide thereof, or other transition metal elements, is placed in contact with the semiconductor layer 4005 . Then, the layer 4005 is thermally and/or laser annealed.
  • the catalyst element either propagates through the silicon layer leaving a trail of large grains, or serves as a seed where silicon crystallization begins. In the latter case, the amorphous silicon layer then crystallizes laterally from this seed by means of solid phase crystallization (SPC).
  • SPC solid phase crystallization
  • amorphous or polysilicon layer 4005 may be omitted if a single crystal SOI substrate is used.
  • oxygen ions are implanted deep into a single crystal silicon substrate, forming a buried silicon oxide layer therein. A single crystal silicon layer remains above the buried silicon oxide layer.
  • the surface of the active area layer 4005 is preferably cleaned from impurities and a native oxide is removed.
  • a charge storage region 4007 is then formed on the layer 4005 .
  • the charge storage region 4007 comprises an oxide-nitride-oxide (ONO) dielectric triple layer.
  • This dielectric comprises a first (bottom) SiO 2 layer, also called a tunnel oxide, a charge storage Si 3 N 4-x O 1.5x layer, where x is 0 to 1, and a second (top) SiO 2 layer, also called a blocking oxide.
  • the tunnel oxide is either grown by thermal oxidation on the active area layer 4005 , or deposited over the active area layer by atmospheric pressure, low pressure or plasma enhanced chemical vapor deposition (APCVD, LPCVD or PECVD) or other means.
  • the tunnel oxide has a thickness of 1.5 nm to 7 nm, preferably 4.5 nm.
  • the charge storage silicon nitride or silicon oxynitride (Si 3 N 4-x O 1.5x ) layer is deposited over the tunnel oxide, and its thickness is at least 5 nm, preferably 5-15 nm, most preferably 6 nm.
  • the blocking oxide layer is arranged on the surface of the charge storage layer and has a thickness of 3.5 nm to 9.5 nm, preferably 5.0 nm.
  • the charge storage and blocking layers may be deposited by APCVD, LPCVD, PECVD, or other means, such as sputtering.
  • the charge storage layer need not necessarily be formed from Si 3 N 4-x O 1.5x .
  • the charge storage layer may be formed from a plurality of electrically isolated nanocrystals, such as silicon, tungsten or aluminum nanocrystals dispersed in a silicon oxide, nitride or oxynitride insulating layer. If a nanocrystal charge storage layer is used, then the tunnel and/or the blocking oxide layers may be omitted if desired.
  • a first gate layer 4009 is deposited over the charge storage region.
  • the first gate layer 4009 may comprise any conductive layer, such as n+-doped polysilicon.
  • a polysilicon layer may have any appropriate thickness, such as 50 to 200 nm, preferably 100 nm, and any appropriate dopant concentration, such as 10 19 -10 21 cm ⁇ 3 , preferably 10 20 cm ⁇ 3 .
  • an optional protective layer 4011 such as a protective silicon oxide layer, is formed on the surface of the first gate layer 4009 .
  • Layer 4011 may have any appropriate thickness, such as, for example 3-10 nm, preferably 5 nm. Materials other than silicon oxide may be used for layer 4011 , if desired.
  • a sacrificial blocking layer 4013 is then deposited over the protective layer 4011 .
  • the blocking layer is made of any conductive or insulating material which may be selectively etched with respect to other layers of the device.
  • the blocking layer 4013 comprises a silicon nitride layer.
  • the blocking layer may have any thickness.
  • the blocking layer 4013 has the thickness that is desired for the whole control gate or an upper part of a control gate, as will be described in more detail below.
  • layer 4013 has a thickness of 100 to 250 nm, preferably 160 nm.
  • FIG. 37 shows the device cross section at this stage of processing.
  • a bit line pattern is transferred to the in process device wafer or substrate using a reverse bit line mask, as shown in FIG. 38 .
  • a reverse bit line mask In this mask, clear areas define the bit lines, and the opaque (i.e., dark) areas define the space between the bit lines.
  • a positive photoresist layer (not shown in FIG. 38 ) is formed over the blocking layer 4013 and then exposed through the reverse bit line mask and developed.
  • a negative photoresist is used, then the clear and the opaque areas of the mask are reversed.
  • the mask features are etched into the blocking nitride 4013 , the protective oxide 4011 , and the first gate layer 4009 , using the photoresist layer as a mask, to form a plurality of gate stacks 4015 .
  • the ONO dielectric 4007 serves as an etch stop layer.
  • the photoresist layer is stripped from the patterned gate stacks 4015 .
  • the photoresist may be removed after the blocking nitride 4013 is etched, in which case the nitride may be used as a hard mask for etching the first gate layer 4009 .
  • the gate stacks 4015 include a patterned first gate electrode 9 , an optional protective oxide 4011 and a patterned blocking layer 4013 . If desired, a thin layer of silicon nitride, oxynitiride or oxide is grown to seal the first gate electrode 4009 sidewalls.
  • Transistor source and drain regions 4017 are formed by self-aligned ion implantation, using the gate stacks 4015 as a mask.
  • the photoresist layer may be left on the gate stacks during this implantation or removed prior to the implantation.
  • the ion implantation is carried out through the ONO dielectric 4007 . However, if desired, the portions of the ONO dielectric 4007 between the gates 4009 may be removed prior to the ion implantation.
  • Channel regions 4019 of the active layer 4005 are located below the gate electrodes 4009 .
  • the regions 4017 are doped with a second conductivity type dopant different from the first conductivity type dopant of the channels 4019 .
  • the channels 4019 are p-type doped, then the source and drain regions 4017 are n-type doped, and vice-versa.
  • FIG. 38 shows the device at this stage in the processing.
  • each region 4017 is located between two gate electrodes 4009 . Therefore, a particular region 4017 may be considered to be a “source” with respect to one gate 4009 , and a “drain” with respect to the other gate 4009 .
  • gate stack sidewall spacers 4021 are formed on the sidewalls of the gate stacks 4015 , as shown in FIG. 39 .
  • the spacers 4021 comprise silicon oxide, if the blocking layer 4013 comprises silicon nitride.
  • the spacers may comprise any material which allows the blocking layer 4013 material to be selectively etched without substantially etching the spacers 4021 .
  • the spacers 4021 may comprise silicon nitride if the blocking layer 4013 comprises silicon oxide.
  • the spacers 4021 are preferably formed by conformal deposition of a silicon oxide layer over the stacks 4015 , followed by an anisotropic oxide etch.
  • the spacer etch process concludes with an etch process for the ONO dielectric to expose the source and drain regions 4017 .
  • Doping in the source and drain regions 4017 may be increased at this time by additional self-aligned ion implantation, using the gate stacks 4015 and spacers 4021 as a mask, if desired. If so, the implantation before spacer formation may be used to form lightly doped source/drain (LDD) extensions.
  • LDD lightly doped source/drain
  • the salicide process is then used to form silicide regions 4023 in the silicon source and drain regions 4017 in a self-aligned fashion.
  • the salicide process comprises three steps. First a layer of metal, such as Ti, W, Mo, Ta, etc., or a transition metal such as Co, Ni, Pt or Pd is blanket deposited over the exposed regions 4017 , the sidewall spacers 4021 and the blocking layer 4013 of the gate stacks 4015 . The device is annealed to perform a silicidation by direct metallurgical reaction, where the metal layer reacts with the silicon in regions 4017 to form the silicide regions 4023 over regions 4017 .
  • metal such as Ti, W, Mo, Ta, etc.
  • a transition metal such as Co, Ni, Pt or Pd
  • the unnreacted metal remaining on the spacers 4021 and the blocking layer 4013 is removed by a selective etch, e.g., by a piranha solution.
  • the silicide regions 4023 and the doped silicon regions 4017 together comprise the bit lines 4025 .
  • FIG. 39 shows the device at this stage in fabrication.
  • a conformal insulating layer 4027 is then deposited to fill the trenches above the bit lines 4025 and between the sidewall spacers 4021 .
  • the insulating layer 4027 may comprise any insulating material, such as silicon oxide, silicon oxynitride, PSG, BPSG, BSG, spin-on glass, a polymer dielectric layer (such as polyimide, etc.), and/or any other desired insulating material that is different than the material of the blocking layer 4013 .
  • the insulating layer 4027 is then planarized using chemical-mechanical polishing (CMP), etch back and/or any other means to expose the upper surface of the silicon nitride blocking layer 4013 on the gate stacks 4015 .
  • FIG. 40 shows the device after the planarization step.
  • the blocking silicon nitride layer 4013 is etched selectively without substantially etching the spacers 4021 and the insulating layer 4027 .
  • the protective oxide layer 4011 if present, is then removed by etching it from the upper surface of the first gate electrodes 4009 in the stacks 4015 .
  • These etching steps form a gate contact via 4029 above each gate 4009 , as shown in FIG. 41 .
  • the width of the gate contact via 4029 is substantially the same as the width of the first gate electrode 4009 because the via sidewalls are the inner sidewalls of the sidewall spacers 4021 .
  • the gate contact vias 4029 are self aligned to the gates 4009 because the vias 4029 are bounded by the sidewall spacers 4021 which extend above the gates 4009 . No photolithographic masking steps are needed to form the gate contact vias 4029 .
  • a second gate electrode conductive material 4031 is then deposited over the entire device, as shown in FIG. 42 .
  • the material 4031 comprises a multilayer stack comprising a first n + -doped polysilicon layer 4033 , a silicide layer 4035 (such as a TiSi or WSi, etc) and a second n + -doped polysilicon layer 4037 .
  • the polysilicon layers 4033 and 4037 are preferably 100-300 nm thick, such as 200 nm thick.
  • the silicide layer 4035 is preferably 50 to 100 nm thick, such as 60 nm thick.
  • the second gate material can also be formed from a single layer of silicide, metal, or any other combination of heavily doped amorphous or polycrystalline silicon, silicide, and metal that makes a good ohmic contact with the first gate electrodes 4009 .
  • a photoresist layer (not shown) is applied over the material 4031 and is exposed through the word line mask and developed.
  • the photoresist layer is used as a mask to etch the second gate electrode material 4031 to form a plurality of word lines 4041 .
  • the ONO stack 4007 and the exposed active area layer 4005 are then etched using the word lines 4041 as a mask.
  • the photoresist layer may be left on the word lines 4041 during this etching step or it may be removed prior to this etching step.
  • the bottom insulating layer 4003 under the active area layer 4005 and the intergate insulating layer 4027 over the bit lines 4025 serve as etch stop layers.
  • the second gate electrode material 4031 is patterned into a plurality of word lines 4041 which overlie the intergate insulating layer 4027 as shown in FIG. 43 , and into upper portions 4043 of the first gate electrodes, where the material 4031 extends into the vias 4029 , as shown in FIG. 44 .
  • FIG. 43 is a cross section along line A-A in FIG. 42 and
  • FIG. 44 is a cross section along line B-B in FIG. 42 . Therefore, the word lines 4041 are self aligned to the control gates 4009 / 4043 , since a photolithography step is not required to align the word lines to the gates.
  • the exposed active area 4005 and gate electrode 4009 / 4043 sidewalls may be optionally sealed by growing a thin layer of silicon nitride or oxide on them, for example by thermal nitridation or oxidation. This completes construction of the memory array. An insulating layer is then deposited, and if necessary planarized, over the word lines 4041 .
  • the word line photolithography step does not require misalignment tolerances, since the word lines are patterned using the same mask as the charge storage regions 4007 and the active layer 4005 (i.e., channel regions 4019 ) of each TFT in the cell. Therefore, the word lines 4041 are not only self aligned to the control gate 4009 / 4043 of the TFT EEPROM by being deposited in the self aligned vias 4029 , but the word lines 4041 are also self aligned to the charge storage regions 4007 and the channel regions 4019 of each memory cell. By using a fully self aligned memory cell, the number of expensive and time consuming photolithography steps is reduced. Furthermore, since no misalignment tolerances for each cell are required, the cell density is increased.
  • Another advantage of the device of the first embodiment is that since a thick intergate insulating layer 4027 is located between the bit lines 4025 and the word lines 4041 , the parasitic capacitance and a chance of a short circuit between the bit lines and the word lines are decreased.
  • FIGS. 45 and 46 illustrate a method of making a TFT EEPROM nonvolatile flash memory array according to the second preferred embodiment of the present invention.
  • the method of the second preferred embodiment is the same as that of the first embodiment illustrated in FIGS. 37-44 , except that the sacrificial blocking layer 4013 is omitted.
  • FIG. 45 illustrates an in-process semiconductor device 4100 according to the second preferred embodiment.
  • the device 4100 illustrated in FIG. 45 is at the same stage in processing as the device 4001 in FIG. 40 .
  • the device 4100 contains the interlayer insulating layer 4103 , the active layer 4105 , the charge storage region 4107 (e.g., an ONO stack or isolated nanocrystals), source and drain regions 4117 , channel regions 4119 , silicide regions 4123 and bit lines 4125 .
  • the charge storage region 4107 e.g., an ONO stack or isolated nanocrystals
  • the gate electrode 4109 of the device 4100 is made thicker than the gate electrode 4009 in the first embodiment.
  • the gate electrode 4109 may have any appropriate thickness, such as 160 to 360 nm, preferably 260 nm. Since the blocking 4013 layer is omitted, the gate sidewall spacers 4121 are formed on the patterned gate electrode 4109 covered by a protective silicon oxide layer (not shown) after the formation of the source and drain regions 4117 . The sidewall spacers 4121 extend to the top of the gate electrode 4109 .
  • the silicide regions 4123 are then formed on the source and drain regions 4117 by depositing a metal layer and reacting the metal layer with the source and drain regions 4117 .
  • No silicide is formed on the gate electrode 4109 , which is covered by the silicon oxide protective layer, and on the sidewall spacers 4121 .
  • the insulating layer 4127 is then deposited between the sidewall spacers 4121 and over the gate electrodes 4109 .
  • the layer 4127 is silicon oxide, but may comprise any other insulating material, as in the first embodiment.
  • Layer 4127 is then planarized to expose the upper surface of the gate electrode 4109 .
  • the insulating layer 4127 is preferably planarized by CMP, but may be planarized by etch back and/or any other means. During the planarization, the protective silicon oxide layer is also removed to expose the upper surface of the gate electrode 4109 , as shown in FIG. 45 .
  • the spacers 4121 may be composed of silicon nitride, rather than silicon oxide. Silicon nitride spacers are advantageous because they conform to the underlying topography better than oxide spacers.
  • the spacers 4121 and the gate 4109 may act as a polish or etch stop during the planarization of layer 4127 .
  • the memory array of the second preferred embodiment is completed just like the array in the first preferred embodiment.
  • one or more conductive layers is/are deposited directly over the tops of the sidewall spacers 4121 and exposed gate electrodes 4109 .
  • the conductive layers may comprise a silicide 4135 layer between polysilicon layers 4133 and 4137 .
  • the conductive layer(s) is/are then patterned to form a plurality of word lines 4141 , which contact the exposed gate electrodes 4109 .
  • the charge storage region 4107 and the active layer 4105 are also patterned, as in the first embodiment. Therefore, the word lines 4141 are self aligned to the control gate electrodes 4109 , since a photolithography step is not required to align the word lines to the gates.
  • the exposed active area 4105 and gate electrode 4109 sidewalls may be optionally sealed by growing a thin layer of silicon nitride or oxide on them, for example by thermal nitridation or oxidation. This completes construction of the memory array. An insulating layer is then deposited, and if necessary planarized, over the word lines 4141 .
  • the word line photolithography step does not require misalignment tolerances, since the word line is patterned using the same mask as the charge storage regions 4107 and the active layer 4105 of each TFT in the cell. Therefore, the word lines 4141 are not only self aligned to the control gate 4109 of the TFT EEPROM by being deposited directly over the exposed upper surfaces of the gates 4109 and spacers 4121 , but the word lines 4141 are also self aligned to the charge storage regions 4107 and the channel regions 4119 of each memory cell. By using a fully self aligned memory cell, the number of expensive and time consuming photolithography steps is reduced. Since no misalignment tolerances are required, the cell density is increased. Furthermore, eliminating blocking nitride deposition and selective etch steps of the first embodiment, reduces the step count by three, which simplifies the process flow.
  • FIG. 47 illustrates a TFT EEPROM nonvolatile flash memory array 4200 according to the third preferred embodiment of the present invention.
  • the device and method of the third preferred embodiment are the same as that of the first or the second embodiments illustrated in FIGS. 37-46 , except that the charge storage region comprises an electrically isolated floating gate rather than the ONO stack or isolated nanocrystals as in the first or the second preferred embodiment.
  • the non-volatile transistor i.e., the TFT EEPROM
  • the dielectric triple layer consisting of the ONO stack or the oxide layer containing electrically isolated nanocrystals is replaced with a tunnel dielectric, such as tunnel silicon oxide layer 4206 .
  • the tunnel oxide 4206 has a thickness of 5 to 10 nm, preferably 7 nm.
  • the tunnel oxide layer 4206 is formed over the active area 4205 , as in the first and second embodiments.
  • the first gate electrode 4209 is formed and patterned on the tunnel oxide layer 4206 , as in the first and second embodiments. However, in the third embodiment, the first gate electrode 4209 comprises a floating gate rather than a control gate. The floating gate 4209 is self-aligned to the transistor channel 4219 , as in the first and second embodiments.
  • the device illustrated in FIG. 47 is at the same stage in processing as the device in FIG. 42 .
  • the device contains the substrate 4203 , the source and drain regions 4217 , channel regions 4219 , sidewall spacers 4221 adjacent to floating gate 4209 sidewalls, silicide regions 4223 , bit lines 4225 and insulating layer 4227 .
  • the other deviation from the first and second embodiments is the formation of a control gate dielectric 4212 over the floating gate 4209 , as shown in FIG. 47 .
  • the control gate dielectric may have any appropriate thickness, such as 8 to 20 nm, preferably 12 nm.
  • the control gate dielectric 4212 may be grown on the control gate by thermal oxidation or deposited by CVD or other means.
  • the control gate dielectric may comprise silicon oxide, silicon nitride, silicon oxynitride, or an ONO stack.
  • the control gate 4243 and word lines 4241 are then deposited and patterned over the control gate dielectric 4212 as in the first and second preferred embodiments to complete the device shown in FIG. 47 .
  • the control gate dielectric 4212 and the control gate 4243 are located inside the sidewall spacers 4221 .
  • FIGS. 48 A-C and 49 A-C illustrate two alternative preferred methods of making one TFT (i.e., one cell) in the device 4200 shown in FIG. 47 .
  • a gate stack 4215 comprising a floating gate 4209 , a protective layer 4211 and an optional sacrificial blocking layer 4213 are formed over the tunnel dielectric 4206 .
  • the source and drain regions 4217 are implanted into the active area 4205 using the gate stack 4215 as a mask, such that a channel region 4219 is formed below the tunnel dielectric 4206 .
  • sidewall spacers 4221 are formed over the gate stack 4215 .
  • An insulating layer 4227 is formed adjacent to the spacers and planarized to expose the blocking layer 4213 , as shown in FIG. 48A .
  • the protective layer 4211 and the blocking layer 4213 are removed by etching. This forms the gate contact via 4229 .
  • the via 4229 sidewalls are the sidewall spacers 4221 which extend above the floating gate 4209 .
  • a control gate dielectric 4212 is then formed, for example, by thermal oxidation, on the exposed floating gate 4209 inside the via 4229 as shown in FIG. 48C . Then, one or more conductive layers are deposited over the gate contact via 4229 and the insulating layer 4227 . These layer(s) are patterned to form a control gate 4243 in the via 4229 and a word line 4241 above layer 4227 . The control gate dielectric 4212 separates the control gate 4243 from the floating gate 4209 .
  • a gate stack 4215 comprising a floating gate 4209 , the control gate dielectric 4212 and a sacrificial blocking layer 4213 are formed over the tunnel dielectric 4206 .
  • the source and drain regions 4217 are implanted into the active area 4205 using the gate stack 4215 as a mask, such that a channel region 4219 is formed below the tunnel dielectric 4206 .
  • sidewall spacers 4221 are formed over the gate stack 4215 .
  • An insulating layer 4227 is formed adjacent to the spacers and planarized to expose the blocking layer 4213 , as shown in FIG. 49A .
  • the blocking layer 4213 is removed by etching to expose the control gate dielectric 4212 .
  • the via 4229 sidewalls are the sidewall spacers 4221 which extend above the floating gate 4209 and the dielectric 4212 .
  • the blocking layer 4213 may consist of a heavily doped polysilicon, in which case it may be left in the via 4229 , if desired.
  • one or more conductive layers are deposited over the gate contact via 4229 and the insulating layer 4227 . These layer(s) are patterned to form a control gate 4243 in the vias 4229 and a word line 4241 above layer 4227 .
  • the control gate dielectric 4212 separates the control gate 4243 from the floating gate 4209 .
  • the word line 4241 is self aligned to the control gate 4243 , to the control gate dielectric 4212 and to the floating gate 4209 .
  • FIG. 50 illustrates a TFT EEPROM nonvolatile flash memory array 4300 according to a first preferred aspect of the fourth preferred embodiment of the present invention.
  • the device and method of the fourth preferred embodiment is the same as that of the third preferred embodiment illustrated in FIG. 47 , except that the control gate dielectric is located above the sidewall spacers. Furthermore, the blocking layer 4213 is omitted.
  • the sidewall spacers 4221 extend to the top of the floating gate 4209 , similar to the device of the second preferred embodiment.
  • the control gate dielectric 4212 is deposited over the floating gates 4209 , the sidewall spacers 4221 , and the insulating layer 4227 .
  • the word line 4241 is then deposited and patterned over the control gate dielectric 4212 , as in the first and second preferred embodiments. In the device of FIG. 50 , the word line 4241 acts both as a word line and as a control gate. Thus, a separate control gate may be omitted.
  • the word line 4241 is self aligned to the floating gates 4209 .
  • the word line 4241 may comprise one or more layers, such as the silicide layer 4235 between polysilicon layers 4233 and 4237 .
  • FIG. 51 illustrates a TFT EEPROM nonvolatile flash memory array 4300 according to the second preferred aspect of the fourth preferred embodiment of the present invention.
  • the device and method of this preferred aspect are the same as those illustrated in FIG. 50 , except that an upper portion of the floating gate extends above the sidewall spacers.
  • the device illustrated in FIG. 51 is at the same stage in processing as the device in FIGS. 47 and 50 .
  • the device contains the interlayer insulating layer 4303 , the tunnel dielectric 4306 , the source and drain regions 4317 , channel regions 4319 , silicide regions 4323 , bit lines 4325 and insulating layer 4327 .
  • the device illustrated in FIG. 51 includes the processing steps illustrated in FIGS. 48 A-B and described above.
  • a lower portion of the floating gate 4309 is exposed in a gate contact via 4329 between the sidewall spacers 4321 which extend above the lower portion of the floating gate, similar to that shown in FIG. 48B .
  • an upper portion of the floating gate 4310 is deposited in the via.
  • the upper portion of the floating gate 4310 is formed by depositing a conductive layer, such as a doped polysilicon layer, over the vias 4329 , the spacers 4321 and the insulating layer 4327 , such that it contacts the exposed lower portion of the floating gate 4309 in the via 4329 .
  • the conductive layer is patterned using photolithography into an upper floating gate portion 4310 such that it extends vertically above the sidewall spacers 4321 .
  • the conductive layer also extends horizontally above the spacers 4321 .
  • the upper gate portions 4310 have a “T” shape.
  • the control gate dielectric 4312 is formed on the exposed upper surface of the upper portion of the floating gate 4310 by thermal growth, CVD and/or various other deposition techniques (such as sputtering, etc.).
  • One or more conductive layers 4333 , 4335 , 4337 are then deposited over the control gate dielectric 4312 and are patterned into word lines 4341 .
  • the conductive layers may be, for example, a silicide layer 4335 sandwiched between doped polysilicon layers 4333 , 4337 , as in the first preferred embodiment.
  • the word lines 4341 serve as the control gates of the TFTs. Since the top surface of the floating gate 4309 / 4310 in the fourth embodiment is larger than in the third embodiment, the area between the floating gate and the control gate/word line is increased in the TFT of the fourth embodiment compared to the third embodiment. The increase in area between the floating gate and the control gate/word line is advantageous because it increases the capacitive coupling between the floating gate and the control gate/word line.
  • the top surface of the upper portion of the floating gate 4310 is textured or roughened to further increase the capacitive coupling between the floating gate and the control gate/word line.
  • the upper portion of the floating gate 4310 may be made of hemispherical grain silicon (HSG), or the upper surface of the floating gate may be roughened by etching or coarse polishing.
  • the upper portion of the floating gate may be textured or roughened similar to the texturing or roughening methods used to texture or roughen bottom conductive plates of DRAM capacitors.
  • any gate line may be self aligned to a MOSFET (i.e., metal oxide semiconductor field effect transistor) gate according to the preferred embodiments of the present invention.
  • MOSFET metal oxide semiconductor field effect transistor
  • the EEPROM array may be formed in a bulk silicon substrate rather than over an interlayer insulating layer.
  • the first through the fourth preferred embodiments describe and illustrate a cross-point array of word lines and bit lines at a horizontal level and a method of making thereof.
  • Each memory cell consists of a single programmable field effect transistor (i.e., TFT), with its source and drain connected to the j th bit line and the (j+1) st bit line, respectively, and a control gate being either connected to or comprising the k th word line.
  • This memory arrangement is known as the NOR Virtual Ground (NVG) Array (also referred to as VGA).
  • VGA NOR Virtual Ground
  • the memory array may also be arranged in non volatile flash memory architectures other than VGA, such as NOR-type memory or Dual String NOR (DuSNOR) memory, for example.
  • the DuSNOR architecture where two adjacent cell strings share a common source line but use different drain lines, is described in K. S. Kim, et al., IEDM-95, (1995) page 263, incorporated herein by reference.
  • the DuSNOR memory may be fabricated using the same process as the VGA memory, except that an additional masking step is used to pattern the active area layer to separate the drain regions of adjacent cells.
  • the process sequence of the first through third preferred embodiments of the present invention requires only two photolithographic masking steps.
  • One masking step is for gate patterning/self aligned bit line formation.
  • the other masking step is for word line patterning.
  • the methods of the preferred embodiments of the present invention exploit self-alignment to reduce alignment tolerances between the masks.
  • the memory cell area achieved with the foregoing process is about 4 F 2 , where F is the minimum feature size (i.e. 0.18 microns in a 0.18 micron semiconductor process).
  • F is the minimum feature size (i.e. 0.18 microns in a 0.18 micron semiconductor process).
  • the term “about” allows for small deviations (10% or less) due to non-uniform process conditions and other small deviations from desired process parameters.
  • the charge storage medium used in the transistor is not conductive, e.g., it is formed from nitride or oxy-nitride (i.e. using the ONO charge storage medium), or electrically isolated nanocrystals, the localized nature of charge storage can be exploited to store two bits per cell. In this
  • FIG. 52 illustrates a three dimensional memory array 4400 according to a fifth preferred embodiment of the present invention.
  • the three dimensional memory array contains a three dimensional array of TFT EEPROMs made according to the first, second, third or fourth preferred embodiment.
  • Each TFT EEPROM contains a channel 4419 , source and drain regions 4417 , a control gate 4443 , control gate sidewall spacers (not shown for clarity in FIG. 52 ) and a charge storage region 4407 between the channel and the control gate 4409 .
  • the charge storage region may comprise an ONO dielectric, isolated nanocrystals or a floating gate.
  • the memory array also contains a plurality of bit line columns 4425 , each bit line contacting the source or the drain regions 4417 of a plurality of TFT EEPROMs.
  • the columns of the bit lines 4425 extend substantially perpendicular to the source-channel-drain direction of the TFT EEPROMs (i.e., a small deviation from the perpendicular direction is included in the term “substantially perpendicular”). It should be noted that the columns of the bit lines 4425 may extend substantially perpendicular to the source-channel-drain direction of the TFT EEPROMs throughout the entire array 4400 or only in a portion of the array 4400 .
  • the bit lines in each device level are shaped as rails which extend under the intergate insulating layer.
  • the bit lines include the buried diffusion regions formed during the source and drain doping steps and the overlying silicide layers.
  • the source and drain regions are formed in the bit lines where the word lines intersect (i.e., overlie) the bit lines and the doped regions are located adjacent to the EEPROM channel regions.
  • the memory array also includes a plurality of word line rows 4441 .
  • Each word line contacts the control gates 4443 of a plurality TFT EEPROMs 4400 (or the word lines comprise the control gates).
  • the rows of word lines extend substantially parallel to the source-channel-drain direction of the TFT EEPROMs (i.e., a small deviation from the parallel direction is included in the term “substantially parallel”). It should be noted that the rows of the word lines 4441 may extend substantially parallel to the source-channel-drain direction of the TFT EEPROMs throughout the entire array 4400 or only in a portion of the array 4400 .
  • the plurality of word lines 4441 are self aligned to the control gates 4443 of the array of TFT EEPROMs (or the word lines themselves comprise the control gates). If floating gates, but not control gates are included in the array, then the word lines are self aligned to the floating gates and to the control gate dielectric.
  • Each device level 4445 of the array is separated and decoupled in the vertical direction by an interlayer insulating layer 4403 .
  • the interlayer insulating layer 4403 also isolates adjacent word lines 4441 and adjacent portions of the active areas 4405 below the respective word lines 4441 in each device level 4445 .
  • the array of nonvolatile memory devices 4400 comprises a monolithic three dimensional array of memory devices.
  • the term “monolithic” means that layers of each level of the array were directly deposited on the layers of each underlying level of the array. In contrast, two dimensional arrays may be formed separately and then packaged together to form a non-monolithic memory device.
  • Each cell in one level 4445 of the memory array can be formed using only two photolithographic masking steps. However, additional masking steps may be needed to form contacts to the bit lines 4425 .
  • a conductive layer is formed over the array of memory devices. The conductive layer is then patterned to form a plurality of word lines or word line contact layers and at least one bit line contact layer which contacts at least one of the plurality of the bit lines. Thus, a separate bit line contact deposition and patterning step may be avoided, since the same conductive layer may be patterned to form the word lines/word line contacts and the bit line contacts.
  • the word lines/word line contacts and the bit line contacts may be made from different materials and/or patterned using different masks.
  • FIG. 53 illustrates a bit line contact 4447 according to one preferred aspect of the sixth preferred embodiment.
  • a first doped polysilicon layer 4433 is formed over the inter-gate insulating layer 4427 .
  • a bit line contact via 4449 is then formed in the insulating layer 4427 in which a top portion of the bit line 4425 is exposed.
  • a silicide layer 4435 and a doped polysilicon layer 4437 are then deposited, such that the silicide layer 4435 contacts the bit line 4425 through the via hole.
  • the layers 4433 , 4435 and 4437 are then photolithographically patterned using the same mask to form both the plurality of word lines 4441 and a plurality of bit line contacts 4447 .
  • An upper interlayer insulating layer 4403 is then formed over the word lines 4441 and bit line contacts 4447 .
  • Word line contact vias 4451 and bit line contact layer contact vias 4453 are formed in the insulating layer 4403 for formation of further contacts.
  • the word lines 4441 and the bit line contact layer 4447 are not limited to the materials described.
  • the layers 4441 and 4447 may comprise one or more polysilicon, silicide or metal layers.
  • the contact 4447 may extend into a lower level of the array to contact a bit line or a word line in the lower level of the array, if desired.
  • FIG. 54 illustrates a bit line contact 4547 according to another preferred aspect of the sixth preferred embodiment.
  • at least one bit line contact via 4549 extends through at least one interlayer insulating layer 4503 between different levels of the array.
  • the word line 4541 is first patterned and an interlayer insulating layer 4503 is deposited thereon.
  • Word line contact vias 4551 and bit line contact vias 4549 are formed in the insulating layer 4503 .
  • the bit line contact via 4549 extends through the intergate insulating layer 4527 to the bit line 4525 , which comprises the doped region 4417 and the silicide region 4423 .
  • one or more conductive layers such as silicide layer 4555 and doped polysilicon layer 4557 are deposited on the interlayer insulating layer 4503 and in the vias 4551 and 4549 .
  • the one or more conductive layer(s) 4555 , 4557 are then photolithographically patterned using the same mask to form both a word line contact 4559 , the bit line contact 4547 , and plurality of word lines in the memory layer above the memory layer shown.
  • the word line and bit line contacts can reach down to lower levels, e.g., every other lower level, or several lower levels at the same time.
  • the bit line contact 4547 and the word line contact 4559 are formed in the N+1 level of the array, and extend to the word lines 4541 and the bit lines 4525 in the Nth level of the array.
  • the word line contacts and bit line contacts connect the word lines and the bit lines with the peripheral circuits located in the semiconductor substrate below the first device level of the array (or located elsewhere in the array, such as above or within the array, but preferably at least in part vertically integrated or aligned with the array). Landing pads are made in level N+1 conductor for the next level contacts.
  • FIGS. 55 through 61 illustrate a method of making a TFT EEPROM nonvolatile flash memory array according to the seventh preferred embodiment of the present invention.
  • the method of the seventh preferred embodiment starts in the same way as that of the first, second, third, or fourth embodiments illustrated in FIGS. 37-51 , except that a sacrificial dummy block which holds the place of the gate electrode is used in the process.
  • a transistor formed by this method is called a replacement-gate transistor.
  • the array made by the seventh preferred embodiment may be formed as three dimensional array shown in FIG. 52 , having an effective cell area per bit of about 2F 2 /N.
  • the process starts with a deposition of a semiconductor active area, such as an amorphous silicon or polycrystalline silicon layer 4605 over an interlevel insulating layer 4603 , as shown in FIG. 55 .
  • a plurality of sacrificial dummy blocks 4604 are formed over the active layer 4605 , as shown in FIG. 56 .
  • the sacrificial dummy blocks 4604 may comprise one or more materials, at least one of which may be selectively etched with respect to the material of an intergate insulating layer 4627 to be formed later.
  • the intergate insulating layer 4627 comprises silicon oxide
  • the dummy blocks may comprise silicon nitride, silicon oxynitride, polysilicon or other materials which may be selectively etched with respect to silicon oxide.
  • the active layer 4605 comprises amorphous silicon and the dummy blocks 4604 are formed of a material which is deposited at a temperature below 600° C. to avoid recrystallizing the amorphous silicon layer 4605 into a polysilicon layer with a small grain size.
  • the dummy blocks 4604 may be formed by depositing a low temperature PECVD silicon nitride layer over the active layer 4605 and patterning the silicon nitride layer into a plurality of dummy blocks 4604 using photolithography.
  • the dummy blocks 4604 comprise a plurality of layers, including a sacrificial channel dielectric layer 4667 , a sacrificial gate layer 4669 , and a protective oxide layer 4671 , as shown in FIG. 55 .
  • Layers 4669 and 4671 are patterned using a reverse bit line mask, similar to that illustrated in FIG. 38 of the first preferred embodiment, to form the dummy blocks 4604 , as shown in FIG. 56 . Since all layers 4667 , 4669 , 4671 above the active layer are sacrificial, lower quality materials may be used for these layers. For example, low temperature silicon oxide (LTO) or PECVD silicon oxide may be used for the channel dielectric layer 4667 .
  • LTO low temperature silicon oxide
  • PECVD silicon oxide may be used for the channel dielectric layer 4667 .
  • layer 4667 may be deposited at a low temperature (i.e., below 600° C.) to avoid recrystallizing the amorphous silicon active layer 4605 into a polysilicon layer with a small grain size.
  • all layers of the dummy blocks 4604 may be deposited at temperatures below 600° C. In this case, the amorphous state of layer 4605 is preserved until a subsequent salicide formation on the source and drain regions 4617 .
  • the silicide 4623 on the source and drain regions 4617 may act as a catalyst for lateral crystallization of amorphous silicon in the source and drain regions 4617 to form a polycrystalline silicon active layer 4605 with a large grain size.
  • TFT source and drain regions 4617 are implanted into the active layer 4605 using the dummy blocks as a mask.
  • the channel layers 4619 are located in layer 4605 between regions 4617 and below the blocks 4604 .
  • sidewall spacers 4621 are formed on the dummy block 4604 sidewalls to separate silicide from the source/drain junctions, to prevent subsequent silicide formation on the dummy blocks and to increase flexibility in source/drain engineering.
  • the spacers 4621 may be composed of silicon oxide or silicon nitride, or two different layers, as shown in FIG. 57 .
  • an additional implantation may be performed into the source and drain regions 4617 using the blocks 4604 and spacers 4621 as a mask. If the dummy blocks 4604 do not contain polysilicon (i.e., are composed of silicon nitride), then the spacers 4621 may be omitted.
  • a metal layer such as Ti, W, Mo, Ta, etc., or a transition metal such as Co, Ni, Pt or Pd is blanket deposited over the exposed regions 4617 and the dummy blocks 4604 .
  • the device is annealed to perform a silicidation by direct metallurgical reaction, where the metal layer reacts with the silicon in regions 4617 to form the silicide regions 4623 over regions 4617 , as shown in FIG. 58 .
  • the unnreacted metal remaining on the dummy blocks 4604 is removed by a selective etch, e.g., by a piranha solution.
  • the active layer 4605 is then recrystallized by laser or thermal annealing using the silicide regions 4623 as a catalyst. Alternatively, if desired, the active layer 4605 may be recrystallized simultaneously with the silicide 4623 formation, or the active layer 4605 may be recrystallized by laser or thermal annealing before the formation of the dummy blocks 4604 .
  • a conformal intergate insulating layer 4627 is deposited between and above the dummy blocks 4604 .
  • layer 4627 comprises silicon oxide (HDP oxide), as in the other preferred embodiments.
  • the layer 4627 is then planarized by CMP and/or etchback to expose the top portions of the dummy blocks 4604 .
  • the dummy blocks 4604 contain a silicon oxide protective layer 4671 and silicon oxide spacers 4621 , then these layers may be removed together with the top portion of layer 4627 during planarization. In this case, the top portions of the sacrificial gates 4669 are exposed after planarization, as shown in FIG. 58 .
  • the dummy blocks 4604 are selectively etched (i.e., removed) without substantially etching the intergate insulating layer 4627 .
  • the dummy blocks 4604 include the sacrificial polysilicon gates 4609 , then these sacrificial gates 4609 are selectively etched without substantially etching the spacers 4621 and the intergate insulating layer 4627 .
  • the dummy blocks include a sacrificial gate dielectric layer 4667 , then this layer 4667 can be removed using plasma etch back or wet etch methods.
  • a plurality of vias 4629 are formed in locations where the dummy blocks 4604 were previously located.
  • this dielectric comprises a charge storage region 4607 selected from the ONO triple layer or the plurality of electrically isolated nanocrystals, as shown in FIG. 60 .
  • this dielectric may comprise a tunnel dielectric 4606 if the TFT EEPROM contains a floating gate 4609 , as shown in FIG. 61 .
  • the charge storage layer 4607 is located on the bottom of the vias 4629 above the channel regions 4619 .
  • the charge storage layer 4607 also contains vertical portions located on the sidewalls of the intergate insulating layer 4627 (or on the sidewalls of the spacers 4621 , if the spacers are present) and horizontal portions located above the intergate insulating layer 4627 , as shown in FIG. 60 .
  • a conductive material is deposited over the intergate insulating layer 4627 and the charge storage regions 4607 .
  • the conductive material may comprise polysilicon or a combination of polysilicon 4633 , 4637 and silicide 4635 layers, as in the other embodiments.
  • the conductive material fills the vias 4629 and overlies the charge storage layer 4607 .
  • the conductive material is then patterned to form a plurality of word lines 4641 , as in the other embodiments.
  • the active layer 4605 and the charge storage layer 4607 is then patterned using the word lines 4641 as a mask as in the other embodiments.
  • the portions of the word lines 4641 located in the vias 4629 comprise the control gates 4609 of the TFT EEPROMs, as shown in FIG. 60 . If a floating gate TFT EEPROM is desired, then a floating gate 4609 and a control gate dielectric 4612 may be formed in the vias 4629 prior to forming the control gates/word lines 4641 , as shown in FIG.
  • the TFTs in a plurality of the levels of the three dimensional array of FIG. 52 undergo a recrystallization and/or a dopant activation step at the same time. This reduces the device fabrication time and cost. Furthermore, if each level of the array were subjected to a separate crystallization and/or dopant activation annealing, then the lower levels would undergo more annealing steps than the upper levels. This may lead to device non uniformity because the grain size may be larger in the active areas of the lower levels and/or the source and drain regions may have a different dopant distribution in the lower levels than in the upper levels.
  • amorphous silicon or polysilicon active areas of TFTs in a plurality of levels are recrystallized at the same time.
  • TFTs in all levels are recrystallized at the same time.
  • the recrystallization may be effected by thermal annealing in a furnace or by rapid thermal annealing (RTA) in an RTA system.
  • the thermal annealing may be carried out at 550 to 800° C. for 6-10 hours, preferably at 650 to 725° C. for 7-8 hours.
  • a silicide layer 4423 contacts the source and drain regions 4417 , the silicide may act as a catalyst for recrystallization, especially if nickel, cobalt or molybdenum silicide is used.
  • the metal atoms diffuse though the active areas of the TFTs, leaving behind large grains of polysilicon.
  • recrystallizing the amorphous silicon or polysilicon active areas after depositing the bit line metallization leads to larger grains and allows the use of lower recrystallization temperatures, such as 550 to 650° C.
  • no separate metal deposition and patterning for metal induced crystallization is required.
  • each level of the array may be subjected to a recrystallization anneal after the bit line metallization is formed for this level.
  • all levels of the array may be subjected to a recrystallization anneal after the bit line metallizations for every level of the array have been formed.
  • silicide formation step and the recrystallization steps may be carried out during the same annealing step for each level of the array.
  • the doped regions in a plurality of levels are activated at the same time.
  • the doped regions in all of the levels are activated at the same time.
  • the doped regions comprise the TFT source and drain regions as well as any other doped region formed in the three dimensional array.
  • the doped regions are activated by subjecting the array to an RTA treatment.
  • the activation may be carried out by thermal annealing at about 700 to about 850° C. for 20 to 60 minutes. The activation may be carried out before or after the crystallization anneal.
  • the recrystallization and dopant activation are carried out in the same annealing step of a plurality of levels or for all the levels of the array.
  • the annealing step should be conducted at a sufficiently high temperature and for a sufficient length of time to activate the dopants and to recrystallize the TFT active areas, without causing the source and drain region dopants to diffuse into the channel regions of the TFTs.
  • the combined recrystallization and dopant activation annealing step comprises an RTA treatment.
  • an extra photolithographic masking step is provided to form crystallization windows used to deposit the crystallization catalyst material.
  • the material 4722 used to form sidewall spacers 4721 is patterned using a separate photolithographic mask to form the crystallization windows 4701 .
  • the crystallization windows 4701 are formed in the low temperature oxide (LTO) layer used to make sidewall spacers after the reverse bit line pattern is etched into the protective oxide 4771 and the sacrificial gates 4769 . Crystallization mask features are etched into the oxide layer 4722 to clear the surface of the active layer 4705 .
  • LTO low temperature oxide
  • FIGS. 63 and 64 illustrate cross-sections along lines A-A and B-B in FIG. 62 , respectively.
  • the crystallization windows may also be added to the process of the first through the fourth embodiments. Such windows would be formed during the formation of the sidewall spacers in those embodiments.
  • a catalyst such as Ni, Ge, Fe, Mo, Co, Pt, Pd, Rh, Ru, Os, Ir, Cu, Au, a silicide thereof, or other transition metal elements or their silicides.
  • the catalyst comes in contact with the amorphous silicon active layer 4705 only in the open windows 4701 .
  • the catalyst material may be deposited as a solid layer or as a catalyst solution.
  • the catalyst may be ion implanted or diffused into the active layer 4705 .
  • the device is annealed for several hours at a temperature below 600° C., preferably at 550° C. This low anneal temperature is preferred to minimize spontaneous nucleation in the amorphous silicon.
  • Polysilicon grains in the present embodiment start growing from the seed regions in the windows 4701 and grow laterally. At the completion of anneal, the grain boundaries 4702 are aligned as shown in FIG. 65 . Then, the catalyst is removed. A solid catalyst layer may be removed by selective etching, while catalyst atoms in the recrystallized polysilicon may be removed by gettering, such as by annealing the device in a chlorine containing gas. The LTO oxide layer 4722 , which comprises the boundaries of crystallization windows 4701 , is then removed by selective etching, and the device is completed as in the other embodiments. It should be noted that the word lines (WL in FIGS. 62 and 65 ) are subsequently formed over the regions where the crystallization windows 4701 used to be formed.
  • the grain boundaries 4702 which are parallel to the word lines are located away from the window regions, in the regions of the active layer 4705 between the word lines. These regions of the active layer 4705 between the word lines are removed after the formation of the word lines. Therefore, since the channel regions of the TFTs are located below the word lines, these TFT channel regions contain fewer grain boundaries, and substantially no grain boundaries which are parallel to the word lines.
  • the following preferred embodiments provide an array of TFTs with a charge storage region, such as EEPROM TFTs, arranged in a rail stack configuration.
  • a charge storage region such as EEPROM TFTs
  • the embodiments described herein are in the context of a non-volatile reprogrammable semiconductor memory and methods of fabrication and utilization thereof.
  • the present embodiment is directed to a two- or, more preferably, a three-dimensional many-times-programmable (MTP) non-volatile memory.
  • the memory provides a bit cell size of 2F 2 /N where F is the minimum feature size (e.g., 0.18 microns in a 0.18 micron semiconductor process and 0.25 microns in a 0.25 micron semiconductor process) and N is the number of layers of devices in the third (i.e., vertical) dimension.
  • F the minimum feature size
  • N is the number of layers of devices in the third (i.e., vertical) dimension.
  • a 50 mm 2 chip with 50% array efficiency in a 0.18 micron technology and with 8 layers of memory devices would have approximately 3.1 billion memory cells for a capacity of approximately 386 megabytes with two bits stored per cell and 193 megabytes with one bit stored per cell.
  • the three-dimensional versions of the memory use an extension to three dimensions of the “virtual ground array” commonly used with single crystalline silicon memory devices.
  • the preferred memory process architecture uses N+ doped polysilicon rails perpendicular to rail stacks of P ⁇ doped polysilicon/charge trapping layer/N+ polysilicon in a cross-point array forming NMOS transistor memory devices with a SONOS charge trapping layer which may be duplicated vertically. Of course a PMOS memory can also be made.
  • Adjacent pairs of N+ polysilicon rails and a rail stack of P ⁇ doped polysilicon/charge trapping layer/N+ doped polysilicon define the source, drain and gate, respectively, of a unique NMOS memory device. Programming and erasing change the threshold voltage of this NMOS. With hot electron injection programming, two bits per NMOS can be stored and erasing can be performed either with hot hole injection or with Fowler-Nordheim tunneling.
  • Substrate 5180 will typically include a lightly doped monocrystalline silicon substrate 5182 in which transistors such as metal oxide semiconductor (MOS) transistors are formed. These transistors can be used as, for example, access transistors or they can be coupled together into circuits to form, for example, charge pumps or sense amps for the fabricated memory devices.
  • Substrate 5180 will typically also include multiple levels of interconnects and interlayer dielectrics 5184 used to couple transistors in substrate 5182 together into functional circuits.
  • the top surface 5186 of substrate 5180 will typically include an insulating layer or passivation layer to protect the underlying transistors and interconnects from contamination.
  • the top surface 5186 will typically contain electrical contact pads to which multilevel arrays of memory devices of the present invention can be electrically coupled in order to make electrical contact with the transistors in silicon substrate 5182 .
  • the memory devices are physically isolated and separated from the single crystalline substrate by multiple levels of interconnects and dielectric 5184 .
  • the top surface of passivation or insulating layer 5186 will typically be planarized to enable uniform and reliable fabrication of multiple levels of the memory devices of the present invention.
  • the memory devices are physically separated from monocrystalline silicon substrate 5182 .
  • memory devices can be fabricated on a glass substrate 5180 such as used in flat panel displays.
  • a process of forming a multilevel array of thin film transistor (TFT) memory devices above the substrate in accordance with an embodiment of the present invention begins by blanket depositing a first conductor layer 5188 over surface 5186 of substrate 5180 .
  • Conductor 5188 can be any suitable conductor such as, but not limited to, titanium silicide, doped polysilicon, or a metal such as aluminum or tungsten and their alloys formed by any suitable technique.
  • Conductor layer 5188 is to be used as, for example, a bitline or a wordline to couple a row or column of memory devices together.
  • a planarization is performed by depositing or growing an insulating layer such as a silicon oxide over conductor layer 5188 to fill spaces between bit lines.
  • a conventional chemical mechanical polishing (CMP) step completes the planarization and exposes the bitlines.
  • CMP chemical mechanical polishing
  • a 2-dimensional memory array 5040 includes a first plurality of spaced-apart conductors such as N+ doped polysilicon bit lines 5042 , 5044 , 5046 , 5048 disposed in a first direction a first height over (not in contact with) the substrate (not shown).
  • a second plurality of spaced-apart “rail stacks” 5050 , 5052 are disposed in a second direction different from the first direction (and preferably orthogonally) at a second height above the substrate so that they are above bit lines 5042 , 5044 , 5046 and 5048 and in contact therewith at intersection points 5054 , 5056 , 5058 , 5060 , 5062 , 5064 , 5066 , 5068 .
  • Each rail stack 5050 , 5052 in this embodiment includes at least a layer of P ⁇ doped polysilicon 5070 which may be formed, for example, by depositing an amorphous silicon film by chemical vapor depositing (CVD) and which is in situ doped with P type impurities (e.g., Boron) to a dopant density of about 1 ⁇ 10 16 to about 1 ⁇ 10 18 atoms/cm 3 .
  • the amorphous silicon films can then be converted into polycrystalline silicon through a subsequent anneal step.
  • undoped silicon can be grown or deposited and then implanted or diffused with dopants.
  • a charge trapping layer 5072 comprising a charge trapping medium as discussed below, and a conductive wordline 5074 which may comprise N+ doped (or P+ doped) polysilicon disposed over the charge trapping layer 5072 .
  • a planarized oxide material (not shown in FIG. 66 ) may be deposited in the spaces between and above adjacent bit lines and rail stacks.
  • a conventional chemical mechanical polishing (CMP) process may be used to accomplish the planarization.
  • the memory array structure of FIG. 66 can now be easily extrapolated to three dimensions.
  • the CMP planarized oxide layer over wordlines 5050 , 5052 is used.
  • the planarized isolation layer (or interlayer insulating layer) prevents shorting one set of wordlines with the next set of bit lines.
  • another layer of bit lines 5042 , 5044 , 5046 , 5048 is constructed over the isolation layer followed by an oxide deposition and a CMP step, followed by a deposition of another set of wordlines. This process can be repeated a number of times, as desired.
  • eight layers of memory array (or more) are stacked one upon another to provide 8 times the bit density of the non-three-dimensional version.
  • a 2-dimensional array 5076 includes an isolation layer 5078 electrically separating it from the substrate (not shown).
  • the isolation layer may be any conventional isolation/insulation layer such as a silicon oxide.
  • Over isolation layer 5078 is disposed a plurality of spaced-apart bit lines 5080 , 5082 , 5084 , 5086 .
  • Bit lines 5080 , 5082 , 5084 , 5086 are preferably formed of N+ doped polysilicon although P+ doped polysilicon could also be used as could any suitable electrical conductor.
  • a deposition step is used to fill the regions 5088 , 5090 , 5092 between adjacent bit lines 5080 , 5082 , 5084 , 5086 with a filler material.
  • the filler material must be an electrical insulator. Again, silicon oxide is convenient although other materials could also be used.
  • a CMP step is then used to planarize and expose the bit lines.
  • a layer 5094 of a semiconductor material such as P ⁇ doped polysilicon is then disposed over and in contact with bit lines 5080 , 5082 , 5084 , 5086 .
  • An ONO layer 5096 is disposed over the semiconductor layer 5094 and a conductive wordline 5098 is disposed over ONO layer 5096 .
  • the bit lines 5080 , 5082 , 5084 , 5086 and the wordlines 5098 are formed of N+ doped polysilicon.
  • N+ out diffusion regions 5100 , 5102 , 5104 , 5106 are formed in P ⁇ doped semiconductor layer 5094 .
  • the channels 5108 , 5110 , 5112 between adjacent N+ out diffusion regions become channels of NMOS transistors whose threshold voltages are controlled by the presence or absence of trapped charge in the nitride layer of ONO dielectric stack 5096 .
  • semiconductors of the opposite conductivity types may also be used. Where a conductor other than doped polysilicon is used for the wordlines and bit lines it will be necessary to form a doped region in semiconductor layer 5094 in some way other than by out diffusion.
  • FIG. 68 is a top plan view of the memory array of FIG. 67 .
  • the wordlines 5098 are arranged over the bit lines 5080 in a cross point array. While the wordlines and the bitlines are arranged perpendicular (i.e., at a 90 degree angle) to each other in FIG. 68 , an angle between the wordlines and bitlines may differ from 90 degrees. Furthermore, outside the boundaries of the memory array, the wordlines and the bitlines may change directions and even be parallel to each other.
  • the term “rail stack” or “rail” preferably refers to conductors arranged in straight lines. However, if desired, the rails or rail stacks may have bends, twists or turns, if desired.
  • FIG. 69 the memory array of FIG. 67 is extrapolated to a monolithic three-dimensional array.
  • the term “monolithic” means that layers of each level of the array were directly deposited on the layers of each underlying level of the array. In contrast, two dimensional arrays may be formed separately and then packaged together to form a non-monolithic memory device.
  • Each device level 5076 is preferably identical to that shown in FIG. 67 and an isolation layer (i.e., interlayer insulating layer) 5078 separates each level.
  • a single cell (i.e., a TFT EEPROM) 5099 is delineated by the dashed line in FIG. 69 .
  • the cell 5099 is located in device level “j” at the intersection of word line (n,j) and bit lines (m,j) and (m+1,j).
  • FIG. 70 another specific embodiment of the present invention is illustrated.
  • an array of bottom gate TFTs is formed.
  • a two-dimensional memory array 5114 is disposed above a substrate.
  • An isolation layer 5116 is disposed to separate memory array 5114 from the substrate (not shown) or another level of memory array (not shown).
  • a plurality of spaced-apart wordlines 5118 are disposed over isolation layer 5116 .
  • Over wordline 5118 are disposed a film of a charge trapping medium 5120 , such as an ONO dielectric stack. Over the charge trapping medium 5120 is disposed a plurality of spaced-apart bitlines 5122 , 5124 , 5126 , 5128 .
  • bitlines 5122 , 5124 , 5126 , 5128 are formed after it has been formed.
  • This version of the memory array approximates turning the design of FIG. 69 upside down. In this way, the bitlines are trenches that would be filled by N+ doped polysilicon. Prior to filling, n-type implantation is carried out to form the MOS devices' sources and drains. In addition, a refractory metal may be used at the bottom of the trenches instead of dopant to form the sources and drains.
  • FIG. 71 the memory array of FIG. 70 is extrapolated to a monolithic three-dimensional array.
  • Each level 5114 is preferably identical to that shown in FIG. 70 and an isolation layer 5116 separates each level.
  • a memory array 5140 includes a lower word line 5142 and an upper word line 5144 .
  • Bitlines 5146 , 5148 , 5150 , 5152 are disposed between upper wordline 5144 and lower wordline 5142 .
  • an upper semiconductor film 5154 is disposed between bitlines 5146 , 5148 , 5150 , 5152 and upper wordline 5144 .
  • Lower semiconductor film 5156 is disposed between bitlines 5146 , 5148 , 5150 , 5152 and lower wordline 5142 .
  • Out diffusion regions are formed adjacent to bitlines 5146 , 5148 , 5150 , 5152 in upper semiconductor film 5154 and lower semiconductor film 5156 .
  • a lower charge storage medium film 5158 is disposed between lower wordline 5142 and lower semiconductor film 5156 .
  • An upper charge storage medium film 5160 is disposed between upper wordline 5144 and upper semiconductor film 5154 . Notice that in this embodiment the layers are copied in a mirror image fashion.
  • each device level 5140 may be thought of as containing two word lines and two TFT active regions and a plurality of bit lines disposed between the active regions.
  • each device level may be thought of as a single wordline 5142 being disposed between two TFT active regions.
  • each device level contains either one wordline level and two bitline levels or one bitline level and two wordline levels.
  • Each TFT active region shares both a bitline and a wordline with another TFT active region disposed in a different horizontal plane.
  • FIGS. 81 A-81 H An alternative bottom gate TFT embodiment is illustrated in FIGS. 81 A-81 H.
  • the approach of FIGS. 81A-81H is somewhat similar to that of FIG. 70 .
  • Layer 5116 is an isolation layer such as an oxide separating the memory array structure 5114 from other memory array levels or from the substrate.
  • Layer 5118 is a conductive wordline layer.
  • Layer 5120 is an O—N—O dielectric stack.
  • Layer 5136 is a film of semiconductor material (p-type when the wordlines and bitlines are N+ polysilicon).
  • an oxide layer 5190 is deposited or grown.
  • the oxide layer 5190 is masked with a mask 5192 (i.e., a photoresist mask).
  • a mask 5192 i.e., a photoresist mask.
  • the unmasked portions of the oxide layer 5190 are etched in a conventional manner.
  • FIG. 81E the mask 5192 is removed and semiconductor layer 5136 is implanted with n-type ions to form an N+ implantation region 5194 at each opening in the oxide layer 5190 as illustrated in FIG. 81F .
  • an N+ layer 5196 is deposited to fill gaps in the oxide and form bitline 5198 of N+ material in contact with N+ implantation regions 5194 so as to provide a contact with the O—N—O layer 5120 .
  • the N+ layer 5196 is CMP planarized as shown to form the bitlines 5198 , to complete an NMOS TFT array.
  • a PMOS TFT array may be constructed by reversing the conductivity types of the layers and dopants.
  • a multilayer version of the memory array of FIGS. 81A-81H can be constructed by forming additional device levels separated by an isolation layer.
  • FIGS. 82A-82I Another alternative embodiment of a top gate TFT array is illustrated in FIGS. 82A-82I .
  • an oxide or isolation layer 5200 is disposed above a substrate (not shown).
  • a layer of semiconductor material of a first conductivity type 5202 is disposed over oxide layer 5200 .
  • the semiconductor material may be P ⁇ doped amorphous silicon.
  • a hard nitride CMP-stop layer 5204 is deposited over this in FIG. 82C to stop the CMP process from polishing into layer 5202 .
  • FIG. 82D the memory array under construction is masked with mask 5206 , as a photoresist mask.
  • an etch is being carried out to form apertures or trenches 5208 as shown in FIG. 82F .
  • a conductive layer 5210 is deposited, such as n+ doped polysilicon.
  • this layer 5210 is CMP polished down leaving N+ bitlines 5212 with P ⁇ doped regions 5214 between them. After thermal processing, out diffusion regions 5216 are formed as shown in FIG. 821 .
  • the amorphous silicon layer 5202 is recrystallized into a polysilicon layer.
  • a local charge storage film 5218 is disposed over bitlines 5212 and a conductive film 5220 is disposed over local charge storage film 5218 .
  • the conductive film 5220 is patterned to form a wordline.
  • the charge storage film 5218 is also patterned to form rail stacks which include the wordline and the charge storage film.
  • a charge trapping layer may be formed in a dielectric stack 5160 as shown in FIG. 77 .
  • the charge storage medium can be a dielectric stack 5160 comprising a first oxide layer 5162 adjacent to a polysilicon film 5164 , a nitride layer 5166 adjacent to the first oxide layer 5162 and a second oxide layer 5168 adjacent to the nitride layer 5166 and adjacent to a polysilicon control gate 5170 .
  • Such a dielectric stack 5160 is sometimes referred to as an ONO stack (i.e., oxide-nitride-oxide) stack.
  • ONO stack i.e., oxide-nitride-oxide
  • Other suitable charge trapping dielectric films such as silicon implanted or silicon-rich oxides can be used if desired.
  • the charge storage medium film may alternatively be formed from a plurality of electrically isolated nanocrystals 5172 as shown in FIG. 78 .
  • Nanocrystals are small clusters or crystals of a conductive material which are electrically isolated from one another.
  • An advantage of the use of nanocrystals for the charge storage medium is that because they do not form a continuous film, nanocrystals are self isolating. Nanocrystals 5172 enable multiple self-isolating charge storage areas to be formed.
  • Nanocrystals 5172 can be formed from conductive material such as silicon, tungsten or aluminum. In order to be self isolating the nanocrystals must have a material cluster size less than one-half the pitch of the cell so that floating gates from vertically and horizontally adjacent cells are isolated. That is, the nanocrystals or material clusters 5172 must be small enough so that a single nanocrystal 5172 cannot bridge vertically or horizontally adjacent cells. Silicon nanocrystals can be formed by depositing silicon in a manner whereby silicon has a very high surface diffusivity relative to its sticking coefficient.
  • silicon nanocrystals can be formed by chemical vapor deposition (CVD), by decomposing silane (SiH 4 ) at a very low pressure, in a range of about 1 millitorr to about 200 millitorr, at a temperature in a range of about 250° to about 650° C. In such a process, a very thin deposition, in a range of about 50 ⁇ to about 250 ⁇ , will form little islands of silicon. If H 2 is included with silane during the deposition, higher pressures can be utilized and still obtain nanocrystals.
  • CVD chemical vapor deposition
  • SiH 4 silane
  • metal nanocrystals such as aluminum nanocrystals
  • metal nanocrystals can be formed by sputtering from a metal target at a temperature near the melting temperature of the metal, so that the metal agglomerates and forms nanocrystals.
  • Tungsten nanocrystals can be formed by chemical vapor deposition at very low pressures by utilizing a reactant gas mix comprising a tungsten source gas such as WF 6 and germane (GeH 4 ).
  • a continuous film of floating gate material can be deposited and then caused to precipitate (by heating) to cause islands to form in the film.
  • the floating gate can be formed from a continuous film such as, but not limited to, a metal such as tungsten or a silicon film such as polycrystalline or amorphous silicon doped to the desired conductivity type (typically N+ silicon). If a continuous film is used as a local charge storage film, the film would be anisotropically etched at this time to remove portions of it in order to electrically isolate strips of the film.
  • a continuous film such as, but not limited to, a metal such as tungsten or a silicon film such as polycrystalline or amorphous silicon doped to the desired conductivity type (typically N+ silicon). If a continuous film is used as a local charge storage film, the film would be anisotropically etched at this time to remove portions of it in order to electrically isolate strips of the film.
  • small pieces of floating gate material such as heavily doped polysilicon, may form a local charge storage medium when embedded in an insulator such as an oxide layer.
  • N+ out diffusion in a multi-level device An issue with using N+ out diffusion in a multi-level device is that the various levels will be exposed to different thermal processing. That is, the bottom layer will be exposed to each thermal processing step while the top layer is only exposed to the last thermal processing steps. Since it is undesirable to have the MOS memory transistors exhibiting substantially different performance characteristics depending upon level in the array and it is undesirable to allow lateral diffusion to swamp the MOS memory transistors, care needs to be given to the thermal budget and mechanisms for forming source/drain regions. Where N+ doping is used for the bitline and P ⁇ doping for the semiconductor film, it is possible to use antimony as the dopant instead of phosphorous as antimony exhibits a smaller diffusivity than phosphorous.
  • bitlines denoted (a) are closer to the top level of the memory array than are the bitlines denoted (b). In other words, bitlines (a) are located above bitlines (b) in the array.
  • the dopants in the bitlines will diffuse upwards throughout the entire bit lines and outdiffuse into the P ⁇ polysilicon layer to form the source and drain regions. Thus, the source and drain regions in plural levels will be evenly doped.
  • WL(n,j) is pulsed high (9-13V, high impedance) while BL(m,j) is grounded and BL(m+1,j) is pulsed high (3-8V, lower impedance). All BL's to the left of BL(m,j) on the j th level are held at ground while all BL's to the right of BL(m+1,j) on the j th level are held at the same voltage as BL(m+1,j). All other WL's on the j th level are held at ground to make sure that all other MOS devices between BL(m,j) and BL(m+1,j) are off.
  • All other BL's and WL's on all other layers can be left floating. This means that the selected cell MOS device is uniquely on and powered to optimize hot carrier generation and programming into the charge trapping dielectric close to the drain (defined by BL(m+1,j)).
  • BL(m+1,j) is now the source and BL(m,j) is the drain.
  • the former is grounded and the latter is raised to a read voltage ( ⁇ 50 mV to 3V, preferably 1-3V) while WL(m,j) is pulsed to a read voltage (1-5V).
  • WL(m,j) is pulsed to a read voltage (1-5V).
  • all BL's to the left of BL(m,j) are held at the same potential as BL(m,j) and all BL's to the right of BL(m+1,j) are grounded. All other WL's on the same level are grounded to shut off all other MOS devices between the same two BL's. All other BL's and WL's on all other levels can be left floating.
  • the body region of the MOS memory transistor is floating and can be made thin (defined by the deposition tool, e.g., preferably several hundred Angstroms). By making this region thin, snapback of the device can be avoided and so rapid increase in programming currents can also be avoided.
  • Erasing of the memory can take place in blocks and may employ a combination of slow Fowler-Nordheim tunneling and hot hole in,jection.
  • the erase current will be small since the MOS body is floating resulting in very little band-to-band tunneling and avalanche breakdown.
  • Erase can take place with the wordlines either grounded or held negative ( ⁇ 5V) and all bitlines held at some positive voltage.
  • the erase procedure will take over 100 ms and can be done at each memory level up to the full memory at one time.
  • Non-selected bits with common wordline should be able to withstand the programming voltage on the wordline for a worst case period of time.
  • FIG. 74 shows this in schematic detail in one level of the matrix.
  • each bit i.e. half cell
  • N the number of cells on each WL
  • the gate stress program disturb would be fine if any programmed cell did not shift its Vt by a certain “minimal” amount. Since programming is achieved using hot electrons, the times and voltages are short and small respectively compared to voltages and times needed to tunnel out of charge traps. In addition, the total stress on any one bit may be effectively reduced by floating unselected bitlines during the programming of the selected cell. In this way, only the selected bitline at ground will experience a true full programming voltage across the dielectric(s).
  • Non-selected bits with a bitline in common with the selected bit should be able to withstand the programming voltage on the drain for a worst case period of time.
  • FIG. 75 shows this in schematic detail where a cross section along a bitline is shown.
  • Read disturb or “soft write” occurs if the hot carriers generated during a read of the cell are sufficient to eventually (over 10 years lifetime) program a previously erased (unwritten) bit. Accelerated testing is usually carried out here to make sure that the read voltages required do not shift the threshold voltage of a neutral cell by more than a minimal amount.
  • N+ or P+ doped polysilicon should be doped to a dopant density of about 1 ⁇ 10 19 to 1 ⁇ 10 21 atoms/cm 3 and have a thickness preferably in a range of about 500 ⁇ to about 1000 ⁇ .
  • P ⁇ or N ⁇ doped semiconductor films should be doped to a dopant density of about 1 ⁇ 10 16 to about 1 ⁇ 10 18 atoms/cm 3 .
  • each of the memory devices shown can be made of opposite polarity by simply reversing the conductivity type of each of the silicon regions and maintaining dopant concentration ranges. In this way, not only can NMOS devices be fabricated, but also PMOS devices can be formed if desired.
  • the silicon films used to form the device may be recrystallized single crystal silicon or polycrystalline silicon. Additionally, the silicon film can be a silicon alloy film such as a silicon germanium film doped with n-type or p-type conductivity ions to the desired concentration.
  • bitline 5174 is formed of polysilicon 5176 which is heavily N+ doped. This makes it electrically conductive.
  • a layer of a refractory electrically conductive metal such as titanium 5178 may be disposed within the bitline 5174 , or on one or more surface of the polysilicon 5176 . When subjected to normal silicon processing temperatures the titanium forms a silicide with the polysilicon that is highly conductive in a lateral direction.
  • the TFTs were arranged in a virtual ground array (VGA).
  • VGA virtual ground array
  • the programming of each EEPROM occurs by hot carrier in,jection.
  • hot carrier in,jection a voltage is placed across a diode (i.e., between a source and a drain of a TFT EEPROM).
  • the hot carriers i.e., hot electrons and holes
  • This procedure is a relatively high power event.
  • FN tunneling For low power portable applications where both program/erase and read power are important, a flash nonvolatile memory using Fowler-Nordheim tunneling (“FN tunneling”) for both program and erase may be used.
  • FN tunneling results from applying a voltage across a dielectric.
  • a voltage is applied between a control gate and a source and/or a drain) region of the TFT, for writing and erasing the TFT EEPROM. This is in contrast with hot carrier in,jection programming, where a voltage is applied between the source and the drain regions.
  • a flash memory array which uses FN tunneling for program and erase is advantageous because thousands of bits in such a flash memory array may be programmed at the same time.
  • FN tunneling is a very efficient way of programming since most (close to 100%) of the current goes to program the device. This is in contrast with hot carrier injection where only about 1-2% of the source-drain current goes to program the device.
  • charge storage devices such as TFT EEPROMs
  • TFT EEPROMs are arranged in a flash memory array configuration.
  • the TFT EEPROMs may be arranged in the pillar, self-aligned TFT or rail stack configurations of the previous embodiments.
  • the TFT EEPROMs are arranged in the rail stack configuration.
  • the VGA is not compatible with FN tunneling since the whole channel polysilicon inverts along the length of the pulsed-high word line and will then program cells in addition to the one that needs programming. Therefore, the FN tunneling rail stack (crosspoint) flash array differs from the VGA in that in the FN tunneling array the active polysilicon layer is patterned into polysilicon islands to allow FN tunneling programming.
  • an extra photolithographic masking step is added to the process of making the rail stack array during which the polysilicon active layer is etched into islands in each device cell.
  • the same photoresist mask can be used to define (i.e., etch) the charge storage regions in each cell.
  • FIG. 83A illustrates a flash memory array in a rail stack configuration according to a preferred embodiment of the present invention.
  • FIG. 83B shows a cross sectional view along line B-B in FIG. 83A .
  • the flash memory array 5230 is preferably formed over a planarized interlayer insulating layer 5231 , such as a CMP planarized silicon oxide layer.
  • Layer 5231 is formed over a substrate (not shown) as in the previous embodiments.
  • Each device of the array (shown by dashed lines 5232 in FIG. 83A ) is thus a TFT because it is formed over an insulating layer.
  • the array 5230 contains a first plurality of spaced-apart conductive bit lines 5233 disposed at a first height above the substrate in a first direction.
  • the array also contains a second plurality of spaced-apart rail-stacks 5235 .
  • the rail stacks are disposed at a second height in a second direction different from the first direction.
  • the bit lines 5233 and the rail stacks 5235 are arranged perpendicular to each other.
  • the TFT EEPROM 5232 is formed at the intersection of the rail stacks 5235 and the bit lines 5233 .
  • Each rail-stack 5235 includes a plurality of semiconductor islands 5237 , which comprise the active regions of the TFT EEPROMs 5232 .
  • One surface of the islands 5237 is in contact with the bit lines 5233 .
  • Each rail stack 5235 also includes a conductive word line 5239 and a charge storage region 5241 disposed between a second surface of the semiconductor islands 5237 and the word line 5239 .
  • the semiconductor islands 5237 preferably comprise polysilicon of a first conductivity type (i.e., P ⁇ or N ⁇ ). However, the islands may comprise amorphous silicon if desired.
  • the polysilicon islands 5237 include source and drain regions 5243 of a second conductivity type (i.e., N+ or P+). The source and drain regions 5243 are located at contacting intersections between the bit line conductors 5233 and the rail stacks 5235 .
  • the bit lines 5233 preferably comprise polysilicon of the second conductivity type (i.e., N+ or P+).
  • the bit lines 5233 contact the source and drain regions 5243 .
  • the source and drain regions are formed by outdiffiusion of dopants from the bit lines.
  • an optional metal or a metal silicide layer (not shown in FIG. 83A ) may be disposed in contact with the bit lines 5233 to increase the conductivity of the bit lines.
  • the space between said spaced-apart bit line conductors 5233 is filled with a planarized insulating filler material 5245 , such as silicon oxide.
  • the charge storage regions 5241 may comprise a dielectric isolated floating gate, electrically isolated nanocrystals or an O—N—O dielectric stack, as in the previous embodiments.
  • An exemplary array having a dielectric isolated floating gate is illustrated in FIGS. 83A and B.
  • the charge storage region 5241 comprises a polysilicon floating gate 5247 between a tunnel dielectric 5249 , such as a silicon oxide layer, and a control gate dielectric 5251 (also known as the intergate or interpoly dielectric) made of a material such as silicon oxide or an ONO layer stack.
  • the lateral sides 5253 of the tunnel dielectric 5249 and the floating gate 5247 are aligned to the lateral sides 5255 of the semiconductor islands 5237 .
  • the control gate dielectric 5251 extends between the semiconductor islands 5237 and contacts the planarized insulating material 5245 between the semiconductor islands 5237 .
  • the floating gate 5247 may be made from hemispherical grain polysilicon which has a textured surface to maximize the control gate to floating gate coupling.
  • the coupling may be increased by increasing the floating gate height, by forming horns or protrusions in the floating gate, or by roughening the floating gate surface.
  • the word line 5239 comprises a polysilicon layer of a second conductivity type (i.e., N+ or P+) and a metal or a metal silicide layer in contact with the polysilicon layer.
  • the word line 5239 acts as a control gate of the TFT EEPROM in locations where it overlies the charge storage regions 5241 . Thus, formation of a separate control gate for each TFT is not required.
  • the rail stacks 5235 are disposed above the bit lines 5233 , as shown in FIGS. 83A and B. However, if desired, the rail stacks 5235 may be disposed below the bit lines 5233 in each device level, as described with respect to FIG. 70 in a previous embodiment (i.e., bottom gate TFT EEPROMs are formed).
  • the word line 5239 , the charge storage regions 5241 and the semiconductor islands 5237 are aligned in a plane 5256 perpendicular to the substrate and parallel to a source to drain direction.
  • the rail stacks 5235 are separated by a second planarized insulating layer 5257 , such as silicon oxide.
  • the flash memory array may comprise a two dimensional array
  • the flash memory array comprises a monolithic three dimensional array comprising a plurality of device levels.
  • three device levels are shown in FIG. 83A .
  • the device levels are separated by an interlayer insulating layer 5259 , such as a silicon oxide layer.
  • layers 5257 and 5259 may comprise the same silicon oxide layer which is deposited above and between the rail stacks 5259 , and then planarized by CMP.
  • either its drain bit line or its source bit line 5233 are grounded while the positive programming voltage is applied to the selected word line 5239 adjacent to the device 5232 (which is a high impedance node). All other word lines on the same device level are grounded while all other bit lines on the same level device can float or are placed at a slight positive voltage. This means that only the selected cell 5232 experiences the programming voltage across it.
  • the floating gate 5247 is pulled high while the source and/or drain 5243 are grounded. Electrons tunnel to the floating gate 5247 from the source and/or drain 5243 and an inversion channel is formed in the silicon channel 5237 .
  • the current to program such a cell to get a threshold voltage shift of about 5V in approximately one millisecond is several picoamps.
  • bit lines 5233 can be grounded and a negative voltage pulse is applied to the selected word line 5239 .
  • All other word lines can either be grounded or can float. All other bit lines float or are placed at a slight negative voltage.
  • a plurality (or all) of EEPROM cells in the array can be erased at the same time by pulsing a plurality of word lines to a high negative value while all bit lines are grounded.
  • the selected wordline is grounded while the selected cell's bit lines are pulsed positive. All other word lines float or are pulsed slightly positive while all the other bitlines are grounded.
  • FIG. 84 illustrates a built-in access transistor 5261 in each cell whose threshold voltage can be set to a slight positive value.
  • the actual cell transistor i.e., the TFT EEPROM 5232
  • the access transistor can also reduce the defect-based TFT band-to-band tunneling leakage that may occur at negative gate voltages and could be problematic in programmed cells (i.e., floating gate full of electrons), (see S—H Hur et al., “A Poly-Si Thin-Film Transistor EEPROM Cell with Folded Floating Gate”, IEEE Trans. Elect. Dev., vol. 46, pp. 436-438, February 1999, incorporated herein by reference).
  • the semiconductor islands 5237 contain adjacent channel regions 5263 , 5265 of the access transistor 5261 and the EEPROM 5232 , respectively, between the common source 5243 A and drain regions 5243 B.
  • the word lines 5239 form control gates of the EEPROMs and gate electrodes of the access transistors.
  • An insulating layer 5251 forms a common control gate dielectric of the EEPROM and a gate insulating layer of the access transistor.
  • the floating gate 5247 and a tunnel dielectric 5249 are located between the word line 5239 and the channel region 5265 of the EEPROM 5232 .
  • the floating gate 5247 of a cell 5232 / 5261 To program the floating gate 5247 of a cell 5232 / 5261 , its source bit line 5233 A is grounded, its drain bit line 5233 B floats, and a high positive voltage pulse is applied to the selected cell's word line. This tunnels electrons to the floating gate. All other bit lines on the same device level are left floating or are placed at a slight positive voltage while all other word lines on the same level are grounded.
  • the selected cell's word line is pulsed to a read voltage of above the access transistor's threshold voltage while the cell's source bit line is grounded and drain bit line is set at a low positive voltage, such as 1 to 3 V. All other bit lines at the same level are left floating or grounded while all word lines at the same level are grounded.
  • To erase the cell To erase the cell, its word line is pulsed to a high negative value while its source bit line is grounded. To erase the whole array, all word lines can be pulsed to a high negative value while all source bit lines are grounded.
  • a gate to drain offset region 5267 is provided to reduce TFT band-to-band defect related drain leakage, as shown in FIG. 85 .
  • the word line 5239 and the charge storage region 5241 are offset apart from the drain region 5243 B.
  • a thick insulating layer 5269 is located between the semiconductor islands 5237 and the word lines 5239 in the offset region 5267 .
  • the floating gates 5247 , the tunnel dielectric 5249 and the control gate dielectric 5251 have aligned lateral sides 5253 A and B. Only one of the lateral sides 5253 A is aligned to the lateral side 5255 A of the semiconductor islands 5237 .
  • the islands 5237 have a greater width than the floating gates 5247 , the tunnel dielectric 5249 and the control gate dielectric 5251 .
  • ONO or isolated nanocrystal charge storage regions may be used instead of the floating gate charge storage regions in the embodiments of FIGS. 84 and 85 .
  • the devices of FIGS. 84 and 85 may be formed in a bottom gate configuration (i.e., with the bit lines above the word lines) if desired.
  • each cell size per bit is about 8 F 2 /N to about 10 F 2 /N, where F is a minimum feature size and N is a number of device levels in the array.
  • each cell size per bit is about 9 F 2 /N to about 11 F 2 /N.
  • a cell size per bit of about 8 F 2 /N to about 11F 2 /N may be achieved. This cell size compares favorably with cell sizes of commercially available flash memory arrays, which range from 7.7 F 2 to 13.9 F 2 .
  • the access transistors and contacts are factored in the effective cell size of the commercially available devices, then due to redundancy, their cell size ranges from 9.8 F 2 to 19.2 F 2 .
  • FIGS. 86 A-D illustrate a method of making the flash memory array where the word lines are disposed above the bit lines in each device level.
  • a plurality of spaced-apart bit line conductors 5233 are formed at a first height above the substrate (not shown) by etching a first conductive layer using a first photoresist mask.
  • the bit line conductors 5233 A and B extend in a first direction, as shown in FIG. 86A .
  • the bit lines comprise polysilicon and metal or metal silicide layers.
  • a first insulating layer 5245 is deposited above and between the bit line conductors 5233 A, B. The insulating layer 5245 is planarized by CMP until the top surface of the bit line conductors 5233 A, B is exposed.
  • a stack of layers including a first semiconductor layer 5237 and a charge storage film are deposited on the exposed bit line conductors 5233 A, B and the planarized insulating layer 5245 , as shown in FIG. 86B .
  • Layer 5237 may be an amorphous silicon or a polysilicon layer.
  • the charge storage film comprises a tunnel dielectric layer 5249 and a floating gate polysilicon layer 5247 .
  • the charge storage film may be an ONO stack or dielectrically isolated nanocrystals.
  • a second photoresist layer (not shown) is formed on the stack and photolithographically patterned into a mask. Using this photoresist layer as a mask, the stack of layers 5237 , 5249 and 5247 is etched to form a plurality of first rail stacks 5271 (only one such rail stack is shown in FIG. 86C for clarity).
  • the first rail stack 5271 extends in the same or substantially the same direction as the bit line conductors 5233 in a plane parallel to the substrate.
  • Each of the first rail stacks 5271 contains a semiconductor rail 5237 and a charge storage region rail 5247 / 5249 .
  • the first rail stacks 5271 have at least one aligned lateral edge 5253 / 5255 . In FIG. 86C , the first rail stacks 5271 have two such aligned lateral edges since each first rail stack is patterned using the same photoresist mask, which is removed after the etching step.
  • control gate insulating layer 5251 is deposited over the first rail stacks 5271 and in the spaces 5273 between the first rail stacks, as shown in FIG. 86D . Thus, layer 5251 extends beyond the lateral edges of the first rail stacks 5271 . If an ONO or isolated nanocrystal type EEPROMs are to be formed, then the semiconductor layer 5237 would be deposited and patterned into first rail stacks 5271 after deposition. Then the ONO or the nanocrystal containing layer would be deposited over the patterned first rail stacks 5271 , followed by the deposition of a conductive layer 5239 for the wordline.
  • a second conductive layer 5239 is deposited over the control gate insulating layer 5251 .
  • layer 5239 comprises polysilicon and metal silicide sublayers.
  • a third photoresist mask (not shown) is formed over the second conductive layer 5239 .
  • the second conductive layer 5239 , the control gate dielectric 5251 and the first rail stacks 5271 are then etched to form a plurality of second rail stacks 5235 , as shown in FIG. 86D .
  • the second rail stacks comprise the patterned second conductive layer which forms the word line 5239 , charge storage region islands 5247 / 5249 / 5251 and the semiconductor islands 5237 .
  • the source 5243 A and drain 5243 B regions are formed by outdiffusing dopants of a second conductivity type (i.e., N+ or P+) into the semiconductor islands 5237 of a first conductivity type (i.e., P ⁇ or N ⁇ ) from the first plurality of spaced-apart conductors.
  • the source and drain regions may be formed at any time during the fabrication sequence after the semiconductor layer 5237 is deposited on the bit line conductors 5233 A, 5233 B.
  • the device may be annealed after the formation of the second rail stacks 5235 to outdiffuse the dopants into the source and drain regions and to recrystallize the amorphous silicon layer 5237 into a polysilicon layer (or to increase the layer 5237 grain size).
  • the outdiffusion anneal and the crystallization anneal may occur during the same or during separate heating steps.
  • the recrystallization anneal may take place right after layer 5237 is deposited.
  • the side surfaces of the second rail stacks 5235 are aligned in a plane perpendicular to the substrate and parallel to a direction which extends from the source 5243 A to the drain 5243 B of the TFT EEPROM 5232 , as shown in FIG. 83B .
  • the control gate dielectric 5251 is disposed between the word line 5239 and the first insulating layer 5245 . Since the control gate dielectric is part of the first rail stacks 5235 , the control gate dielectric 5251 is aligned in a plane perpendicular to the substrate and parallel to a source to drain direction to the semiconductor islands 5237 , the tunnel dielectric 5249 , the floating gates 5247 and the control gates 5239 , as shown in FIG. 83B .
  • the first rail stacks 5271 are converted into islands during the etching of the second rail stacks 5235 .
  • a second insulating layer 5257 is then deposited over the second rail stacks 5235 and planarized by CMP to be level with the second rail stacks, as shown in FIG. 83B .
  • An interlayer insulating layer 5259 is then deposited over the second insulating layer 5257 and the second rail stacks 5235 .
  • a single insulating layer may be deposited above and between the second rail stacks 5235 to form the second insulating layer 5257 and the interlayer insulating layer 5259 .
  • the single layer is then planarized by CMP.
  • a plurality of additional device levels of the array may be monolithically formed above layer 5259 to form a three dimensional monolithic array having at least three device levels, as shown in FIG. 83A . Each device level is preferably separated by an interlayer insulating layer.
  • the word line in each device level may be formed below the bit line conductors (i.e., bottom gate TFT EEPROMs rather than top gate TFT EEPROMs are formed).
  • the second rail stacks 5235 comprising the gate lines 5239 , the charge storage regions 5251 / 5247 / 5249 and the semiconductor islands 5237 are formed first, as shown in FIG. 86E .
  • the first insulating layer 5245 is formed on the semiconductor islands of the second rail stacks 5235 .
  • the first insulating layer 5245 may also be formed between the second rail stacks if desired.
  • another insulating layer is formed between the second rail stacks and planarized by CMP prior to the formation of the first insulating layer 5245 .
  • Source and drain regions 5243 are formed in the semiconductor islands 5237 by ion implanting (or diffusing) dopant ions through the trenches.
  • the photoresist layer (not shown) used during the etching of the trenches may be removed before or after the ion implantation.
  • a second conductive layer (such as a layer comprising polysilicon and silicide sublayers) is formed in the trenches and over the first insulating layer, as shown in FIG. 86F .
  • the second conductive layer is then planarized by CMP to form the bit line conductors 5233 overlying the semiconductor islands 5237 .
  • the source and drain regions 5243 may be formed by outdiffusion from the bit line conductors 5233 rather than by ion implantation.
  • Similar methods may be used to form the flash memory array having TFT EEPROMs with an access transistor, as shown in FIG. 84 or having TFT EEPROMs with a drain offset region, as shown in FIG. 85 .
  • the stack of layers which includes a tunnel dielectric layer 5249 and a floating gate layer 5247 are deposited over the first semiconductor layer 5237 , as shown in FIG. 86C .
  • the stack of layers is then patterned to form first rail stacks 5271 which include semiconductor rails 5237 having a first width and charge storage region rails 5247 / 5249 having a second width smaller than the first width, such that the first rail stacks have one aligned lateral edge and drain portions of the semiconductor rails 5237 are exposed.
  • the first etching method includes forming a first photoresist mask 5275 having a first width over the stack, as shown in FIG. 86G .
  • the first semiconductor layer 5237 , the tunnel dielectric layer 5249 and the floating gate layer 5247 are then etched using the first photoresist mask 5275 , as shown in FIG. 86G .
  • a second photoresist mask 5277 having a second width smaller than the first width, is then formed over the floating gate layer 5247 .
  • the tunnel dielectric layer 5249 and the floating gate layer 5247 but not the first semiconductor layer 5237 are then etched using the second photoresist mask as shown in FIG. 86H .
  • the second etching method includes forming a first photoresist mask 5279 having a first width over the stack and etching the tunnel dielectric layer 5249 and the floating gate layer 5247 using the first photoresist mask 5279 to expose a portion of the first semiconductor layer 5237 , as shown in FIG. 861 . Then a second photoresist mask 5281 , having a second width larger than the first width, is formed over the floating gate layer 5247 and over an exposed portion of the first semiconductor layer 5237 (it is possible that there may be some misalignment between layer 5281 and layers 5249 / 5249 ). The first semiconductor layer 5237 is then etched using the second photoresist mask 5281 , as shown in FIG. 86J .
  • a control gate dielectric layer 5251 is formed over the patterned floating gates 5247 and over the exposed portions of the semiconductor rails 5237 of the first rail stacks 5271 .
  • the control gate dielectric layer 5251 functions as a gate dielectric of the access transistor 5261 over the exposed portions of the semiconductor rails 5237 .
  • the control gate dielectric layer 5251 is patterned at the same time as the floating gate layer 5247 and the tunnel dielectric layer 5249 , to expose the drain portion and part of the channel silicon of the semiconductor rails 5237 .
  • a second insulating layer 5269 is then formed over the control gate dielectric 5251 and the exposed portion of the semiconductor rails 5237 , as well as between the semiconductor rails 5237 to isolate the semiconductor rails from each other.
  • Layer 5269 is relatively thick, having a thickness that is the same as or greater than the thickness of the charge storage regions 5241 .
  • Layer 5269 is then planarized by CMP to expose the top portion of the charge storage regions.
  • the word line 5239 is then formed over the second insulating layer 5269 to form the offset regions 5267 .
  • the nonvolatile, multiprogrammable flash memory array of the preferred embodiment provides many-times -programmable cells in a crosspoint (i.e., rail stack) array.
  • FN tunneling is used for program and erase. This allows many cells to be written in parallel and provides high density, low power file storage.
  • the cell sizes per layer compare very favorably with cell sizes of commercially available flash memories.
  • CMOS complementary metal oxide semiconductor
  • adjacent NMOS and PMOS transistors have a common gate.
  • the adjacent NMOS and PMOS transistors may have separate gates if desired.
  • the array of CMOS devices may comprise an array of vertical pillar CMOS devices, an array of self aligned CMOS TFTs or an array of rail stack TFTs, as described in any previous embodiment.
  • the CMOS devices are preferably formed as a three dimensional monolithic array above the substrate. However, the CMOS devices may also be formed in a two dimensional array in or above a semiconductor substrate, if desired.
  • the NMOS and PMOS transistors of the CMOS array may be formed adjacent to each other in the same device level in an alternating fashion (i.e., as alternating NMOS and PMOS transistors).
  • the one charge carrier type transistors i.e., NMOS or PMOS
  • the other charge carrier type transistors i.e., PMOS or NMOS
  • a common gate line also known as a word line in memory devices
  • the array preferably comprises a plurality of vertically stacked, common gate CMOS transistors.
  • FIG. 87 illustrates one device level of a vertically stacked, common gate CMOS array in a rail stack configuration according to a preferred embodiment of the present invention. It should be noted that the array may also be arranged in a self aligned TFT or pillar configurations described previously.
  • the CMOS array in FIG. 87 is similar to the array illustrated in FIG. 73 , except that transistors of different charge carrier type are formed on either side of the gate line.
  • the NMOS transistors are arranged below the PMOS transistors. However, it should be understood that the PMOS transistors may be arranged below the NMOS transistors if desired.
  • the array of CMOS devices 5300 is preferably formed over a planarized interlayer insulating layer 5301 , such as a CMP planarized silicon oxide layer.
  • Layer 5301 is formed over a substrate (not shown) as in the previous embodiments.
  • Each CMOS device is thus a CMOS TFT because it is formed over an insulating layer.
  • the CMOS devices may be formed in a monocrystalline silicon substrate, if desired.
  • the array includes a plurality of gate lines (i.e., word lines) 5303 (only one gate line is shown in the cross sectional view of FIG. 87 ).
  • the gate line comprises a first N+ polysilicon layer 5305 , a silicide layer 5307 , such as a TiSi x or WSi x layer, over the first polysilicon layer and a second P+ polysilicon layer 5309 above the silicide layer.
  • the gate line 5303 acts as a gate electrode in each TFT. Thus, no separate gate electrodes connected to the gate lines are required.
  • a first insulating layer 5311 is disposed adjacent to a first side of the gate electrode 5303 .
  • This insulating layer 5311 may be a conventional gate dielectric.
  • the insulating layer 5311 is a charge storage layer (i.e., charge trapping media), such as an ONO stack or isolated nanocrystals, to form charge storage CMOS TFTS, such as EEPROM CMOS TFTs. If floating gate type EEPROM CMOS TFTs are desired, then a floating gate and a control gate dielectric may be added between the insulating layer 5311 and the gate line 5303 .
  • a p-type semiconductor layer 5313 such as a P ⁇ polysilicon layer, is disposed on a side of the first insulating layer opposite to the gate 5303 .
  • This layer contains the NMOS TFT bodies.
  • N+ source and drain regions 5315 are disposed in layer 5313 .
  • the portions of layer 5313 between regions 5315 comprise NMOS TFT channel regions.
  • the source and drain regions 5315 are formed by outdiffiusion of n-type dopants from the source and drain electrodes (i.e., bit lines) 5317 .
  • regions 5315 may be formed by any other method, such as by masking and ion implantation.
  • the electrodes 5317 contact the source and drain regions 5315 and are disposed on the bottom of the p-type semiconductor layer 5313 (i.e., on the side of layer 5313 opposite to the first insulating layer 5311 ).
  • the electrodes 5317 comprise N+ polysilicon rails which extend in a direction perpendicular to the gate line 5303 .
  • an optional metal or metal silicide layer is formed in contact with electrodes 5317 to increase their conductivity.
  • the electrodes 5317 may comprise metal or metal silicide instead of the heavily doped polysilicon, if desired.
  • a planar insulating filler layer 5318 such as silicon oxide, is disposed between the source and drain electrodes 5317 .
  • each NMOS TFT 5319 is located between adjacent source and drain regions 5315 and comprises a portion of layers 5305 , 5311 , 5313 and 5317 , as illustrated in FIG. 87 .
  • the PMOS TFTS 5321 are located above the NMOS TFTs 5319 .
  • the PMOS TFTs 5321 include a second insulating layer 5323 adjacent to a second side of the gate electrode 5303 .
  • layer 5323 is located on the P+ polysilicon layer 5309 of the gate line 5303 .
  • the insulating layer 5323 may be a conventional gate dielectric.
  • the insulating layer 5323 is a charge storage layer (i.e., charge trapping media), such as an ONO stack or isolated nanocrystals, to form charge storage CMOS TFTS, such as EEPROM CMOS TFTs. If floating gate type EEPROM CMOS TFTs are desired, then a floating gate and a control gate dielectric may be added between the insulating layer 5323 and the gate line 5303 .
  • An n-type semiconductor layer 5325 is disposed above the second insulating layer 5323 .
  • Layer 5325 is disposed on the opposite side of layer 5323 from the gate electrode 5303 .
  • P+ source and drain regions 5327 are disposed in layer 5325 , such that regions of layer 5325 between the source and drain regions 5327 comprise channel regions of PMOS TFTs.
  • Source and drain electrodes 5329 are disposed over the N ⁇ polysilicon layer 5325 and in contact with the source and drain regions 5329 . Thus, the electrodes 5329 are disposed on top side of the N ⁇ polysilicon layer 5325 opposite to the second insulating layer 5323 .
  • a planar insulating filler layer 5331 such as silicon oxide, is disposed between the source and drain electrodes 5329 . If desired, an optional metal or metal silicide layer is formed in contact with electrodes 5329 to increase their conductivity.
  • each PMOS TFT 5321 is located between adjacent source and drain regions 5327 and comprises a portion of layers 5309 , 5323 , 5325 and 5329 , as illustrated in FIG. 87 .
  • a TFT EEPROM CMOS device ( 5319 and 5321 ) is formed at each intersection of the first and the third spaced-apart electrodes or conductors 5317 , 5329 and the common gate line 5303 .
  • the CMOS structure may be inverted and the PMOS TFTs formed below NMOS TFTs. It should be noted that NMOS and PMOS electrodes (i.e., bit lines) do not have to fall directly on top of each other, although they preferably should have the same pitch.
  • NMOS and PMOS transistors thus can have different channel lengths, but the pitch (and thus array size) will be limited by the longer of the two channel lengths.
  • TFTs of one conductivity type i.e., NMOS or PMOS TFTs
  • TFTs of the other conductivity type i.e., PMOS or NMOS
  • the CMOS of this aspect comprises one EEPROM TFT and one non-EEPROM TFT.
  • the TFT CMOS device array 5300 illustrated in FIG. 87 is highly planar and compact.
  • the NMOS source and drain electrodes 5317 comprise polysilicon rails which extend above the interlayer insulating layer 5301 in a first plane parallel to the substrate surface.
  • the p-type polysilicon layer 5313 extends above the source and drain electrodes 5317 in a second plane.
  • the gate line 5303 extends above layers 5317 , 5313 and 5311 in a third plane.
  • the n-type polysilicon layer 5325 extends above the gate line 5303 in a fourth plane.
  • the PMOS source and drain electrodes 5329 comprise polysilicon rails which extend above the n-type semiconductor layer 5325 in a fifth plane. Each of the five planes does not intersect any of the other planes.
  • the TFT CMOS array 5300 is also self aligned.
  • the gate electrode 5303 , the first insulating layer 5311 , the p-type semiconductor layer 5313 , the second insulating layer 5323 and the n-type semiconductor layer 5325 comprise a rail stack which is located in a plane parallel to the substrate.
  • the rail stack extends perpendicular to the source and drain electrodes 5317 , 5329 .
  • the gate electrode 5303 , the first insulating layer 5311 , the p-type semiconductor layer 5313 , the second insulating layer 5323 and the n-type semiconductor layer 5325 are self aligned in a plane perpendicular to the substrate and parallel to the source to drain direction, as will be described in more detail below.
  • the TFT CMOS array 5300 is preferably arranged in a monolithic three dimensional array comprising a plurality of device levels vertically separated by one or more interlayer insulating layers. Each device level the array contains TFT CMOS devices 5300 , as in the previous embodiments.
  • a peripheral or driver circuit (not shown) is arranged in the substrate, preferably below the array and at least in partial vertical alignment with the array, or alternatively, within or above the array and at least in partial vertical alignment with the array.
  • FIGS. 88 A-D illustrate a method of making the rail stack TFT CMOS array 5300 according to a preferred embodiment of the present invention.
  • an N+ polysilicon layer is deposited and patterned to form the source and drain electrodes or conductors 5317 .
  • An insulating layer 5318 such as a silicon dioxide layer, is then deposited over and between the conductors 5317 .
  • Layer 5318 is then planarized by CMP to form a planarized block 5331 , as shown in FIG. 88A .
  • the top surfaces of the conductors 5317 are exposed in the top surface of the block.
  • a stack of layers is then deposited on the block 5332 . These layers include the p-type polysilicon (or amorphous silicon) layer 5313 , the first insulating or local charge storage film 5311 , the gate layer 5303 , the second insulating or charge storage film 5323 and the n-type polysilicon (or amorphous silicon) layer 5325 .
  • a photoresist mask (not shown) is then formed over this stack, and the stack of layers is patterned to form a plurality of rail stacks 5333 (only one rail stack 5333 is shown in FIG. 88B for clarity). The mask may be removed after all the layers have been patterned.
  • the layers in the rail stack 5333 are self aligned in a plane perpendicular to the substrate (i.e., the sides of the rail stack 5333 are planar).
  • the rail stacks 5333 are disposed above the block 5332 .
  • the rail stacks extend in a different direction from the direction of the electrodes 5317 .
  • the rail stack 5333 and the electrodes 5317 extend in perpendicular directions within the array, as shown in FIG. 88B .
  • An insulating layer 5331 such as a silicon oxide layer, is then deposited over the rail stack 5333 , such that it fills in the spaces 5335 between the rail stacks 5333 , as shown in FIG. 88C .
  • Layer 5331 is then planarized by CMP.
  • a photoresist mask (not shown) is formed on layer 5331 , and parallel trenches 5339 are etched in layer 5331 using the mask. The trenches extend parallel to the electrodes 5317 and perpendicular to the rail stacks 5333 , as shown in FIG. 88C .
  • optional sidewall spacers are formed on the sidewalls of the rail stack 5333 before the deposition of layer 5331 .
  • the spacers are made from an insulating material that is different from the material of layer 5331 .
  • the spacers are preferably made of silicon nitride. The spacers protect the sidewalls of the stack 5333 during the etching of the trenches. The spacers keep the trench etch from extending too far past the top of the gate lines in the area between gate lines, to protect against gate to source/drain shorts.
  • p-type ions i.e., boron or BF 2
  • the ions form P+ source and drain regions 5327 in layer 5325 , as shown in FIG. 88D .
  • a p-type polysilicon layer is then deposited over layer 5331 and in the trenches 5339 .
  • the polysilicon layer is planarized by CMP or etched back to form a plurality of spaced apart P+ electrodes 5329 embedded in the planarized insulating layer 5331 .
  • the electrodes 5329 are located above the rail stacks 5333 and contact the P+ source and drain regions 5327 . Since the electrodes 5329 and source and drain regions 5327 are formed during the same lithography step, there is no misalignment between the electrodes 5329 and source and drain regions 5327 .
  • the source and drain regions 5327 may be formed by outdiffusion from the electrodes 5329 rather than by ion implantation into the trenches 5339 .
  • the array is annealed to form N+ source and drain regions 5315 by outdiffusion from N+ electrodes 5317 and to recrystallize the amorphous or polysilicon semiconductor layers 5313 and 5325 .
  • the outdiffusion and recrystallization may be carried out during the same or different annealing steps at any desired point in the fabrication process.
  • an interlayer insulating layer is formed over the array shown in FIGS. 87 and 88 D, and another device level containing another array of TFT CMOS EEPROM devices 5300 is monolithically formed thereon.
  • Routing metallization layers preferably a metal layer other than aluminum
  • Additional interlayer insulating layers and device levels may be formed over the second level of the array if desired, to form at least three device layers.
  • a second rail stack containing a gate line is formed directly on top of the PMOS electrodes 5329 without an intervening interlayer insulating layer.
  • the PMOS electrodes 5329 would contain source and drain regions in two rail stacks.
  • plural device levels may be formed without intervening interlayer insulating layers to form a three dimensional monolithic array. This arrangement offers more transistors with fewer processing steps, but with less programming flexibility.
  • the resulting TFT CMOS array is a matrix of NMOS 5319 and PMOS 5321 devices with common gates 5303 .
  • the array shown in FIG. 89 is an unprogrammed or unconfigured array.
  • the array can then be configured into logic elements or memory devices by rupturing the gate dielectric (i.e., the charge storage film or region) to form a conductive link which connects the gate lines (i.e., word line rows) 5303 and source and drain electrodes 5317 , 5329 (i.e., bit lines), or by storing charge in the charge storage regions of either NMOS or PMOS transistors to raise their threshold voltages and keep them permanently off.
  • the array of TFT CMOS EEPROM devices 5300 may be used to form either logic elements or a memory array.
  • the same semiconductor device in the unconfigured array may be used either as an antifuse or as an EPROM or an EEPROM.
  • a circuit comprising a plurality of charge storage devices and a plurality of antifuse devices.
  • the circuit may comprise a field programmable gate array or a programmable logic device.
  • the plurality of charge storage devices and the plurality of antifuse devices comprise a same set of devices. This greatly simplifies the fabrication of the circuit. These devices function as charge storage devices when a first programming voltage is applied to the devices to turn these devices off by increasing their threshold voltage. These devices also function as antifuses when a second programming voltage higher than a first voltage is applied to the devices.
  • the second voltage may be any voltage which is sufficient to form a conductive link through the charge storage region.
  • the first i.e., charge storage voltage
  • the second voltage sufficient to form the conductive link may be 5-50 volts, depending on the device characteristics.
  • the voltages are provided to the devices by the driver or peripheral circuit.
  • charge storage and antifuse semiconductor devices having a different structure may be provided.
  • any charge storage devices which function as an antifuse when a conductive link has been formed through its charge storage region are within the scope of the present invention.
  • any device is within the scope of the present invention if the device contains a semiconductor active region, a charge storage region adjacent to the semiconductor active region, a first electrode and second electrodes, and where charge is stored in the charge storage region when a first programming voltage is applied between the first and the second electrodes, and a conductive link is formed through the charge storage region to form a conductive path between the first and the second electrodes. Therefore, a charge storage device which is capable of being used as an antifuse is not limited to rail stack TFT EEPROMs.
  • FIG. 90 illustrates how a 4 ⁇ 4 cell array of the circuit of FIG. 89 can be programmed into an inverter 5343 .
  • a high voltage is applied between gate (i.e., word) line 5345 and bit lines 5347 , which will be used to carry the output voltage, V out .
  • This causes conductive antifuse links 5348 to form to electrically connect lines 5345 and 5347 .
  • the driver circuit provides a programming voltage to all other transistors 5350 to increase their threshold voltage to turn them off, except to NMOS transistors 5355 and PMOS transistors 5357 .
  • the NMOS 5355 and PMOS 5357 transistors form the inverter.
  • V in a high voltage
  • V out a low voltage
  • Voltages V SS (i.e., ground) and V DD (i.e., power supply voltage) are provided into bit lines 5351 and 5353 which are connected to transistors 5355 and 5357 .
  • FIG. 91 illustrates how a 4 ⁇ 4 cell array of the circuit of FIG. 89 can be programmed into a two input NAND gate 5360 .
  • a high voltage is applied between gate (i.e., word) line 5345 and bit lines 5347 , which will be used to carry the output voltage, V out .
  • This causes conductive antifuse links 5348 to form to electrically connect lines 5345 and 5347 .
  • the driver circuit provides a programming voltage to all other transistors 5350 to increase their threshold voltage to turn them off, except for PMOS transistors 5361 and 5365 and NMOS transistors 5363 and 5365 .
  • the transistors 5361 , 5363 , 5365 and 5367 form the NAND gate.
  • Input voltages V in1 and V in2 are provided into gate lines 5369 and 5371 .
  • CMOS 5361 / 5363 is connected to gate line 5369
  • transistors 5365 and 5367 are connected to gate line 5371 .
  • Voltages V SS and V DD are provided into bit lines 5373 and 5375 .
  • NMOS 5367 is connected to bit line 5375
  • PMOS 5361 and 5365 are connected to bit line 5373 .
  • Output voltages can be read out from lines 5345 or 5347 , which are connected by a blown antifuse 5348 .
  • FIG. 92 illustrates how a 5 x 6 cell array of the circuit of FIG. 89 can be programmed into a static random access memory (SRAM) 5380 .
  • SRAM static random access memory
  • a high voltage is applied between gate (i.e., word) lines 5381 and 5383 and bit lines 5385 , 5386 , 5387 and 5388 .
  • This causes conductive antifuse links 5348 to form to electrically connect lines 5381 with lines 5385 and 5386 , and to electrically connect lines 5383 with lines 5387 and 5388 .
  • the driver circuit provides a programming voltage to all other transistors 5350 to increase their threshold voltage to turn them off, except for transistors 5389 , 5390 , 5391 , 5392 , 5393 and 5394 .
  • the transistors 5389 and 5390 are the SRAM access transistors, while transistors 5391 , 5392 , 5393 and 5394 are the cross coupled inverters.
  • the cell is accessed by placing a positive voltage on the word line 5395 .
  • Data is input onto and read out of BL and BL-bar, which are provided into bit lines 5396 and 5397 , respectively.
  • Voltages V SS and V DD are provided into bit lines 5398 and 5399 , respectively.
  • FIGS. 89-91 show various exemplary configurations that can be programmed. It should be noted that any other desired logic or memory device, such as a NOR gate, etc., may be programmed using the methods described above. Since all logic fumctions can be performed by basic elements, such as NAND gates, any logic circuit can be programmed into this type of an array. Furthermore, logic and memory devices may be programmed into the same circuit if desired. For logic devices, in general, the size of the logic block is (x+1) 2 times the cell area, where (x) is the number of inputs on the logic gate.
  • the area per logic gate is 4(F(x+1)) 2 to 5(F(x+1)) 2 .
  • This size includes an “isolation” row and column on each edge of the block, that is shared with the next block.
  • a preferred embodiment of the present invention is directed to a non-volatile thin film transistor (TFT) memory or logic device constructed above a substrate and including a source, drain and channel region made of deposited or grown amorphous silicon or polysilicon that has been crystallized by means of a transition metal-induced lateral crystallization (MILC) process.
  • TFT thin film transistor
  • MILC transition metal-induced lateral crystallization
  • a two- or, more preferably, a three-dimensional many-times programmable (MTP) non-volatile memory or logic is constructed of such thin film transistor memory devices.
  • a-Si amorphous silicon
  • polysilicon amorphous silicon
  • a-Si may be partially crystallized to form polycrystalline silicon with an anneal step taking tens of hours at about 600° C.
  • This approach is not advantageous because the devices formed in that material have lower-performance characteristics and they take a relatively long amount of time to fabricate.
  • crystallization can be enhanced by the use of transition metal or germanium catalysts to induce lateral crystallization at seeding sites.
  • MILC metal-induced lateral crystallization
  • a crystallization temperature range of about 750° C. to about 975° C. will also provide satisfactory results if the time of the anneal is adjusted accordingly. This short duration high temperature anneal will not saturate the diffusion regions of the devices contemplated herein and can be applied once to a multi-level device, as can the low temperature anneal step.
  • FIGS. 93-95 An example of a process for recrystallizing a deposited a-Si layer in accordance with a specific embodiment of the present invention is now described and illustrated in FIGS. 93-95 .
  • Those of ordinary skill in the art will now realize that many routine modifications to the process illustrated here are possible and do not affect the inventive concepts set forth herein.
  • FIGS. 93-95 a process flow diagram of a fabrication process for a crystallized deposited (or grown) a-Si layer is illustrated in FIG. 93 .
  • FIGS. 94A-94H illustrate vertical cross sections of a silicon wafer prepared in accordance with the process of FIG. 93 .
  • FIG. 95 illustrates the effect of metal-induced lateral crystallization (MILC) through seeding windows 5424 in a-Si deposited over buried oxide over a standard silicon wafer.
  • MILC metal-induced lateral crystallization
  • the first step 5406 of the process 5408 is to grow (or deposit) a thick oxide layer 5410 ( FIG. 94A ) (e.g., 3000 ⁇ ) on a standard silicon wafer substrate 5412 to provide a buried oxide layer.
  • the next step 5414 is to deposit a thin amorphous silicon (a-Si) layer 5416 (e.g., 1000 ⁇ ) over buried oxide layer 5410 .
  • a-Si layer 5416 e.g., 1000 ⁇
  • LPCVD low pressure chemical vapor deposition
  • layer 5416 may comprise a polysilicon layer.
  • the next step 5418 is to deposit a sacrificial low temperature oxide (LTO) layer 5420 (e.g., 3000 ⁇ ) and then in step 5419 to pattern it with mask 5422 and etch to expose transition metal seeding widows 5424 .
  • LTO low temperature oxide
  • These seeding windows can be slots approximately 2 ⁇ m in width as shown in FIG. 95 .
  • Mask 5422 can now be removed.
  • the next step 5426 is to deposit a transition metal layer 5428 (e.g., 100 ⁇ Ni (nickel)) over LTO layer 5420 .
  • a transition metal layer 5428 e.g., 100 ⁇ Ni (nickel)
  • Other transition metals may be used although Ni is presently preferred.
  • Other transition metals which may also be used, but which are less desirable than Ni are: Fe (iron), Co (cobalt), Ru (ruthenium), Rh (rhodium), Pd (palladium), Os (osmium), Ir (iridium), Pt (platinum), Cu (copper) and Au (gold).
  • Germanium may also be used if desired.
  • the transition metal may also be introduced into the seeding window by implantation and other mechanisms well known to those of ordinary skill in the art.
  • the next step 5430 is to anneal for initial lateral crystallization.
  • This step illustrated in FIG. 9 4 F, may be carried out in a range of temperature and times. For example, a 20 hour anneal at 560° C. in N 2 ambient will work. Lower temperatures require longer anneal times, higher temperatures require shorter anneal times. Those of ordinary skill in the art will now recognize that this can be optimized for throughput considerations.
  • This step performs a crystallization which may be adequate for certain devices and provide silicon grain sizes of several to tens of ⁇ m. Other devices requiring even more performance and silicon grain sizes in the hundreds of ⁇ m may require the high temperature anneal step discussed below.
  • step 5432 is to strip the remaining transition metal layer 5428 . This may be performed with H 2 SO 4 :H 2 O 2 (4:1) at 70° C. Then step 5434 is the LTO layer 5420 is stripped with HF.
  • a high temperature anneal step 5436 (e.g., 900° C., 30 minutes, N 2 ambient) is conducted (if desired) to further crystallize the partially crystallized a-Si to form even larger grain silicon crystals, (>100 ⁇ m in size).
  • This step gives the crystallized a-Si layer (i.e., a large grain polysilicon layer) performance characteristics similar to conventional SOI (silicon on insulator) CMOS technology.
  • transition metal-crystallized semiconductor material as used herein will contain trace detectable amounts of the transition metal(s) used for facilitating the crystallization.
  • transition metals typically Fe, Ni
  • these transition metals are present at a level of less than about 10 14 atoms/cc.
  • additional trace amounts of transition metals in excess of about 10 14 atoms/cc and up to about 10 18 atoms/cc will remain in the crystallized semiconductor material after processing.
  • a gettering material e.g., P (phosphorous) may be placed in the source and/or drain regions of the TFT to reduce the concentration of such contaminants in the channel region by increasing the concentration of such contaminants in the respective source and/or drain regions. Formation of devices in the region of the seeding windows 5424 should be avoided due to excessive transition metal contamination.
  • the above described metal induced crystallization method may be used to recrystallize the active semiconductor layer of any of the above described devices.
  • pillar TFTs, self-aligned TFTs, rail stack TFTs and diodes (i.e., an active semiconductor layer which contains one or more p-n junctions) of various configurations may be formed in the recrystallized a-Si or polysilicon.
  • a metal silicide layer was formed in contact with a silicon layer, such as a polysilicon word line or bit line.
  • a silicon layer such as a polysilicon word line or bit line.
  • One preferred method of forming a titanium silicide layer in contact with a silicon layer is by using a silicon cap and a TiN layer.
  • the titanium silicide layer is formed on an undoped amorphous silicon cap layer.
  • the cap layer is formed on a heavily doped silicon layer, such as a polysilicon or amorphous silicon layer doped to a concentration in excess of 10 19 cm ⁇ 3 , such as 10 19 cm ⁇ 3 to 10 21 cm ⁇ 3 .
  • the cap layer is preferably deposited on P+ polysilicon or N+ amorphous silicon layers. The N+ amorphous silicon may then be recrystallized into N+ polysilicon during subsequent annealing steps.
  • a method of forming a titanium silicide (TiSi 2 ) layer comprises the following steps.
  • a heavily doped polysilicon layer is deposited.
  • a P+ polysilicon layer is boron doped to a concentration of 5 ⁇ 10 20 cm ⁇ 3 , and has a thickness of about 1400 Angstroms.
  • a cap layer of undoped amorphous silicon is deposited on the P+ polysilicon layer. The cap may be 600 Angstroms thick, for example.
  • a titanium layer is deposited on the cap. The titanium layer may be 250 Angstroms thick, for example.
  • a titanium nitride layer is deposited on the titanium layer. The titanium nitride layer may be 100 Angstroms thick, for example. Other layer thicknesses may be used, as required.
  • the layers are annealed at a temperature below 650° C. for less than five minutes to react the titanium and the silicon in the cap to form a C49 phase TiSi 2 layer.
  • the anneal may be carried out at 600° C. for 1 minute, for example.
  • another P+ polysilicon layer is deposited over the stack and the stack is etched into a thin “wire” or “rail”, such as a word line or bit line.
  • the wire or rail may be 0.25 mm wide or less.
  • the titanium silicide is then transformed from the C49 to the C54 phase by a high temperature (i.e., above 650° C.) anneal.
  • the anneal can take place before or after the wires or rails are patterned, at 800° C.
  • each Si/Ti/TiN film stack for one minute, for example.
  • dopant diffusion and thermal grooving of the TiSi 2 is minimized.
  • Multiple film stacks can be deposited and etched sequentially.

Abstract

There is provided a monolithic three dimensional array of charge storage devices which includes a plurality of device levels, wherein at least one surface between two successive device levels is planarized by chemical mechanical polishing.

Description

  • This application is a divisional of U.S. application Ser. No. 10/842,008, filed on May 10, 2004, which is a divisional of U.S. application Ser. No. 09/927,648 filed on Aug. 13, 2001, now U.S. Pat. No. 6,881,994, which are incorporated by reference in their entirety. Application Ser. No. 09/927,648 is a continuation-in-part of U.S. application Ser. No. 09/801,233, filed on Mar. 6, 2001, which is a continuation-in-part of U.S. application Ser. No. 09/745,125, filed on Dec. 21, 2000, both of which are incorporated by reference in their entirety. Application Ser. No. 09/927,648 is also a continuation-in-part of U.S. application Ser. No. 09/639,579 filed on Aug. 14, 2000, which is incorporated by reference in its entirety. Application Ser. No. 09/927,648 is also a continuation-in-part of U.S. application Ser. No. 09/639,702 filed on Aug. 14, 2000, which is incorporated by reference in its entirety. Application Ser. No. 09/927,648 is also a continuation-in-part of U.S. application Ser. No. 09/639,749 filed on Aug. 17, 2000, which is incorporated by reference in its entirety. Application Ser. No. 09/927,648 also claims benefit of priority of provisional application 60/279,855, filed on Mar. 28, 2001, which is incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to semiconductor devices in general and to a three dimensional TFT array in particular.
  • 2. Discussion of Related Art
  • As integrated circuits and computers have become powerful, new applications have arisen that require the ability to store large amounts of data. Certain applications require a memory with the ability to write and erase data and the ability to store data in a nonvolatile manner. There are many applications which can be enabled by bringing the price per megabyte of semiconductor memory down well below a dollar (US) per megabyte so that it becomes price competitive with, for example: (1) chemical film for the storage of photographic images; (2) Compact Disks (CDs) for the storage of music and textual data for distribution; (3) Digital Versatile Disks (DVDs) for the storage of video and multi-media materials for distribution; and (4) Video Tape and Digital Audio and Video Tape for the storage of consumer audio and video recordings. Such memories should be archival and non-volatile in that they should be able to withstand being removed from equipment and all sources of power for a period of up to about 10 years with no significant degradation of the information stored in them. Such a requirement approximates the typical longevity for CDs, DVDs, magnetic tape and most forms of photographic film.
  • Presently, such memories are formed with electrically erasable nonvolatile memories such as flash memories and EEPROMs. Unfortunately, these devices are typically fabricated in a single crystalline silicon substrate and therefore are limited to two-dimensional arrays of storage devices, thereby limiting the amount of data that can be stored to the number of devices that can be fabricated in a single plane of silicon.
  • It has also been known to fabricate nonvolatile memories that employed trapped charge in a dielectric layer. Typically, electrons are trapped in a layer of silicon nitride by, for instance, tunneling a current through the nitride layer. The silicon nitride is formed between a gate insulated from the channel of a field-effect transistor. The trapped charge shifts the threshold voltage of the transistor and thus, the threshold voltage is sensed to determine whether or not charge is trapped in the nitride layer. See U.S. Pat. No. 5,768,192 for an example of such memories.
  • U.S. Pat. No. 5,768,192, issued to B. Eitan, and the technical article entitled “NROM: A Novel Localized Trapping, 2-Bit Nonvolatile Memory Cell” by B. Eitan et al. in IEEE Electron Device Letters, vol. 21, No. 11, November 2000, pp. 543-545 teach a nonvolatile semiconductor memory cell which uses asymmetrical charge trapping in the nitride charge storage layer of the Oxide-Nitride-Oxide (ONO) stack to store two bits in one cell. The cell is written by hot electron injection into the charge storage layer above the drain junction. The cell is read in the opposite direction to which it was written, i.e., voltages are applied to the source and gate, with the drain grounded. The memory cell is constructed in a p-type silicon substrate. However, this silicon-oxide-nitride-oxide-silicon (SONOS) ITC memory is arranged in an NOR Virtual Ground Array with a cell area of 2.5 F2 per bit, where F is the minimum feature size. This cell area is larger than desirable, and leads to a less than optimum cell density.
  • Prior art negative-resistance devices are also known. These devices were discovered around 1972 and are described in Thin-MIS-Structure Si Negative-Resistance Diode, Applied Physics Letters, Volume 20, No. 8, beginning on page 269, 15 Apr. 1972. The device described in the article is a junction diode, such as diode 5510 of FIG. 96 and a thin oxide region disposed on the n-type region of the diode, such as the oxide region 5511 of FIG. 96. The device provides a switching phenomenon exhibiting a negative-resistance region as shown in FIG. 97. Note as the potential on the diode is increased in the diode's forward direction, little conduction occurs until the voltage first reaches the voltage shown as point 5512 at which point the device exhibits a negative-resistance. From there the device exhibits a somewhat diode-like characteristic as shown by the segment 5513 in FIG. 97. This switching characteristic is used to fabricate static memory cells (flip-flops) such as shown in U.S. Pat. Nos. 5,535,156 and 6,015,738. Additionally, the basic operation of this device is described in Sze's, The Physics of Semiconductor Devices, (2nd edition, Chapter 9.5, pp. 549-553), although this explanation may contain an error in its discussion in polarity.
  • The device of FIG. 96 comprises a PN junction diode and a thin oxide region. When the diode is forward biased, initially very little current flows because the diode junction voltage is a fraction of the applied voltage, with the balance of the voltage drop across the n− region and oxide region. Holes injected into the n− region from the p region are sufficiently low in number that the tunneling current through the oxide (despite the unfavorable barrier to the hole flow) allows the n− region to remain an n-type region. Similarly, any holes generated within the depletion region are able to pass through the thin oxide while any generated electrons are swept across to the p region and out of the anode contact.
  • As the applied forward voltage increases, the n− region begins to deplete at the interface with the oxide just as in a normal MOSFET as the threshold voltage is approached. At a high enough voltage, this depletion region extends all the way to the junction to produce punch-through, resulting in a significant injection of holes from the p region into the n-layer. The holes cannot flow well through the oxide and consequently build up near the surface. This causes the n− region to invert more strongly near the oxide interface, and increasing the voltage drop across the oxide, recalling that V=Q/C. The electron tunneling current through the oxide rises by a super-exponential factor, increasing the forward bias across the diode and the current. At the same time holes flood the n− region, raising its conductivity and reducing its voltage drop. Since the voltage across the diode is relatively small (and changes little, even for large changes in current) a large reduction in the n-voltage drop reduces the voltage across the entire structure dramatically (assuming a suitable series resistance in the circuit to avoid device rupture). Thus, the regenerative action of the foregoing description causes a rapid increase in current, accompanied by a rapid decrease in voltage. It is this negative-resistance region that has been exploited to make the SRAM cells described in the above referenced patents.
  • At higher current levels, the device behaves essentially as an ordinary forward biased diode as most of the voltage is ultimately dropped across the PN junction. Overall, the V-I characteristics of the structure are shown in FIG. 97 with the slope of the segment 5513 being determined in large part by the series resistance coupled to the structure of FIG. 96.
  • When reverse biased, the diode is in its blocking state and the only current that flows through the oxide is electron leakage current. The reverse junction voltage is a fraction of the applied voltage because some is dropped across the oxide region. It should be noted that electrons carry current through the oxide region in both reverse bias and in a strong forward bias.
  • Another type of prior art memory device is disclosed in the technical article entitled “A Novel Cell Structure for Giga-bit EPROMs and Flash Memories Using Polysilicon Thin Film Transistors” by S. Koyama in 1992 Symposium on VLSI Technology Digest of Technical Papers, pp. 44-45. As shown in FIG. 98, each memory cell is a “self-aligned” floating gate cell and contains a polycrystalline silicon thin film transistor electrically erasable programmable read only memory (TFT EEPROM) over an insulating layer. In this device, the bit lines extend in the direction parallel to the source-channel-drain direction (i.e., the bit lines extend parallel to the charge carrier flow direction). The word lines extend in the direction perpendicular to the source-channel-drain direction (i.e., the word lines extend perpendicular to the charge carrier flow direction). The TFT EEPROMs do not contain a separate control gate. Instead, the word line acts as a control gate in regions where it overlies the floating gates.
  • The layout of Koyama requires two polycide contact pads to be formed to contact the source and drain regions of each TFT. The bit lines are formed above the word lines and contact the contact pads through contact vias in an interlayer insulating layer which separates the bits lines from the word lines. Therefore, each cell in this layout is not fully self-aligned, because the contact pads and the contact vias are each patterned using a non-self aligned photolithography step. Therefore, each memory cell has an area that is larger than desirable, and leads to a less than optimum cell density. The memory cell of Koyama is also complex to fabricate because it requires the formation of contact pads and bit line contact vias. Furthermore, the manufacturability of the device of Koyama is less than optimum because both bit lines and word lines have a non-planar top surface due to the non-planar underlying topography. This may lead to open circuits in the bit and word lines.
  • The Virtual Ground Array approach to crystalline silicon non-volatile memories has also been known for some time and is an elegant way of aggressively reducing memory cell size. Turning now to FIG. 99, the basic approach utilizes a cross point array 5610 of bitlines in buried n+ diffusion 5612 within a single crystalline silicon p-type substrate 5614 and wordlines formed of polysilicon rails 5616 disposed over the substrate 5614. A transistor is formed from adjacent bitlines 5612 and a p-type channel region 5618 disposed between the adjacent bitlines 5612. A layer of gate oxide 5620 insulates the floating gates 5622, which lie above the channels 5618 and are formed of, for example, polysilicon. An upper dielectric layer 5624 insulates the floating gates 5622 from polysilicon wordlines (WLs) 5616.
  • “Virtual Ground” refers to the fact that there is no dedicated ground line in the array. Whenever a cell is chosen for read or program, a pair of buried n+ bitlines (BLs) is the source and drain with the source grounded. For example, to select the cell 5624 outlined in FIG. 100, BL(k) and BL(k+1) would be selected as the source and drain (or vice versa) and WL(j) would be selected as the control gate of the device. In one approach, all of the bit lines to the left of BL(k) as shown in FIG. 100 would be held at the same potential as BL(k) and all of the bit lines to the right of BL(k+1) would be held at the same potential as BL(k+1) so that source-drain current would only flow (for read and programming) in the selected cell (all other WLs being grounded).
  • In all of these approaches, the charge storage medium is a conducting floating gate made of doped polysilicon. By hot electron injection programming (the method of choice in all classic EPROM (erasable programmable read only memory) and single transistor Flash memory cells), electrons are injected onto the floating gate thus changing the threshold voltage of the inherent MOS transistor.
  • The above discussed SONOS (polysilicon-blocking oxide-nitride-tunnel oxide-silicon) charge trapping approach has reemerged as a viable candidate for non-volatile MTP memories arranged in a virtual ground array structure 5626, as shown in FIG. 101. The array includes n+ buried bitlines 5612 disposed in a single crystalline silicon substrate 5614. An ONO (oxide-nitride-oxide) dielectric stack 5628 insulates bitlines 5612 from polysilicon wordline 5630. The hot electrons are injected into the ONO dielectric stack 5628 near the drain edge during programming where charge is trapped in the nitride layer. Two bits can be stored per memory cell utilizing this approach because hot electrons are injected into the ONO dielectric stack at the programming drain edge. Since the nitride charge storage medium does not laterally conduct, the charge stays where it was injected. Trapped charge near the source of a transistor has a large effect on the transistor's threshold voltage while trapped charge near the drain has little effect on threshold voltage. Accordingly, individual charge zones on either side of the ONO layer may be written and read by simply reversing the drain and source connections for the cell. When the cell is programmed, charge is injected at the zone closest to the drain. If source and drain are reversed for the same cell, another charge may be injected into the same cell but at the “other” drain. Both sides can also be read, thus two bits per cell may be stored and retrieved.
  • The above described prior art devices are relatively expensive because their density is not optimized.
  • SUMMARY OF THE INVENTION
  • According to one preferred embodiment of the present invention, a semiconductor device comprises a monolithic three dimensional array of charge storage devices comprising a plurality of device levels, wherein at least one surface between two successive device levels is planarized by chemical mechanical polishing.
  • In another preferred embodiment of the present invention, a monolithic three dimensional array of charge storage devices is formed in an amorphous or polycrystalline semiconductor layer over a monocrystalline semiconductor substrate, and driver circuitry is formed in the substrate at least in part under the array, within the array or above the array.
  • Another preferred embodiment of the present invention provides a memory device comprising a first input/output conductor formed above or on a first plane of a substrate. The memory device also includes a second input/output conductor. A semiconductor region is located between the first input/output conductor and the second input/output conductor at an intersection of their projections. The memory device includes a charge storage medium wherein charge stored in the charge storage medium affects the amount of current that flows between the first input/output conductor and the second input/output conductor.
  • Another preferred embodiment of the present invention provides a nonvolatile read-write memory cell having an N doped region, a P doped region, and a storage element disposed between the two.
  • Another preferred embodiment of the present invention provides a method for operating a memory cell. The method comprises the steps of trapping charge in a region to program the cell, and passing current through the region when reading data from the cell.
  • Another preferred embodiment of the present invention provides an array of memory cells, said array having a plurality of memory cells each comprising at least one semiconductor region and a storage means for trapping charge. The array also has control means for controlling the flow of current through the semiconductor region and the storage means of the cells.
  • Another preferred embodiment of the present invention provides a nonvolatile stackable pillar memory device and its method of fabrication. The memory device includes a substrate having a first plane. A first contact is formed on or above the plane of a substrate. A body is formed on the first contact. A second contact is formed on the body wherein the second contact is at least partially aligned over the first contact. A control gate is formed adjacent to the charge storage medium. A read current flows between the first contact and the second contact in a direction perpendicular to the plane of the substrate.
  • Another preferred embodiment of the present invention provides a field effect transistor, comprising a source, a drain, a channel, a gate, at least one insulating layer between the gate and the channel, and a gate line which extends substantially parallel to a source-channel-drain direction and which contacts the gate and is self aligned to the gate.
  • Another preferred embodiment of the present invention provides a three dimensional nonvolatile memory array, comprising a plurality of vertically separated device levels, each level comprising an array of TFT EEPROMs, each TFT EEPROM comprising a channel, source and drain regions, and a charge storage region adjacent to the channel, a plurality of bit line columns in each device level, each bit line contacting the source or the drain regions of the TFT EEPROMs, a plurality of word line rows in each device level, and at least one interlayer insulating layer located between the device levels.
  • Another preferred embodiment of the present invention provides an EEPROM comprising a channel, a source, a drain, a tunneling dielectric located above the channel, a floating gate located above the tunneling dielectric, sidewall spacers located adjacent to the floating gate sidewalls, a word line located above the floating gate, and a control gate dielectric located between the control gate and the floating gate. The control gate dielectric is located above the sidewall spacers.
  • Another preferred embodiment of the present invention provides an array of nonvolatile memory cells, wherein each memory cell comprises a semiconductor device and each memory cell size per bit is about (2F2)/N, where F is a minimum feature size and N is a number of device layers in the third dimension, and where N>1 Another preferred embodiment of the present invention provides a method of making an EEPROM, comprising providing a semiconductor active area, forming a charge storage region over the active area, forming a conductive gate layer over the charge storage region and patterning the gate layer to form a control gate overlying the charge storage region. The method also comprises doping the active area using the control gate as a mask to form source and drain regions in the active area, forming a first insulating layer above and adjacent to the control gate, exposing a top portion of the control gate without photolithographic masking, and forming a word line contacting the exposed top portion of the control gate, such that the word line is self aligned to the control gate.
  • Another preferred embodiment of the present invention provides a method of making an EEPROM, comprising providing a semiconductor active area, forming a tunnel dielectric layer over the active area, forming a conductive gate layer over the tunnel dielectric layer, patterning the gate layer to form a floating gate overlying the tunnel dielectric layer and doping the active area using the floating gate as a mask to form source and drain regions in the active area. The method also comprises forming sidewall spacers adjacent to the floating gate sidewalls, forming a first insulating layer above and adjacent to the sidewall spacers and above the source and drain regions, forming a control gate dielectric layer over the floating gate, and forming a word line over the control gate dielectric and over the first insulating layer.
  • Another preferred embodiment of the present invention provides a method of forming a nonvolatile memory array, comprising forming a semiconductor active layer, forming a first insulating layer over the active layer, forming a plurality of gate electrodes over the first insulating layer and doping the active layer using the gate electrodes as a mask to form a plurality of source and drain regions in the active layer, and a plurality of bit lines extending substantially perpendicular to a source-drain direction. The method also comprises forming a second insulating layer above and adjacent to the gate electrodes and above the source regions, drain regions and the bit lines, planarizing the second insulating layer, and forming a plurality of word lines over the second insulating layer extending substantially parallel to the source-drain direction.
  • Another preferred embodiment of the present invention provides a method of making an EEPROM array, comprising providing a semiconductor active area, forming a plurality of dummy blocks above the active area, doping the active area using the dummy blocks as a mask to form source and drain regions in the active area, forming an intergate insulating layer above and between the dummy blocks, planarizing the intergate insulating layer to expose top portions of the dummy blocks, selectively removing the dummy blocks from between portions of the planarized intergate insulating layer to form a plurality of vias between the portions of the intergate insulating layer, forming charge storage regions over the active area in the plurality of vias, forming a conductive gate layer over the charge storage regions, and patterning the conductive gate layer to form a control gate overlying the charge storage region.
  • Another preferred embodiment of the present invention provides a method of forming a TFT EEPROM, comprising forming a TFT EEPROM comprising an amorphous silicon or a polysilicon active layer, a charge storage region and a control gate, providing a crystallization catalyst in contact with the active layer, and heating the active layer after the step of providing the catalyst to recrystallize the active layer using the catalyst.
  • Another preferred embodiment of the present invention provides a two- or three-dimensional memory array constructed of thin film transistors disposed above the substrate. Spaced-apart conductors disposed in a first direction form contacts with memory cells formed in rail stacks disposed in a second direction different from the first direction. A local charge trapping medium receives and stores hot electrons injected by thin film transistors formed at the intersections of the spaced-apart conductors and the rail stacks. The local charge trapping medium may be used to store charge adjacent to a transistor drain and by reversing the drain and source lines, two bits per memory cell may be stored, if desired. A programming method insures that stored memory will not be inadvertently disturbed.
  • Another preferred embodiment of the present invention provides a non-volatile thin film transistor (TFT) memory device that is constructed above a substrate. It employs a source, drain and channel formed of transition metal crystallized silicon. A local charge storage film is disposed vertically adjacent to the channel and stores injected charge. A two- or three-dimensional array of such devices may be constructed above the substrate. Spaced-apart conductors disposed in a first direction form contacts with memory cells formed in rail stacks disposed in a second direction different from the first direction. The local charge storage film receives and stores charge injected by TFTs formed at the intersections of the spaced-apart conductors and the rail stacks. The local charge storage film may be used to store charge adjacent to a transistor drain and by reversing the drain and source lines, two bits per memory cell may be stored, if desired. A programming method insures that stored memory will not be inadvertently disturbed.
  • Another preferred embodiment of the present invention provides a flash memory array disposed above a substrate, the array comprising a first plurality of spaced-apart conductive bit lines disposed at a first height above the substrate in a first direction, and a second plurality of spaced-apart rail-stacks disposed at a second height in a second direction different from the first direction, each rail-stack including a plurality of semiconductor islands whose first surface is in contact with said first plurality of spaced-apart conductive bit lines, a conductive word line, and charge storage regions disposed between a second surface of the semiconductor islands and the word line.
  • Another preferred embodiment of the present invention provides a TFT CMOS device, comprising a gate electrode, a first insulating layer adjacent to a first side of the gate electrode, a first semiconductor layer having a first conductivity type disposed on a side of the first insulating layer opposite to the gate electrode, a first source and drain regions of a second conductivity type disposed in the first semiconductor layer, first source and drain electrodes in contact with the first source and drain regions and disposed on a side of the first semiconductor layer opposite to the first insulating layer. The TFT CMOS device further comprises a second insulating layer adjacent to a second side of the gate electrode, a second semiconductor layer having a second conductivity type disposed on a side of the second insulating layer opposite to the gate electrode, second source and drain regions of a first conductivity type disposed in the second semiconductor layer, and second source and drain electrodes in contact with the second source and drain regions and disposed on a side of the second semiconductor layer opposite to the second insulating layer.
  • Another preferred embodiment of the present invention provides a circuit comprising a plurality of charge storage devices and a plurality of antifuse devices.
  • Another preferred embodiment of the present invention provides a semiconductor device comprising a semiconductor active region, a charge storage region adjacent to the semiconductor active region, a first electrode, and a second electrode. Charge is stored in the charge storage region when a first programming voltage is applied between the first and the second electrodes, and a conductive link is formed through the charge storage region to form a conductive path between the first and the second electrodes when a second programming voltage higher than the first voltage is applied between the first and the second electrodes.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is an illustration of a pillar memory in accordance with an embodiment of the present invention.
  • FIG. 1B is an illustration of an overhead view of a pillar memory in accordance with an embodiment of the present invention having a single charge storage medium and single control gate surrounding a pillar.
  • FIG. 1C is an illustration of an overhead view showing a pillar memory in accordance with an embodiment of the present invention having multiple charge storage mediums and multiple control gates.
  • FIG. 2 is an illustration of the pillar memory in accordance with an embodiment of the present invention.
  • FIGS. 3A-3D illustrate an ultra thin channel pillar memory device in accordance with an embodiment of the present invention and its method of fabrication.
  • FIG. 4 is an illustration of a pillar memory of an embodiment of the present invention having Schottky contacts.
  • FIG. 5 is an illustration of a gated diode pillar memory in accordance with an embodiment of the present invention.
  • FIG. 6 is an illustration of a pillar memory in accordance with an embodiment of the present invention having a nanocrystal floating gate.
  • FIG. 7 is an illustration of a pillar memory of an embodiment of the present invention having a charge trapping dielectric.
  • FIGS. 8A and 8B illustrate a method of forming a pillar utilizing an explicit pillar formation process.
  • FIGS. 9A and 9B illustrate a method of forming a pillar utilizing an intersection etch technique.
  • FIGS. 10A-10E illustrate a method of forming a pillar memory device in accordance with an embodiment of the present invention utilizing a “spacer etch” technique.
  • FIGS. 11A-11C illustrate a method of forming a common control gate between adjacent pillar memories as well as showing the isolation of control gates between adjacent pillars.
  • FIGS. 12A and 12B illustrate a method of forming a common continuous film control gate between two or more levels of pillar memories.
  • FIGS. 13 to FIG. 28 illustrate a method of fabricating multiple levels of pillar memories in accordance with an embodiment of the present invention.
  • FIG. 29A is a representation of a memory cell of an embodiment of the present invention.
  • FIG. 29B is a graph illustrating the characteristics of the cell of FIG. 29A.
  • FIG. 30 is a cross-sectional elevation view of a two terminal cell built in accordance with an embodiment of the present invention.
  • FIG. 31 is a cross-sectional elevation view of a three terminal cell built in accordance with an embodiment of the present invention.
  • FIG. 32 is a cross-sectional elevation view of a three-dimensional memory array employing rail stacks built in accordance with an embodiment of the present invention.
  • FIG. 33 is a perspective view of a cell formed as a pillar above a substrate in accordance with an embodiment of the present invention.
  • FIG. 34 is another embodiment of a cell formed as a pillar.
  • FIGS. 35 and 36 are schematics of a three dimensional array of devices.
  • FIG. 37 is a side cross-sectional view of a wafer after ONO dielectric, first gate electrode, protective oxide and blocking nitride layers have been deposited in a method according to an embodiment of the present invention.
  • FIG. 38 is a side cross-sectional view of a memory array after bit line patterning and source/drain implantation. The cross-section is perpendicular to the bit lines.
  • FIG. 39 is a side cross-sectional view of the array after salicide process. The cross-section is perpendicular to the bit lines.
  • FIG. 40 is a side cross-sectional view of the array after the oxide fill and planarization. The cross-section is perpendicular to the bit lines.
  • FIG. 41 is a side cross-sectional view of the array after the blocking layer is removed. The cross section is perpendicular to the bit lines.
  • FIG. 42 is a side cross-sectional view of the array during word line formation. The cross-section is perpendicular to the bit lines.
  • FIG. 43 is a side cross-sectional view of the array after word line formation along line A-A in FIG. 42. The cross-section is perpendicular to the word lines and passes through a bit line.
  • FIG. 44 is a side cross-sectional view of the array after word line formation along line B-B in FIG. 42. The cross-section is perpendicular to the word lines and passes through a transistor channel.
  • FIG. 45 is a side cross-sectional view of the array of the second preferred embodiment after the oxide fill and planarization. The cross-section is perpendicular to the bit lines.
  • FIG. 46 is a side cross-sectional view of the array of the second preferred embodiment after word line formation. The cross-section is perpendicular to the bit lines.
  • FIG. 47 is a side cross-sectional view of the array of a preferred embodiment after word line formation. The cross-section is perpendicular to the bit lines.
  • FIGS. 48A-C and 49A-C illustrate alternative methods of making a TFT of the array of a preferred embodiment.
  • FIGS. 50 and 51 are side cross-sectional views of the array of two preferred aspects of a preferred embodiment after word line formation. The cross-section is perpendicular to the bit lines.
  • FIG. 52 is a three dimensional view of a three dimensional array of a preferred embodiment.
  • FIG. 53 is a side cross-sectional view of a word line contact conductor and bit line contact conductor at the same level. Openings are made for the next level contacts.
  • FIG. 54 is a side cross-section view of a word line contact conductor in level N+1 and word line and bit line contact conductors in level N. Landing pads are made in level N+1 conductor for the next level contacts.
  • FIGS. 55-61 are side cross-sectional views of a method of making the array of a preferred embodiment. The cross-section is perpendicular to the bit lines.
  • FIG. 62 is a top view of the array of a preferred embodiment of the present invention after forming crystallization windows.
  • FIGS. 63 and 64 are side cross-sectional views along lines A-A and B-B, respectively, in FIG. 62. The cross-section is perpendicular to the bit lines in FIG. 63 and parallel to the bit lines in FIG. 64.
  • FIG. 65 is a top view of the array of a preferred embodiment after the crystallization of the active layer.
  • FIG. 66 is a drawing showing a front perspective view of a two-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 67 is a drawing showing an elevational cross sectional view of a two-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 68 is a drawing showing a top plan view of a memory array in accordance with a specific embodiment of the present invention.
  • FIG. 69 is a drawing showing an elevational cross sectional view of a three-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 70 is a drawing showing an elevational cross sectional view of a two-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 71 is a drawing showing an elevational cross sectional view of a three-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIG. 72 is a drawing showing an elevational cross sectional view of a memory array in accordance with a specific embodiment of the present invention.
  • FIG. 73 is a drawing showing an elevational cross sectional view of a three-dimensional memory array in accordance with a specific embodiment of the present invention.
  • FIGS. 74 and 75 are drawings illustrating methods for programming memory cells in accordance with a specific embodiment of the present invention.
  • FIG. 76 is a drawing illustrating a method of fabrication of memory cells in accordance with a specific embodiment of the present invention.
  • FIG. 77 is a cross sectional drawing illustrating a SONOS on a dielectric stack.
  • FIG. 78 is a cross-sectional drawing illustrating a nanocrystalline charge storage medium.
  • FIG. 79 is a cross-sectional drawing of a bitline of doped polysilicon having a refractory metal silicide formed therein to improve lateral conductivity.
  • FIG. 80 is a cross-sectional drawing of a substrate in accordance with a specific embodiment of the present invention.
  • FIGS. 81A-81H illustrate steps in the fabrication of a memory array in accordance with a specific embodiment of the present invention.
  • FIGS. 82A-821 illustrate steps in the fabrication of a memory array in accordance with a specific embodiment of the present invention.
  • FIGS. 83-85 illustrate flash memory arrays according to a preferred embodiment of the present invention.
  • FIGS. 86A-86J illustrate methods of making the arrays of FIGS. 83-85.
  • FIG. 87 illustrates a CMOS array according to a preferred embodiment of the present invention.
  • FIGS. 88A-D illustrate a method of making the CMOS array of FIG. 87.
  • FIGS. 89-92 illustrate logic and memory circuits using the CMOS array of FIG. 87.
  • FIG. 93 is a process flow diagram illustrating a process for fabricating a crystallized amorphous silicon layer for use in a non-volatile TFT memory device in accordance with a specific embodiment of the present invention.
  • FIGS. 94A-94H are vertical cross-sectional drawings illustrating steps in the process of FIG. 93.
  • FIG. 95 is a top plan view of a portion of a silicon wafer after processing in accordance with the process of FIG. 93.
  • FIGS. 96-101 are illustrations of prior art devices.
  • DETAILED DESCRIPT10N OF THE PREFERRED EMBODIMENTS
  • The present inventors have realized that the cost of memory and logic devices would be decreased if the device density was increased. Thus, the present inventors have provided an ultra dense matrix array of charge storage semiconductor devices which has an increased density and a lower cost.
  • One method of improving device density is to arrange the devices in a monolithic three dimensional array of charge storage devices comprising a plurality of device levels.
  • The term “monolithic” means that layers of each level of the array were directly deposited on the layers of each underlying level of the array. In contrast, two dimensional arrays may be formed separately and then packaged together to form a non-monolithic memory device.
  • In order to form such a three dimensional array, especially an array having four or more layers, at least one surface between two successive device levels is planarized by chemical mechanical polishing (CMP). In contrast to other planarization methods, such as etch back, chemical mechanical polishing allows a sufficient degree of planarization to stack multiple device levels of a commercially feasible device on top of each other. The inventors have found that chemical mechanical polishing typically achieves flatness on the order of 4000 Angstroms or less within a stepper field (i.e., a peak to peak roughness value of 4000 Angstroms or less in an area on the order of 10 to 50 mm) in three-dimensional memory arrays, even after 4 to 8 layers of the array have been formed. Preferably, the peak to peak roughness of a layer in the array polished by CMP is 3000 Angstroms or less, such as 500 to 1000 Angstroms, within a stepper field. In contrast, etch back alone typically does not afford sufficient flatness to achieve a commercially suitable three-dimensional memory or logic monolithic array.
  • For example, the term “at least one surface between two successive device levels is planarized by chemical mechanical polishing” includes surfaces formed in the bottom and intermediate device layers, as well as surfaces of the interlayer insulating layers that are disposed in between the device layers. Thus, the surfaces of conductive and/or insulating layers in each intermediate and bottom device level of the array are planarized by chemical mechanical polishing. Thus, if the array includes at least four device levels, then at least three device levels should have at least one surface that is planarized by chemical mechanical polishing. The surfaces of the conductive and/or insulating layers in the top device level may also be planarized by chemical mechanical polishing.
  • Another method of improving device density is to vertically integrate the driver or peripheral circuits with the memory or logic array. In the prior art, the peripheral circuits were formed in the periphery of the monocrystalline silicon substrate, while the memory or logic array was formed in the other portions of the substrate, adjacent to the peripheral circuits. Thus, the peripheral circuits occupied valuable substrate space in the prior art devices. In contrast, a preferred embodiment of the present invention provides a monolithic three dimensional array of charge storage devices formed in an amorphous or polycrystalline semiconductor layer over a monocrystalline semiconductor substrate, while at least part, and preferably all, the driver (i.e., peripheral) circuitry is formed in the substrate under the array, within the array or above the array. Preferably, the driver circuitry comprises at least one of sense amps and charge pumps formed wholly or partially under the array in the substrate.
  • FIG. 35 schematically illustrates an array of charge storage logic or memory devices 3101 formed above an interlayer insulating layer 3102 disposed above a monocrystalline substrate 3105. The array of charge storage logic or memory devices 3101 are thus arranged as a three dimensional monolithic array thin film transistors or diodes in amorphous or polysilicon layers. The array 3101 has a plurality of device levels 3104, preferably separated by interlayer insulating layers. The driver circuits 3103, such as sense amps and charge pumps, are disposed in the monocrystalline substrate 3105, as CMOS or other transistors. FIG. 36 schematically illustrates an array of charge storage logic or memory devices 3101 formed above a monocrystalline substrate 3105 as thin film transistors or diodes in amorphous or polysilicon layers. The driver circuits 3103, such as sense amps and charge pumps, are formed within the array 3101 and/or above the array 3101.
  • Another method of improving device density is self-alignment and using the same photolithography step to pattern different layers. The device cell area is enlarged by misalignment tolerances that are put into place to guarantee complete overlap between features on different layers. Thus, the present inventors have developed a fully or partially aligned memory cell structure that does not require misalignment tolerances or that requires a reduced number of misalignment tolerances. In such a cell structure, certain device features may be self aligned to other device features, and do not require a photolithography step for patterning. Alternatively, plural layers may be etched using the same photoresist mask or a lower device layer may be etched using a patterned upper device layer as a mask. Particular examples of aligned memory cells will be discussed in more detail below.
  • The charge storage devices of the array may be any type of semiconductor devices which store charge, such as EPROMs or EEPROMs. In the preferred embodiments of the present invention described in detail below, the charge storage devices are formed in various configurations, such as a pillar TFT EEPROM, a pillar diode with a charge storage region, a self aligned TFT EEPROM, a rail stack TFT EEPROM, and various other configurations. Each of these configurations provides devices with a high degree of planarity and alignment or self-alignment to increase the array density.
  • For example, in the pillar TFT EEPROM or a pillar diode with a charge storage region, at least one side of the semiconductor active region is aligned to one of the electrodes contacting the semiconductor active region. Thus, in a pillar TFT EEPROM configuration, the semiconductor active region is aligned to both the source and the drain electrodes. This alignment occurs because at least two sides of the active semiconductor region and one of the electrodes are patterned during a same photolithography step (i.e., etched using the same photoresist mask or one layer is used as a mask for the other layer).
  • In a self-aligned TFT, two sides of the active semiconductor region are aligned to a side of the gate electrode only in the channel portion of the active semiconductor region, but not in the source and drain regions. This alignment occurs because at least two sides of the channel region and the gate electrode are patterned during a same photolithography step (i.e., etched using the same photoresist mask or one layer is used as a mask for the other layer). In contrast, the source and drain regions are not etched.
  • In the following description, numerous specific details are set forth such as specific thicknesses, materials etc. in order to provide a thorough understanding of the present invention. It will be apparent to one skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known concepts, circuit and fabrication techniques are not set forth in detail in order not to unnecessarily obscure the present invention.
  • Any feature of any embodiment described below may be used in another embodiment. The first set of embodiments describes various pillar devices, the second set of embodiments describes self-aligned TFT devices and the third set of embodiments describes rail stack TFT devices. The fourth and fifth set of embodiments describes how these devices may be used in a logic or memory circuit. The final set of embodiments describes the use of metal induced crystallization to improve the crystallinity of the device levels.
  • I. The Pillar Devices
  • The present embodiment is directed to thin film transistors (TFTs) and diodes arranged in a pillar configuration (i.e., the vertical direction with respect to the substrate, where the length of the device is perpendicular to the substrate) and their method of fabrication. Preferably, the pillar devices form a charge trapping memory that has a vertical read current. The memory includes a first input/output conductor formed on or above a plane of a substrate and a second input/output conductor located above and spaced apart from the first input/output conductor. The first input/output conductor and the second input/output conductor are positioned so that they overlap or intersect one another and preferably intersect perpendicular to one another. A semiconductor region, such as a doped silicon region, is formed between the first input/output conductor and the second input/output conductor at the intersection of the first input/output conductor and the second input/output conductor. A charge storage medium, such as but not limited to a charge trapping dielectric, is formed near the semiconductor region and affects the amount of current that flows through the semiconductor region between the first input/output conductor and the second input/output conductor for a given voltage applied across the first input/output conductor and the second input/output conductor. The amount of current (read current) for a single voltage that flows through the semiconductor region can be used to determine whether or not charge is stored in the charge storage medium and therefore whether or not the memory is programmed or erased. The read current that flows through the semiconductor region between the first input/output conductor and the second input/output conductor flows in a direction perpendicular to the plane of the substrate in which or on which the memory is formed. The structure of the charge trapping memory of the present embodiment, as well as its method of fabrication, is ideally suited for integration into a three dimensional array of memory devices.
  • As will be discussed below, the charge trapping memory device of the present embodiment can be fabricated with one of two general structures. In one embodiment the charge storage medium is formed adjacent to the semiconductor region and in a second embodiment the charge storage medium is formed above or below the semiconductor region.
  • 1. A Three Terminal Pillar Memory with Adjacent Charge Storage Medium
  • An embodiment of the present invention is a three terminal nonvolatile stackable pillar memory device. A pillar memory device 100 in accordance with this embodiment of the present invention is broadly illustrated in FIG. 1A. Pillar memory device 100 includes a first contact region 102 formed on a first input/output (I/O) 103 conductor formed on or above a plane (x-y) of a single crystal substrate 101. A semiconductor body 104 is formed directly on the first contact region 102 and a second contact region 106 is formed directly on the body 104. A second I/O conductor 116 is formed on the second contact region 106. The first contact region 102, the body 104, and the second contact (source/drain) region 106 are each vertically aligned with one another to form a pillar 108. Adjacent to and in contact with body 104 is a charge storage medium 110. A control gate 112 is formed adjacent to and in direct contact with the charge storage medium 110. The control gate 112 and charge storage medium 110 are constructed so that they lie laterally adjacent to pillar 108 so that they may electrically communicate with pillar 108. The charge storage medium is the region that electrically screens the control gate and the channel region addressed by the control gate.
  • The programmed or unprogrammed state of the pillar memory device is determined by whether or not charge is stored in charge storage medium 110. The charge stored in the charge storage medium adds or subtracts from the voltage applied to the control gate, thereby altering the voltage required to form a conducting channel in body 104 to enable a current (e.g., read current IR) to flow between the first and second contact (source/drain) regions. This voltage is defined as the VT. The amount of voltage required to form a conducting channel in body 104 or the amount of current flowing in the body for a given control gate voltage can be used to determine whether or not the device is programmed or unprogrammed. Additionally, multiple bits of data can be stored in a single charge storage medium 110 whereby each different amount of stored charge creates a different VT each representing a different state of the charge storage medium. Because the charge storage medium can contain multiple states, multiple bits can be stored in a single charge storage medium.
  • During read operations of device 100, when a conductive channel is formed in body 104, current 114 flows vertically (z) (or perpendicular) with respect to the plane (x-y) of the substrate 101 above which pillar memory device is formed. By creating a memory device with a “vertical” read current path, the pillar memory cell of the present invention can be easily stacked in a three dimensional array with source/ drain conductors 103 and 116 running parallel or perpendicular to each other and parallel to the plane of the substrate 101 without requiring the use of vertical interconnect strategies for the source and drain connections. The conductor 112 to the control gate may be run vertically (as shown in FIG. 1A) or horizontally.
  • Although memory device 100 shown in FIG. 1A includes a charge storage medium 110 and a control gate 112 formed on only one side or surface of pillar 108, it is to be appreciated that the pillar memory device of the present invention can be fabricated so that the entire body 110 of the pillar 108 is surrounded by a single charge storage member 110 and a single control gate 112 as shown in FIG. 1B. Additionally, each surface of the pillar 108 can have an independently controlled charge storage member and control gate as shown in FIG. 1C and thereby enable multiple bits of data to be stored in a single pillar memory device of the present invention. The use of multiple charge storage members and control gates enables the storage of multiple values on a single pillar device by determining how much of the channel is exposed to charge. Additionally, each face of body 104 of pillar 108 can have different doping densities to create different threshold voltages for each face to further enable the pillar memory to store additional states and therefore additional bits.
  • FIG. 2 shows an embodiment of the present invention where the pillar 207 comprises a first source/drain contact region 202 comprising a heavily doped N+ silicon film having a doping density in the range between 1×1019 to 1×1020, preferably 1×1019 to 1×1021 atoms/cm3, formed on a first input/output 204 (e.g. bit line) formed on or above a substrate 201. A body comprising a lightly doped P− type silicon film 206 having a doping density between 1×1016 to 1×1018 atoms/cm3 is formed on and in direct contact with the first N+ source/drain contact region 202. A second source/drain region 208 comprising a heavily doped N+ silicon film having a doping density of 1×1019 to 1×1020, preferably 1×1019 to 1×1021, atoms/cm3 is formed on and in direct contact with P type silicon film 206, as shown in FIG. 2. A second conductive input/output (e.g. word line/bit line) 210 is formed on the second N+ source/drain region 208. The N+ source/ drain films 202 and 208 can have a thickness between 500-1000 Å. The first and second input/ outputs 204 and 210 can be formed of a highly conductive material such as but not limited to a metal such as tungsten, a silicide such as titanium silicide or tungsten siticide, or heavily doped silicon. In memory device 200 N+ source/drain region 202, P type silicon body 206 and N+ source/drain region 208 are each substantially vertically aligned with one another to form pillar 207.
  • Pillar memory 200, shown in FIG. 2, has a charge storage medium 211 comprising a tunnel dielectric 212, a floating gate 214, and a control gate dielectric 216. The tunnel dielectric is formed adjacent to and in direct contact with P type silicon body 206. A floating gate 214 is formed adjacent to and in direct contact with tunnel dielectric 212. Floating gate 214 comprises a conductor such as but not limited to doped silicon, such as N type silicon, or metal such as tungsten. The control gate dielectric 216 is formed adjacent to and in direct contact with floating gate 214. Finally a control gate 218 is formed adjacent to and in direct contact with control gate dielectric 216. Control gate 218 is formed of a conductor such as but not limited to doped silicon or a metal such as tungsten.
  • The thicknesses of P type silicon film 206 and tunnel dielectric 212 are dependent upon the desired programming and erasing voltage. If low voltage programming operations between 4 to 5 volts are desired, then P-type silicon film 206 can have a thickness between 1000-2500 Å and the tunnel dielectric can have a thickness between 20 and 150 Å, such as 20-50 Å, preferably 80-130 Å. (If a nitride tunnel dielectric 212 is desired it would be scaled slightly thicker.) It is to be appreciated that the thickness of P− type silicon film 206 defines the channel length of the device. If higher voltage (6-10 volts) programming operations are desired the P type silicon film 206 can have a thickness between 6000-7000 Å and tunnel dielectric 212 can have a thickness between 60-100 Å. The control dielectric 216 typically has a thickness on order of tunnel dielectric 212 but is slightly (10-30 Å) thicker, preferably 130 to 180 Å.
  • Pillar memory 200 is considered programmed or unprogrammed depending upon 25 whether or not charge is stored on floating gate 214. Pillar memory device 200 can be programmed utilizing drain side programming whereby electrons are placed on floating gate 214 by grounding the source region 202 while a relatively high voltage is applied to the drain region 208 and while approximately 4-5 volts, for low voltage operations, or 6-10 volts, for high voltage operations, is applied to control gate 218 in order to invert a portion of P− type silicon region 206 into N type silicon so that a channel region is formed and electrons flow between the source region and the drain region. The high control gate voltage pulls electrons from the inverted channel region through the tunnel dielectric 212 and on to floating gate 214. Because electrons lose some of their energy tunneling through the tunnel oxide, they no longer have enough energy to escape from the floating gate which is surrounded by insulators. Other techniques such as but not limited to source side injection can be used to program memory device 200.
  • Memory device 200 can be erased by removing stored electrons from floating gate 214. Memory device 200 can be erased by placing a relatively high positive voltage (3 volts) on to the source region, while applying a negative voltage of approximately 4-5 volts in low voltage operations or 6-10 volts for high voltage operations on to control gate 218. The positive voltage on the source region attracts electrons on floating gate 214 and thereby pulls electrons off floating gate 214 through tunnel dielectric 212 and into the source region.
  • In order to read the state of memory device 200, a voltage (such as 3.3 volts) can be applied to the drain while a given control gate voltage is applied to the control gate. The amount of current (read current) that flows from the drain region through the channel region and into the source region for a given control gate voltage can be used to determine the state of the memory device. Alternatively, one can read the state of memory 200 by sensing the amount of control gate voltage necessary to cause a given read current to flow through body 206. When read current flows between the first and second source/ drain regions 202 and 208 through body 206 it flows in a direction perpendicular (z) to the plane (x-y) of the substrate 201 on or above which it is built.
  • FIG. 3 shows another embodiment of the nonvolatile pillar memory device of the present invention. FIG. 3 shows a three terminal nonvolatile pillar memory device 300 having an ultra thin silicon channel or body 302. Like memory device 200 the ultra thin memory device 300 has a first N+ source/drain contact region 202 formed on a first input/output 204. An insulator 304, such as an SiO2 film or a silicon nitride film, is formed on the first source/drain contact region 202. A second N+ source/drain region 208 is formed on the insulating layer 304. Insulator 304 separates the source/ drain regions 202 and 208 from one another and therefore defines the channel length of the device. A 30 thin P− type silicon film 302 having a concentration in the range between 1×1016 to 1×1018 atoms/cm3 is formed along the sidewalls of the N+/insulator/N+ stack so that it is adjacent to and in direct contact with the first and second source/drain regions as well as separating insulator 304. The P− type silicon film acts as the channel or body for the device and bridges the gap between source/ drain regions 202 and 208. By forming a thin P− type silicon film adjacent to the N+/insulator/N+ stack the channel region can be made extremely thin, between 50-100 Å. The thickness of the P− type silicon film which represents the channel thickness is preferably less than ½ the channel length (i.e. the distance between the source/drain regions 202 and 208) and ideally less than ⅓ the channel length.
  • Like memory device 200, memory device 300 also includes a charge storage medium 211, and a control gate 218. When transistor 300 is turned on, a portion of the P− type silicon region inverts to form a conductive channel therein so that current can flow from one source/drain region 202 to the other source/drain region 208. The majority of the current path 306 through the ultra thin body 302 or channel from one source/drain region to the other source/drain region is in a direction perpendicular (z) to the plane (x-y) of the substrate above which the device is built.
  • An ultra thin channel or body transistor can be formed, for example, by using a “spacer etch” technique. For example, as shown in FIG. 3B an N+ silicon/insulator/N+ silicon stack can be blanket deposited over a substrate having a patterned metal I/O 204. The stack is then patterned utilizing well-known photolithography and etching techniques into a pillar 306 is shown in FIG. 3B. A P− type silicon film can then be blanket deposited over the pillar as shown in FIG. 3C. The P− type silicon film is deposited to a thickness desired for the channel thickness of the device. The P− type polysilicon film is then anisotropically etched so that P− type silicon film 302 is removed from horizontal surfaces and remains on vertical surfaces such as the sidewalls of pillar 306. In this way the P− type silicon film is formed adjacent to the pillar and bridges the source/drain regions across the insulator 304. The charge storage medium 211 and control gate 218 can then subsequently be formed as in the other pillar devices.
  • FIG. 4 shows another embodiment of the three terminal stackable nonvolatile pillar memory device of the present invention. FIG. 4 is a three terminal stackable non-volatile pillar memory device where Schottky contacts form the source and drain regions of the device. The Schottky contact MOSFET 400 of the present invention includes a first metal contact 402 formed on a first input/output 204. A doped silicon body or channel 404 such as N type silicon doped to a concentration level between 1×1016 to 1×1018 atoms/cm3 and having a thickness desired for the channel length is formed on metal contact 402. A second metal contact 406 is formed on and in direct contact with silicon body 404. A second I/O is then formed on second metal contact 406. First metal contact 402 and second metal contact 406 are formed of a material such as platinum silicide, tungsten silicide and titanium silicide and to a thickness that forms a Schottky barrier contact with silicon body 404. The first metal contact 402, silicon body 404, and second metal contact 406 are each directly vertically aligned to one another to form a pillar 408 as shown in FIG. 4. Memory device 400 also includes a charge storage medium 211 directly adjacent to and in contact with silicon body 404 as shown in FIG. 4. Additionally, memory device 400 includes a control gate adjacent to and in direct contact with the charge storage medium 211. When a channel is formed in silicon body 404, current (e.g., read current IR) flows from metal contact 402 to metal contact 406 in a direction perpendicular (z) to the surface of the substrate (x-y) on which memory device 400 is formed.
  • FIG. 5 illustrates another embodiment of a three terminal nonvolatile memory device in accordance with the embodiment of the present invention. FIG. 5 illustrates a gated diode memory device 500. Memory device 500 includes a P+ type silicon film contact region 502 having a dopant density between 1×1019 to 1×1021, preferably 1×1019 to 1×1020 atoms/cm3 and a thickness between 500-1000 Å. A P− silicon film 504 having a doping density between 1×1016 to 1×1018 atoms/cm3 is formed on and in direct contact with P+ silicon film 502. An N+ type silicon contact region 506 having a doping density between 1×1019 to 1×1021, preferably 1×1019 to 1×1020, atoms/cm3 and a thickness between 500-1000 Å is formed directly on P− silicon film 504. In an embodiment of the present invention P+ silicon film 502, P− silicon film 504, and N+ silicon film 506 are each vertically aligned with one another to form a pillar 508 as shown in FIG. 5. Memory device 500 also includes a memory storage medium 211 formed adjacent to and in direct contact with P− silicon film 504 and N+ silicon film 506 as shown in FIG. 5. Adjacent to and in direct contact with charge storage medium 211 is a control gate 218. Additionally, like transistors 100, 200, 300, and 400, when gated diode 500 is turned “on” a current (I) travels from P+ silicon film 502 to N-type silicon film 506 in a direction perpendicular (z) to the plane (x-y) of the substrate 501 on or above which device 500 is formed.
  • Although devices 200-500 have been shown with a charge storage medium comprising a continuous film floating gate 214 isolated by a tunnel dielectric 212 and a control gate dielectric 216, the floating gate need not necessarily be formed from a continuous conductive film of silicon or metal but can alternatively be formed from a plurality of a electrically isolated nanocrystals 602 as shown in FIG. 6. Nanocrystals are small clusters or crystals of a conductive material that are electrically isolated from one another. An advantage of the use of nanocrystals for the floating gate is that because they do not form a continuous film, nanocrystal floating gates are self isolating. Nanocrystals 602 enable multiple self-isolating floating gates to be formed around a single silicon body 206. For example, with a square or rectangular shaped pillar, a floating gate can be formed on each side of the silicon body or channel enabling four or more isolated floating gates to be formed around a single square pillar. In this way, multiple bits can be stored in each pillar memory. Similarly, because nanocrystals form a non-continuous film, floating gates can be formed after two or more levels of pillars are formed without worrying about shorting of the floating gate of one cell level to the floating gates to adjacent cells lying directly above or below (i.e., vertically adjacent). Yet another advantage of the use of nanocrystals for floating gates is that they experience less charge leakage than do continuous film floating gates.
  • Nanocrystals 602 can be formed from conductive material such as silicon, tungsten, or aluminum. In order to be self isolating, the nanocrystals must have a material cluster size less than one-half the pitch of the cell so that floating gates from vertically and horizontally adjacent cells are isolated. That is, the nanocrystals or material clusters 602 must be small enough so that a single nanocrystal 602 cannot bridge vertically or horizontally adjacent cells. Silicon nanocrystals can be formed from silicon by utilizing chemical vapor deposition to decompose a silicon source gas such as silane at very low pressure. Similarly, a tungsten nanocrystal floating gate can be formed by chemical vapor deposition by decomposing a tungsten source gas such as WF6 at very low pressures. Still further, an aluminum nanocrystal floating gate can be formed by sputter deposition at or near the melting temperature of aluminum.
  • Additionally, alternative to the use of a dielectric isolated floating gate to store charge in the memory devices of the present invention, one can use a trapping layer formed in the dielectric stack 702 as shown in FIG. 7. For example, the charge storage medium can be a dielectric stack 702 comprising a first oxide layer 704 adjacent to the silicon body or channel, a nitride layer 706 adjacent to the first oxide layer and a second oxide layer 708 adjacent to the nitride layer and adjacent to the control gate 218. Such a dielectric stack 702 is sometimes referred to as an ONO stack (i.e., oxide-nitride-oxide) stack. Other suitable charge trapping dielectric films such as an H+ containing oxide film can be used if desired.
  • It is to be appreciated that each of the memory devices 200-500 shown in FIGS. 2-5 can be made of opposite polarity by simply reversing the conductivity type of each of the silicon regions in the pillar and maintaining concentration ranges. In this way, not only can NMOS devices be fabricated as shown in FIGS. 2-5, but also PMOS devices can be formed if desired. Additionally, the silicon films used to form the pillars of the device may be single crystal silicon or polycrystalline silicon. Additionally, the silicon film can be a silicon alloy film such as a silicon germanium film doped with N type or P type conductivity ions to the desired concentration.
  • Additionally, as shown in FIGS. 1-3 and 5, the pillars 108, 208, 308, and 508 are fabricated so that the contacts and body are aligned with one another when viewed from the top. This may be achieved by first forming an I/O 204 and then blanket depositing the pillar film stack (e.g., N+/P−/N+) as shown in FIG. 8A. The film stack 802 can then be masked and all three films anisotropically etched in a single step as shown in FIG. 8B to form a pillar 804. An explicit pillar formation step can form a pillar having any desired shape. For example, the pillar 804 can take the shape of a square as shown in FIG. 8B or can take the shape of rectangle, or a circle when viewed from above.
  • Alternatively, as shown in FIGS. 9A and 9B, a pillar can be formed by the intersection of the patterning of the first and second I/O's. For example, a pillar can be formed by first blanket depositing a first I/O conductor 900 followed by the sequential blanket deposition of the film stack 902 (e.g., N+/P−/N+) of the desired pillar. The first I/O film 900 and the pillar film stack 902 are then etched to form a plurality of pillar strips 904 as shown in FIG. 9 a. During subsequent processing to pattern the second I/O, the second I/O 906 is etched in a direction perpendicular or orthogonal to the plurality of strips 904. The etch step used to pattern the second I/O 906 is continued so as to etch away the pillar film stack 902 from the portions of the strip 904 which are not covered or masked by the second I/O 906. In this way, a pillar 908 is formed at the intersection of the first and second I/O's. The pillar 908 is formed in direct alignment with the intersection or overlap of the first and second I/O's. The intersection technique of forming a pillar is advantageous because it saves additional lithography steps.
  • The charge storage medium of the memory device of the present invention can be formed utilizing a “spacer etch” technique. For example, as shown in FIG. 10A-10E a pillar 1000 or a pillar strip is first formed. A first tunnel dielectric 1002 is then blanket deposited over the pillar 1000. Next, a floating gate material 1004 is blanket deposited over the tunnel dielectric 1002. The floating gate dielectric material is deposited to a thickness desired for the floating gate. The floating gate material can be nanocrystals or can be a continuous conductive film. The floating gate material 1004 and the tunnel dielectric 1002 are then anisotropically etched back to remove them from horizontal surfaces such as the top of pillar 1000 and between adjacent pillars so as to leave a floating gate 1008 isolated by a tunnel dielectric on the sidewalls of the pillar 1000 or strip. If the floating gate is made from a continuous conductive film, as opposed to nanocrystals, then care must be taken to ensure the complete removal of the floating gate material 1004 from between adjacent cells so that the floating gates 1008 of adjacent cells are isolated.
  • It is to be appreciated that when the floating gate is made of nanocrytals or when the charge storage medium is a trapping dielectric, the films need not necessarily be etched from horizontal surfaces between adjacent cells because these films do not electrically couple adjacent cells. If desired, however, charge trapping dielectric and nanocrystal floating gates can be anisotropically etched back. Next, as shown in FIG. 10D, a control gate dielectric 1006 is blanket deposited over floating gate 1008 and the top of pillar 1000.
  • A control gate can also be formed using a “spacer etch” technique. In such a case, a control gate material 1010, such as doped polysilicon, is blanket deposited over the control gate dielectric 1006 to the thickness desired of the control gate as shown in FIG. 10D. The control gate material 1010 is then anisotropically etched back as shown in FIG. 10E to remove the control gate material 1010 from horizontal surfaces such as on top of control gate dielectric 1006 and between adjacent pillars or strips and form a control gate 1012 adjacent to control gate dielectric 1006. The control gate dielectric 1006 protects the underlying silicon pillar 1000 from being etched during the anisotropic etch of the control gate material.
  • While it is necessary to isolate the floating gate from adjacent cells, the control gate can be shared between horizontal or vertically adjacent cells. Horizontally shared control gates can be achieved by utilizing lithography to form a conductor strip which connects horizontally adjacent transistors. Alternatively, as shown in FIGS. 11A-11C, horizontal coupling of adjacent cells can be achieved by accurately controlling the space between adjacent cells 1100 so that a minimal space 1102 is placed between cells having control gates to be coupled together while larger gaps 1104 are placed between cells having controls gates which are to be isolated as shown in FIG. 11A. In this way, when a control gate material 1106 is deposited, it completely fills the minimum or small gaps 1102 between adjacent cells while leaving only a thin film on the large gaps 1104 between cells to be isolated as shown in FIG. 11B. During the anisotropic etch, the thin control gate material in the large gaps is completely removed, isolating adjacent control gates, while a portion 1108 of the thicker control gate material 1106 in the small gap remains, so that it bridges adjacent cells and couples horizontally adjacent cells as shown in FIG. 11C.
  • Additionally, vertical sharing of the control gate can be achieved by forming a control gate plug between adjacent cells after two or more levels of pillar have been formed as shown in FIG. 12A and 12B. A control gate plug can be formed by blanket depositing a conductive film such as a doped polysilicon film or a tungsten film 1200 over and between two or more levels of pillars and then planarizing or patterning the portion of the tungsten film above the pillars to form a plug between pillars. In this way, the control gate would be shared with devices on two or more vertical levels and between horizontally adjacent cells.
  • A method of integrating the pillar memory device of the present invention into a multi-level array of storage cells will now be described. As shown in FIG. 13, the fabrication starts by providing a substrate 1300 on which the multilevel array of storage devices is to be formed. Substrate 1300 will typically include a lightly doped monocrystalline silicon substrate 1302 in which transistors such as metal oxide semiconductor (MOS) transistors are formed. These transistors can be used as, for example, access transistors or they can be coupled together into circuits to form, for example, charge pumps or sense amps for the fabricated memory devices. Substrate 1300 will typically also include multiple levels of interconnects and interlayer dielectrics 1304 used to couple transistors in substrate 1302 together into functional circuits. The top surface 1306 of substrate 1300 will typically include an insulating layer or passivation layer to protect the underlying transistors and interconnects from contamination. The top surface 1306 will typically contain electrical contact pads to which multilevel arrays of memory devices of the present invention can be electrically coupled in order to make electrical contact with the transistors in silicon substrate 1302. In an embodiment of the present invention, the memory devices are physically isolated and separated from the single crystalline substrate by multiple levels of interconnects and dielectric 1304. The top surface of passivation or insulating layer 1306 will typically be planarized to enable uniform and reliable fabrication of multiple levels of the charge storage devices of the present invention. FIG. 13A shows a cross-sectional view through the substrate while FIG. 13B illustrates an overhead view of the substrate looking down at the plane of the substrate 1300 across which the devices of the present invention are fabricated. According to one embodiment of the present invention, the memory devices are physically separated from monocrystalline silicon substrate 1302. In an alternative embodiment of the present invention, memory devices can be fabricated on a glass substrate 1300 such as used in flat panel displays.
  • A process of forming a multilevel array of memory devices in accordance with an embodiment of the present invention begins by blanket depositing a first conductor layer 1308 over surface 1306 of substrate 1300. Conductor 1308 can be any suitable conductor such as but not limited to, titanium silicide, doped polysilicon, or a metal such as aluminum or tungsten and their alloys formed by any suitable technique. Conductor layer 1308 is to be used as, for example, a bitline or a wordline to couple a row or column of memory devices together. Next, a stack 13 10 of films from which the first level of pillars is to be fabricated is blanket deposited over conductor 1308 as shown in FIG. 13A. For example, in one embodiment the pillar is to comprise an N+ source/drain region, a P− silicon body, and an N+ silicon source/drain region. A suitable film stack 1310 can be formed by first blanket depositing an amorphous silicon film by chemical vapor deposition (CVD) which is in situ doped with N type impurities to a doping density between 1×1019 to 1×1021, preferably 1×19 to 1×1020, atoms/cm3. Next, a P− silicon film is deposited over the N+ silicon film 1312, by for example, depositing an amorphous silicon film by chemical vapor deposition and which is in situ doped with P type impurities (e.g., boron) to a dopant density of between 1×1016 to 1×1018 atoms/cm3. An N+ silicon film 1316 is then blanket deposited over P− silicon body 1314 by depositing a amorphous silicon film by chemical vapor deposition and in situ doping it to a level between 1×1019 to 1×1021, preferably 1×1019 to 1×1020, atoms/cm3. The amorphous silicon films can then be converted into polycrystalline silicon through a subsequent anneal. Alternative to in situ doping, the stack of films can be deposited as undoped silicon and then implanted or diffused with dopants.
  • It is to be appreciated that other memory devices in accordance with the present invention can be fabricated by depositing appropriate film stacks to achieve their pillar configurations such as metal/silicon/metal strip to form a device 400 as shown in FIG. 4, a P+/P−/N+ stack to form a device 500 as shown in FIG. 5, as well as an N+/SiO2/N+ stack to form a device 300 as shown in FIG. 3A. Next, as shown in FIGS. 14A and 14B the blanket deposited film stack 1310 and metal conductor 1308 are patterned utilizing well-known photolithography and etching techniques to form a plurality of pillar strips 1318. The films of the deposited film stack 1310 and metal conductor 1308 are etched in alignment with one another and form strips with vertical sidewalls.
  • Next, as shown in FIG. 15A and 15B, if desired, the substrate can be subjected to threshold adjusting ion implantation steps in order to alter the doping density of the surface or face of the P type silicon region on each strip. That is, at this time, a first ion implantation step 1315 can be used to implant one surface of pillar 1318 with P type dopants to increase its P type doping density or can be implanted with N type dopants to counterdope and decrease its P type doping density. Similarly, after the first implant 1315, the substrate can be rotated and subjected to a second ion implantation step 1317 to alter the doping density of the opposite side or face of pillars strips 1318. The threshold adjustment implants should be of a sufficient dose to sufficiently alter the threshold voltage of each face so as to be able to sufficiently distinguish or sense different read currents associated with each face. The angle of the ion implantation step is chosen so that the bulk of the implantation occurs into the surface of the P type body 1314. The angle of the implant is dependent upon the strip height as well as on the spacing between strips 1314.
  • Next, as shown in FIG. 16A and 16B, tunnel dielectric 1320 is formed over the sidewalls and the top of strip 1318 as well as on substrate 1300 between strips 1318. Tunnel dielectric can be an oxide, a nitride, a oxynitride, or other suitable dielectric. The tunnel dielectric 1320 is preferably deposited utilizing a plasma deposition or growth process at a temperature of less than 750° C. and preferably less than 600° C. The tunnel dielectric 1320 is formed to a thickness and quality to prevent breakdown and leakage at operating conditions. Next, as also shown in FIGS. 16A and 16B, a floating gate material 1322 is blanket deposited over tunnel dielectric 1320. In a preferred embodiment of the present invention, the floating gate material is formed of nanocrystals.
  • Silicon nanocrystals can be formed by depositing silicon in a manner whereby silicon has a very high surface diffusivity relative to its sticking coefficient. For example, silicon nanocrystals can be formed by chemical vapor deposition (CVD), by decomposing silane (SiH4) at a very low pressure, between 1 millitorr to 200 millitorr, at a temperature between 250-650° C. In such a process, a very thin deposition, between 50-250 Å, will form little islands 1322 of silicon. If H2 is included with silane during the deposition, higher pressures can be utilized and still obtain nanocrystals. In an alternative embodiment of the present invention, metal nanocrystals such as aluminum nanocrystals, can be formed by sputtering from a metal target at a temperature near the melting temperature of the metal, so that the metal agglomerates and forms nanocrystals. Tungsten nanocrystals can be formed by chemical vapor deposition utilizing a reactant gas mix comprising a tungsten source gas such as WF6 and germane (GeH4). In still yet another embodiment of the present invention, a continuous film of floating gate material can be deposited and then caused to precipitate (by heating) to cause islands to form in the film.
  • It is to be appreciated that although nanocrystals are preferred for the floating gate because of their self isolating quality, the floating gate can be formed from a continuous film such as, but not limited to, a metal such as tungsten or a silicon film such as polycrystalline or amorphous silicon doped to the desired conductivity type (typically N+ silicon for an N+/P−/N+pillar). If a continuous film is used as floating gate material 1322, the film 1322 would be anisotropically etched at this time to remove the portion of the floating gate material 1322 between strips 1318 to electrically isolate the strips.
  • Next, as also shown in FIGS. 16A and 16B, a control gate dielectric 1324 is blanket deposited over and onto floating gate material or nanocrystals 1322. The control gate dielectric 1324 is a deposited dielectric of, for example, an oxide or oxynitride film formed by a plasma enhanced deposition process to reduce the deposition temperature. The control gate dielectric 1324 has a thickness similar to the tunnel dielectric 1320 but slightly, e.g., 20-30 Å, thicker. The control gate dielectric 1324 is used to isolate the floating gate from a subsequently formed control gate. The thickness and quality of the control gate dielectric depends upon the program threshold voltage for programming and unprogramming the memory cell. As discussed above, the thickness of the tunnel dielectric as well as the thickness of the P type silicon body or channel are dependent upon the programming voltage desired.
  • Next, as shown in FIGS. 17A and 17B, a control gate material 1328 is blanket deposited on and over strips 1318. The control gate material is formed to a thickness at least sufficient to fill the gaps between adjacent strips. Typically, a conformal film deposited to a thickness of at least one-half the width of the gap 1330 will ensure complete filling of gap 1330. In an embodiment of the present invention, the control gate material 1328 is a doped polycrystalline silicon film formed by chemical vapor deposition. Alternatively, the control gate can be formed from other conductors such as a blanket deposited tungsten film formed by chemical vapor deposition utilizing WF6. Next, as shown in FIGS. 18A and 18B, the control gate film 1328 is planarized back by for example, chemical mechanical polishing until the top surface of the control gate is substantially planar with the control gate dielectric on the top of strips 1318. A plasma etch process is then utilized to recess 1331 the top surface of the control gate material below the top surface of strips 1318 and preferably to slightly above the top source/body junction (e.g., junction of N+ silicon film 1316 and P− silicon film 1314) as shown in FIG. 18A. The control gate dielectric 1324 on the top of strips 1318 protects strips 1318 from etching during the recess etch. After the recess etch, control gates 1332A and B have been formed.
  • Next, an interlayer dielectric (WLD) 1334 such as an oxide, is blanket deposited over the top of strips 1318 as well as on and into recesses 1331 over control gate 1332. The deposited oxide layer 1334, as well as the control gate dielectric, the nanocrystals, and tunnel dielectric on the top of strips 1318 are then polished or etched back as shown in FIGS. 19A and 19B to reveal and open the surface of the top source/drain region (e.g., N+ film 1316) of each pillar strip 1318.
  • Next, as shown in FIGS. 20A and 20B, a second conductor layer 1336 is blanket deposited over and in contact with the top source/drain region (N+ source/drain region 1316) as well as over and onto ILD 1334. The second conductive layer 1336 will be used to form a second input/output (e.g., a bitline or a wordline) for the first level of memory devices and will be used to form a first input/output (e.g., a wordline or a bitline) for the second level of memory devices. Second conductive layer 1336 can be formed of materials and to thicknesses similar to first conductive layer 1308.
  • Next, a film stack 1338, such as an N+/P−/N+ stack, used to form the second level of pillars, is blanket deposited over second conductive layer 1336 as shown in FIGS. 20A and 20B. The film stack 1338 can be formed with the same materials and to the same thickness as used for film stack 1310. Alternatively, if a different type of memory device is desired, then a film stack corresponding to that device type would be formed.
  • Next, as illustrated in FIG. 21A and 21B, the second pillar stack 1338 and the second conductive layer 1336 are patterned with well-known photolithography and etching techniques to form a plurality of second pillar strips 1340 orthogonal or perpendicular to the first plurality of pillar strips 1318. It is to be appreciated that the films of the second pillar stack 1338 and the second conductive layer 1336 are etched in alignment with one another to form a strip with substantially vertical sidewalls.
  • FIGS. 22A and 22B show the substrate of FIGS. 21A and 21B rotated 90°.
  • Once the second pillar film stack 1338 and second conductor 1336 have been patterned by etching into a strip 1340, the etch is continued to remove the portion 1341 of the first pillar strips 1318 not covered or masked by the second pillar strips 1340 as shown in FIGS. 23A and 23B. The etch is continued until the first conductive layer 1308 is reached. In this way, as shown in FIGS. 23A and 23B, a first level of square or rectangular pillars 1342 have been formed from first pillar strips 1318 at the intersections or overlaps of the first and second I/O 1308 and 1336 (shown as M1 and M2 in FIG. 23A). In an embodiment of the present invention square pillars having a width of less than 0.18 μm are formed. It is to be appreciated that the etch step preferably uses an etch that can selectively etch the pillar strip with respect to the ILD 1334 and the tunnel and control gate dielectrics. For example, if the pillar comprises doped silicon and the ILD and the tunnel and control gate dielectrics are oxides, then a plasma etch utilizing Cl2 and HBr can etch silicon without significantly etching the oxide ILD or tunnel and control gate dielectrics. It is to be appreciated that ILD 1334 protects the underlying silicon control gate 1332 from being etched as shown in FIG. 23C. Additionally, the purpose of ILD 1334 is to electrically isolate control gates 1332 from subsequently formed control gates for the second level of pillars.
  • At this time, if desired, the substrate can be subjected to successive ion implantation steps to alter the doping density of each newly revealed surface of P type body 1314 of pillar 1342 (see FIG. 23A) in order to alter the doping density of each face and therefore the threshold voltage of each face.
  • Next, as shown in FIG. 24, a tunnel dielectric 1344, a nanocrystal floating gate material 1346, and a control gate dielectric 1348 are each successively blanket deposited over substrate 1300 to form a tunnel dielectric/floating gate/control gate on the sidewalls of pillar devices 1342 as well as along the sidewalls of the second pillar strip 1340 (see FIG. 23A). This film stack also forms along the top surface of the second pillar strips 1340 as well as on the first conductor 1308 between the first level of pillars 1342 and on ILD 1334.
  • The floating gate material need not be anisotropically etched to remove floating gate material from gaps 1343 between adjacent pillars 1342 in order to isolate the pillars because although the floating gate material is conductive the non-continuous nature of the nanocrystals provides isolation between the pillars. In this way, the tunnel dielectric, floating gate, and control gate dielectric can be used to isolate a subsequently formed control gate from the first metal conductor. Additionally, because the floating gate 1346 is formed from nanocrystals, it is self isolating from the floating gate positioned directly above in Level 2 even though they have been formed at the same time.
  • Next, as shown in FIG. 25A a control gate 1350 is formed between second pillar strip 1340 as well as in the gaps 1343 between pillars 1342. The control gate can be formed as discussed above with respect to FIGS. 17-20 whereby a control gate film, such as doped polysilicon, is blanket deposited to fill the gaps 1343 between adjacent pillars 1342 as well as the gaps between second pillar strips 1340. Optionally, the control gate film would then be polished and recessed back below the top surface of the N+ source/drain regions and a second ILD 1352 formed in the recesses as shown in FIG. 25A to allow additional layers to be added. ILD 1352, the tunnel dielectric/floating gate/control gate dielectric on the top of the second pillar strip 1340 would then be polished back to reveal the top N+ source/drain regions of strips 1340.
  • At this point, the fabrication of the first level of memory devices is complete.
  • Each pillar 1342 on the first level includes a separate floating gate and control gate on each face of the pillar for a total of four independently controllable charge storage regions as shown in FIG. 26. That is, as illustrated in FIG. 26, pillar 1342 contains a first pair of control gates 1332A and B formed along laterally opposite sidewalls of the pillar 1342. The control gates 1332A and B are each also shared with the horizontally adjacent pillars. Pillar 1342 also contains a second pair of control gates 1350A and B formed along laterally opposite third and fourth faces of pillar 1342. Each control gate 1350 will be shared with the subsequently formed pillar memory device position vertically above, in Level 2, as well as with horizontally adjacent pillars 1342 in the same level. Because pillar 1342 contains four independently controllable control gate and four associated and isolated floating gates, each pillar memory device 1342 is able to store multiple states.
  • The process as described with respect to FIGS. 20-25 can be repeated again to complete the fabrication of memory devices on the second level and to begin the fabrication of the memory device on the third level. That is, as shown in FIGS. 27A and 27B (FIG. 26 rotated 90°) the steps of FIGS. 20-25 can be repeated to form third pillar strips 1360 orthogonal to the second pillar strips 1340 which are used to pattern the second pillar strips 1340 into a plurality of second pillars 1362 on a second level and to form a second pair of control gates 1364 adjacent to the second pillars.
  • In this way, a second level of memory pillars 1362 are fabricated which contain four independently controllable control gates and four associated and isolated floating gates. A first pair of control gates 1350A and B are formed along laterally opposite sidewalls of the second level of pillars 1362 and are shared with memory pillar 1342 located on the first level as well as with horizontally adjacent cells. A second pair of control gates 1364A and B are formed along the third and fourth laterally opposite faces of the second level of pillars 1362 and are shared with the subsequently formed pillars in the third level of the memory array.
  • The above described processes can be repeated as many times as desired to add additional levels of pillar memory to the array. The final level of memory cells can be patterned from a pillar stack strip while patterning the final I/O.
  • Although the three terminal memory pillar devices of the present invention have been shown integrated into a three dimensional memory array in a specific preferred embodiment, it is to be appreciated that other methods may be utilized to fabricate a three dimensional memory array without departing from the scope of the present invention.
  • 2. Memory Cells Utilizing a Charge Storage Medium Located Above or Below a Semiconductor Region
  • In FIG. 29A, the cell comprises a diode and a stack comprising regions 2921, 2922 and 2923. The region 2921 comprises a first dielectric region and the region 2923 comprises a second dielectric region. Disposed between these regions is a storage region 2922 which is used to trap charge. It is primarily this region that retains charge and thus provides the “memory” of the cell. As will be described below, charge can be electrically placed within the region 2922, electrically sensed and electrically removed from the region 2922.
  • The region 2921 comprises an oxide with a thickness, typically between 1-5 nm, and preferably 2-3 nm. In one embodiment, the region 2921 is referred to in this application as a tunnel dielectric. The region 2922 is a region that stores trapped charge, as known in the prior art such as a nitride region (discussed in more detail below). In one embodiment, the region 2922 is referred to in this application as a storage dielectric. The region 2923, which may comprise an oxide, acts as a barrier for retaining a trapped charge and in one embodiment is referred to in this application as a blocking dielectric. It may have thicknesses similar to those of region 2921.
  • Because electrons carry the forward current in the diode once punch through occurs, these are the species that are trapped at the tunnel dielectric-storage dielectric interface 2925 and within the region 2922. Note that these electrons are of a polarity to encourage the premature inversion of the N region at the interface region 2921. Thus, stored electrons reduce the voltage at which first appears the negative-resistance portion of the cell's characteristic, see curve 2926 versus curve 2927 of FIG. 29B.
  • In one embodiment, programming consists of applying a sufficient forward bias to the diode to cause the device to conduct and allowing forward current to persist long enough for sufficient charge to become trapped thereby shifting the voltage threshold from the peak forward voltage shown for curve 2927 to the peak forward voltage shown for curve 2926. While throughout the discussion that follows, binary programming is discussed, multiple bits may be stored per cell by employing multiple values of threshold shifts. By analogy, some flash memories store 2-4 bits per cell or even more.
  • Reading (sensing) may be performed by applying a forward voltage that falls between the peaks 2928 and 2929. If current in excess of a predetermined threshold value flows, the cell is programmed; if conduction does not occur it is not programmed. The conduction that does flow through a programmed cell during a read operation reinforces the trapped charge.
  • Erasing is accomplished by applying a sufficient reverse bias to the memory cell that electrons tunnel out of the traps, through the blocking oxide 2923 or through the flow of holes so as to neutralize the trapped electrons. This action necessarily requires the diode to operate in breakdown, so the erase voltage will require at least the lower end of a breakdown voltage.
  • A. Two Terminal Cell in a Substrate
  • Referring to FIG. 30, a first embodiment of the invented memory cell is illustrated disposed in a p-type substrate 2930. A diode (steering element of the cell) is formed in the substrate comprising an n− region 2932, doped, for instance to a level of 5×1016-1018 cm−3, and a p+ region 2931, doped to >1019 cm−3 formed within the n− region 2932. These regions may be formed with well-known methods such as diffusion or ion implantation.
  • A storage stack comprising a dielectric (e.g., oxide) region 2933, trapping layer 2934 and a second dielectric (e.g., oxide) region 2935 is formed on the region 2932.
  • The dielectric region 2933 may be a grown oxide layer or a deposited silicon dioxide region. When comprising oxide, this region may be 1-5 nm thick. Ordinary processing may be used to form these regions.
  • The trapping region 2934 and the other trapping regions discussed in this application may be formed from a compound of nitrogen as well as other materials. In the prior art, silicon nitride (nitride) was most commonly used for this purpose. Other layers that may be used that have compounds of nitrogen are oxynitride (ON) and oxide-nitride-oxide (ONO). Other materials, alone or in combination, that exhibit charge trapping characteristics can be used. For instance, alumina (Al2O3) and silicon dioxide with insulated regions of polysilicon exhibit these characteristics. The trapping region is generally between 2-20 nm thick, and preferably 3-10 nm thick.
  • The regions 2933 and 2934 have thicknesses determined by factors well-known in the art for SONOS memories. For example, the tunnel dielectric region needs to be thin enough to permit tunneling without excess voltage drop and to provide longevity, while the trapping dielectric region must be thick enough not to allow significant spontaneous detrapping of charge. As mentioned above, typical thicknesses are in the range of 1-5 nm, and preferably 2-3 nm for the oxide region 2933 and 3-10 nm for the trapping region where nitride is used.
  • The layer 2935 is an oxide or other dielectric region which may have the same thickness as region 2933. Other dielectrics that may be used include perovskites, ceramics, diamond (and diamond-like films), silicon carbide, and undoped silicon (including polysilicon). This region may be formed by well-known deposition techniques. The region 2933, as previously mentioned, is referred to as a tunnel dielectric layer and is responsible, at least in part, for the negative-resistance characteristics previously discussed. The layer 2935, on the other hand, prevents trapped charge from region 2934 from leaking to, for instance, contact 2938. Hence, layer 2935 is sometimes referred to as the blocking dielectric.
  • The storage stack comprising regions 2933, 2934 and 2935 may be fabricated in a single, continuous process where, for instance, gas mixtures in a deposition chamber are altered to first provide oxide then nitride and finally oxide again. Because of the relative thinness of these regions, the entire stack may be laid down in a matter of seconds.
  • To operate the cell of FIG. 30 first assume that upon manufacturing the trapping layer is neutral, that is, there is no trapped charge in the trapping region 2934. To place charge in the region 2934 the anode contact 2937 is brought to a positive potential relative to the contact 2938 in order to forward bias the diode defined by the regions 2931 and 2932 until the potential reaches the voltage 2929 shown in FIG. 29B. Now tunneling occurs through the oxide 2933 as well as the oxide 2935 and charge is trapped within the region 2934. The amount of charge trapped depends on total current flow and the trapping efficiency of the region 2934.
  • To sense the presence of this charge, a potential is applied between lines 2937 and 2938 again to forward bias the diode defined by regions 2931 and 2932. However, this time the potential is in a range greater than the voltage 2928 shown in FIG. 29B but less than the voltage 2929. If current in excess of a predetermined threshold flows, then it is known that charge is trapped in the region 2934. On the other hand, if such current flow does not occur, it is known that little or no charge has been stored in the layer. In this way it can be determined whether the cell is programmed or not programmed for the binary data case. As previously mentioned, different levels of charge may be placed in the trapping layer 2934, and the voltage at which said current flow occurs (say between voltages 2928 and 2929) can be determined. This corresponds to the amount of charge in the layer 2934 that can be used to provide more than one bit of data from an individual cell.
  • It should be noted that during a read operation the read current passes through a programmed cell, and then passes through the region 2933, trapping region 2934 and the oxide region 2938. This is unlike the typical sensing that occurs where trapped charge is used to shift a threshold voltage in, for example, a field-effect transistor where the current does not pass through the trapped charge region itself when reading the state of the cell. As mentioned earlier, when the current does pass through the region 2934 for reading it, in effect, refreshes the cell; that is if the cell was originally programmed it will remain programmed when the data is read from the cell.
  • Care must be taken when reading data from the cell not to exceed a current represented by line 2924. If a current exceeds this limit, for example, 5000-10,000 amps/cm2, one or both of the oxide regions 2933 or 2935 may be permanently damaged and may likely provide a short circuit or open circuit.
  • To erase the data in the cell the diode is reverse biased: that is, the anode is brought negative relative to the cathode. When sufficient potential is applied, the diode breaks down and (e.g., avalanches, Zeners, or punches through) and strips the charge from the region 2934. It may be necessary to float the substrate 2930 during erasing to prevent forward biasing the junction between layer 2932 and the substrate 2930. Other isolation methods such as shallow-trench isolation (STI) or silicon-on-insulator (SOI) may be used as well.
  • B. Three Terminal Cell in the Substrate
  • In FIG. 31 the cell incorporates a field-effect transistor having a source and drain region and a gate 2946. Regions 2941 and 2942 are formed in alignment with gate 2946 in the substrate 2940 as is well-known in the art. A stack comprising an oxide region 2943, trapping region 2944 and oxide region 2945 are formed on region 2941. The regions 2943, 2944 and 2945 may be the same as regions 2933, 2934 or 2935 of FIG. 30.
  • In this embodiment, rather than forward biasing a diode, a positive potential is applied to gate 2946 and contact 2948 is maintained positive relative to contact 2947. This is done for programming and reading of the cell. To erase the cell, contact 2948 is negative relative to contact 2947, causing trapped charge to be removed from the region 2944. For both the embodiments of FIGS. 30 and 31 it may be more desirable in some memory arrays to erase an entire array at one time through the substrate by reverse biasing, say, the region 2941 and substrate 2940. If desired, the cells of FIGS. 30 and 31 may be formed above the substrate rather than in the substrate and/or stacked in three dimensions.
  • C. Three-Dimensional Embodiment Empltoying Rail-Stacks
  • In U.S. application Ser. No. 09/560,626, filed Apr. 28, 2000, and its co-pending continuation-in-part, U.S. application Ser. No. 09/814,727, filed on Mar. 21, 2001 both assigned to the assignee of the present invention and entitled “Three-Dimensional Memory Array Method of Fabrication,” a three-dimensional memory array fabricated on the substrate and employing rail-stacks is disclosed. The technology described in this patent application may be used to fabricate three-dimensional charge trapping or storage memories in accordance with the present embodiment of present invention, as discussed below.
  • In FIG. 32, three full levels of a memory array are shown, specifically levels 2950, 2951 and 2952. Each level comprises a plurality of parallel, spaced-apart rail-stacks. Rail- stacks 3 and 5 of FIG. 32 extend in a first direction and rail- stacks 4 and 6 extend in a second direction, typically perpendicular to the first direction. Each of the rail-stacks of FIG. 32 includes a conductor or input/output at the center of the rail stack and semiconductor regions disposed on both sides of the conductor. For the embodiment of FIG. 32, first alternate rail-stacks, for instance rail- stacks 3 and 5, are fabricated from n type polysilicon disposed on the conductors. The second alternate rail- stacks 4 and 6 have p-type polysilicon on the conductors.
  • More specifically, referring to rail stack 5, it includes the center conductor or input/output 2953, for instance, an aluminum or silicide conductor, n+ regions 2954 and 2956 disposed on both sides of the conductor and n− regions 2955 and 2957 disposed on the regions 2954 and 2956, respectively. The n+ regions may be doped to a level of >1019 cm−3 and the n− regions to a level of 5×1016-1018 cm−3. Rail- stacks 4 and 6 again include a conductor or input/output, such as conductor 2960 with p+ regions disposed on both sides of the conductor shown as p+ regions 2961 and 2962 for one of the rail-stacks. The fabrication of these regions and the entire set of rail-stacks is described in the above-referenced application, which is hereby incorporated by reference herein.
  • In the above-referenced application, a blanket layer of an anti-fuse material is used between the rail-stacks. With the present invention three blanket layers are used between each level of rail-stacks. Specifically, layers 2963 are disposed between the rail- stacks 5 and 6 and layers 2964 between the rail- stacks 4 and 5. The layers 2963 and 2964 correspond to the layers 2933, 2934 and 2935 of, for example, FIG. 30. Thus, layer 2964 comprises a dielectric (e.g., oxide) layer 2966 which may have a thickness of 1-5 nm, and preferably 2-3 nm, a trapping layer 2967 such as a silicon nitride layer which may have a thickness of 2-20 nm, and preferably 3-10 nm, and a dielectric (e.g., oxide) layer 2968 which may have a thickness similar to that of layer 2966. The materials described above for forming the regions 2933, 2934 and 2935 of FIG. 30 apply to the layers 2966, 2967 and 2968 of FIG. 32.
  • A cell in the array of FIG. 32 occurs at the intersection of the rail-stacks. For the embodiment of FIG. 32, the storage stack is disposed between the p and n regions of a diode. That is, the storage stack is embedded in the steering element. For example, conductor 2960 provides access to one of the cells through the p region 2961. The layers 2963 are disposed between the p region 2961 and n− region 2955. The other contact for this two terminal cell is through region 2954 onto conductor 2953.
  • The cells of FIG. 32 are programmed, read and erased in the same manner as described above for the cell of FIG. 30.
  • With the configuration of FIG. 32 the diodes in adjacent pairs of memory array levels “point” to a common conductor. More specifically, referring to FIG. 32, the illustrated cells at memory array level 2950 have their cathodes connected to conductor 2953. The illustrated cells in memory level 2951 also have their cathodes connected to conductors 2953. This simplifies fabrication, programming, reading and erasing since the conductor 2953 serves two sets of cells.
  • In the above-referenced application there are several embodiments having different rail-stack configurations that may be used to fabricate a three-dimensional array using a preferred storage stack of the present invention.
  • D. Three-Dimensional Embodiment Employing Pillar Diode Structures
  • In U.S. Pat. No. 6,034,882 a three-dimensional memory array is disclosed employing a plurality of levels, each level having parallel, spaced-apart conductors. The conductors at the alternate levels are perpendicular to one another. Pillar structures are formed at the intersection of a conductor in adjacent levels. The structures, as described in the patent, are formed in alignment with the conductors. The fabrication technology described in this patent may be used to fabricate memory arrays employing the cell having a charge storage or trapping region of the present embodiment.
  • Referring to FIG. 33 a single level of the three-dimensional memory is illustrated having a conductor or input/output 2981 at one level and a conductor 2980 at the next level in the array. A pillar structure is formed in alignment with the conductors 2980 and 2981. This pillar structure forms a cell in accordance with the present invention. Specifically, referring to FIG. 33, the cell includes a steering element comprising a junction diode comprising the p+ region 2982, n− region 2983 and the storage stack. As shown in FIG. 33 the storage stack comprises a tunnel oxide region 2984, a trapping region 2986 and a blocking oxide 2985.
  • As described in the above patent, the conductors 2980 and 2981 are shared with cells disposed above and below the single cell shown in FIG. 33.
  • FIG. 34 shows another embodiment where again there are spaced-apart, parallel conductors or input/output at one level such as conductor 2991 and parallel, spaced-apart conductors at the next level such as conductor 2990. A pillar structure is again fabricated between the conductors 2990 and 2991 as taught by the above-referenced patent. The difference, however, between the structure of FIGS. 33 and 34 is that the storage stack comprising the blocking oxide 2993, trapping region 2994 and tunnel oxide 2995 is disposed between the p and n regions of the diode. Specifically, the p+ region 2992 of the diode is in contact with the blocking oxide 2993 and the n− region 2996 is in contact with the tunnel oxide 2995.
  • The thicknesses of the various regions shown in FIGS. 33 and 34 and the doping for the polysilicon diode may be similar to embodiments previously discussed in this application. The programming, reading and erasing of the structures of FIGS. 33 and 34 are also performed as described above for the other embodiments. For the embodiments of FIGS. 32, 33 and 34 the array of cells is disposed above a substrate with the peripheral circuits being formed in the substrate.
  • II. Self-Aligned EEPROM TFT Array
  • Another cell configuration that differs from pillar configuration is the self aligned TFT. The present inventors have realized that memory and logic cell area is enlarged by misalignment tolerances that are put into place to guarantee complete overlap between features on different layers. Thus, the present inventors have developed a fully aligned memory or logic cell structure which does not require misalignment tolerances. Therefore, such a cell structure has a smaller area per bit (i.e., per cell) and uses fewer mask steps. The fully aligned cell structure increases array density and decreases die size and cost. Furthermore, by optionally stacking the cells vertically in the Z-direction, the array density is further increased, which leads to further decreases in the die size and cost.
  • As described with respect to the preferred embodiments of the present invention, there are several different ways of achieving a fully aligned or self-aligned memory or logic cell. In cases of memory or logic cells containing an EEPROM, full alignment may be achieved by self alignment of the word line to the control gate. Preferably, the word line extends substantially parallel to the source-channel-drain direction of the EEPROM, while the bit line extends substantially perpendicular to the source-channel-drain direction of the EEPROM. In this configuration, bit line contact pads (i.e., source and drain electrodes) and bit line contact vias are not required because the bit lines may be formed in self alignment with the EEPROM gate(s) directly on the source and/or drain regions of the EEPROMs. Furthermore, since the EEPROMs are fully self aligned, the bit and word lines may have a substantially planar upper surface, which improves the reliability of the device.
  • Preferably, the EEPROMs are TFTs arranged in a three dimensional virtual ground array (VGA) non volatile flash memory, where each vertically separated level is separated from an adjacent level by an interlayer insulating layer. However, the EEPROMs may be formed in a single level array or in a bulk semiconductor substrate. The preferred aspects of the present embodiment may also be applied to non volatile flash memory architectures other than VGA, e.g., to NOR-type memory and Dual String NOR (DuSNOR) memory. Furthermore, the present invention is not limited to TFT EEPROM flash memory arrays, and also encompasses other semiconductor devices within its scope. For example, the self aligned transistors may be MOSFETs in a bulk substrate or non-EEPROM TFTs formed over an insulating substrate. These self aligned transistors may be used as non-flash EEPROMs (i.e., EEPROMs where each transistor is erased separately), UV erasable PROMs (EPROMs), mask ROMs, dynamic random access memories (DRAMs), liquid crystal displays (LCDs), field programmable gate arrays (FPGA) and microprocessors.
  • FIGS. 37-44 illustrate a method of making a TFT EEPROM nonvolatile flash memory array 4001 according to the first preferred embodiment of the present invention.
  • First, a substrate having an insulating surface (i.e., a Silicon-On-Insulator (SOI) substrate) is provided for the formation of the memory array. The substrate may comprise a semiconductor (i.e., silicon, GaAs, etc.) wafer covered with an insulating layer, such as a silicon oxide or nitride layer, a glass substrate, a plastic substrate, or a ceramic substrate. In a preferred aspect of the first embodiment, the substrate is a monocrystalline bulk silicon substrate that has received prior processing steps, such as forming CMOS (complementary metal oxide semiconductor) transistors in the substrate. The CMOS transistors may comprise peripheral or driver circuitry for the memory array. In the most preferred aspect, the circuitry comprises row and column address decoders, column input/outputs (I/O's), and other logic circuitry. However, if desired, the driver circuitry may be formed on an insulating substrate, such as a silicon-on-insulator substrate, a glass substrate, a plastic substrate, or a ceramic substrate. The silicon-on-insulator substrate may be formed by any conventional method, such as wafer bonding, Separation by Implantation of Oxygen (SIMOX), and formation of an insulating layer on a silicon substrate. After the peripheral circuitry is completed, an interlayer insulating layer 4003 is conformally deposited over the circuitry as shown in FIG. 37. The interlayer insulating layer 4003 may comprise one or more of any suitable insulating layers, such as silicon oxide, silicon nitride, silicon oxynitride, PSG, BPSG, BSG, spin-on glass and/or a polymer dielectric layer (such as polyimide, etc.). The interlayer insulating layer 4003 is preferably planarized using chemical-mechanical polishing (CMP), but in other embodiments can be planarized by etch back and/or any other means.
  • A semiconductor active area layer 4005 is then deposited over the insulating layer 4003 to complete the SOI substrate. The semiconductor layer will be used for the transistor active areas. Layer 4005 may have any desired thickness, such as 20 to 120 nm, preferably 70 nm, and is chosen so that in depletion regime the space charge region below the transistor gate extends over the entire layer. Preferably, the semiconductor layer 4005 comprises an amorphous or polycrystalline silicon layer doped with first conductivity type dopants. For example, layer 4005 may be p-type doped by in-situ doping during deposition, or after deposition by ion implantation or diffusion.
  • If desired, the crystallinity of the semiconductor layer 4005 may be improved by heating the layer 4005. In other words, an amorphous silicon layer may be recrystallized to form polysilicon or a grain size of a polysilicon layer may be increased. The heating may comprise thermal or laser annealing the layer 4005. If desired, catalyst induced crystallization may be used to improve the crystallinity of layer 4005. In this process, a catalyst element such as Ni, Ge, Mo, Co, Pt, Pd, a silicide thereof, or other transition metal elements, is placed in contact with the semiconductor layer 4005. Then, the layer 4005 is thermally and/or laser annealed. During the annealing, the catalyst element either propagates through the silicon layer leaving a trail of large grains, or serves as a seed where silicon crystallization begins. In the latter case, the amorphous silicon layer then crystallizes laterally from this seed by means of solid phase crystallization (SPC).
  • It should be noted that the deposition of amorphous or polysilicon layer 4005 may be omitted if a single crystal SOI substrate is used. In this case, using the SIMOX method, oxygen ions are implanted deep into a single crystal silicon substrate, forming a buried silicon oxide layer therein. A single crystal silicon layer remains above the buried silicon oxide layer.
  • Next, the surface of the active area layer 4005 is preferably cleaned from impurities and a native oxide is removed. A charge storage region 4007 is then formed on the layer 4005. In the first preferred embodiment of the present invention, the charge storage region 4007 comprises an oxide-nitride-oxide (ONO) dielectric triple layer. This dielectric comprises a first (bottom) SiO2 layer, also called a tunnel oxide, a charge storage Si3N4-xO1.5x layer, where x is 0 to 1, and a second (top) SiO2 layer, also called a blocking oxide. The tunnel oxide is either grown by thermal oxidation on the active area layer 4005, or deposited over the active area layer by atmospheric pressure, low pressure or plasma enhanced chemical vapor deposition (APCVD, LPCVD or PECVD) or other means. The tunnel oxide has a thickness of 1.5 nm to 7 nm, preferably 4.5 nm. The charge storage silicon nitride or silicon oxynitride (Si3N4-xO1.5x) layer is deposited over the tunnel oxide, and its thickness is at least 5 nm, preferably 5-15 nm, most preferably 6 nm. The blocking oxide layer is arranged on the surface of the charge storage layer and has a thickness of 3.5 nm to 9.5 nm, preferably 5.0 nm. The charge storage and blocking layers may be deposited by APCVD, LPCVD, PECVD, or other means, such as sputtering.
  • It should be noted that different materials and different layer thicknesses may be used as desired. For example, the charge storage layer need not necessarily be formed from Si3N4-xO1.5x. For example, in an alternative aspect of the first embodiment, the charge storage layer may be formed from a plurality of electrically isolated nanocrystals, such as silicon, tungsten or aluminum nanocrystals dispersed in a silicon oxide, nitride or oxynitride insulating layer. If a nanocrystal charge storage layer is used, then the tunnel and/or the blocking oxide layers may be omitted if desired.
  • After the charge storage region 4007 (i.e., the ONO dielectric) formation, a first gate layer 4009 is deposited over the charge storage region. The first gate layer 4009 may comprise any conductive layer, such as n+-doped polysilicon. Such a polysilicon layer may have any appropriate thickness, such as 50 to 200 nm, preferably 100 nm, and any appropriate dopant concentration, such as 1019-1021 cm−3, preferably 1020 cm−3.
  • If desired, an optional protective layer 4011, such as a protective silicon oxide layer, is formed on the surface of the first gate layer 4009. Layer 4011 may have any appropriate thickness, such as, for example 3-10 nm, preferably 5 nm. Materials other than silicon oxide may be used for layer 4011, if desired.
  • A sacrificial blocking layer 4013 is then deposited over the protective layer 4011.
  • In a preferred aspect of the first embodiment, the blocking layer is made of any conductive or insulating material which may be selectively etched with respect to other layers of the device. Preferably, the blocking layer 4013 comprises a silicon nitride layer. The blocking layer may have any thickness. Preferably the blocking layer 4013 has the thickness that is desired for the whole control gate or an upper part of a control gate, as will be described in more detail below. For example, layer 4013 has a thickness of 100 to 250 nm, preferably 160 nm. FIG. 37 shows the device cross section at this stage of processing.
  • Next, a bit line pattern is transferred to the in process device wafer or substrate using a reverse bit line mask, as shown in FIG. 38. In this mask, clear areas define the bit lines, and the opaque (i.e., dark) areas define the space between the bit lines. For example, a positive photoresist layer (not shown in FIG. 38) is formed over the blocking layer 4013 and then exposed through the reverse bit line mask and developed. Of course, if a negative photoresist is used, then the clear and the opaque areas of the mask are reversed.
  • The mask features are etched into the blocking nitride 4013, the protective oxide 4011, and the first gate layer 4009, using the photoresist layer as a mask, to form a plurality of gate stacks 4015. The ONO dielectric 4007 serves as an etch stop layer. Then, the photoresist layer is stripped from the patterned gate stacks 4015. The photoresist may be removed after the blocking nitride 4013 is etched, in which case the nitride may be used as a hard mask for etching the first gate layer 4009. The gate stacks 4015 include a patterned first gate electrode 9, an optional protective oxide 4011 and a patterned blocking layer 4013. If desired, a thin layer of silicon nitride, oxynitiride or oxide is grown to seal the first gate electrode 4009 sidewalls.
  • Transistor source and drain regions 4017 are formed by self-aligned ion implantation, using the gate stacks 4015 as a mask. The photoresist layer may be left on the gate stacks during this implantation or removed prior to the implantation. The ion implantation is carried out through the ONO dielectric 4007. However, if desired, the portions of the ONO dielectric 4007 between the gates 4009 may be removed prior to the ion implantation.
  • Channel regions 4019 of the active layer 4005 are located below the gate electrodes 4009. The regions 4017 are doped with a second conductivity type dopant different from the first conductivity type dopant of the channels 4019. Thus, if the channels 4019 are p-type doped, then the source and drain regions 4017 are n-type doped, and vice-versa. FIG. 38 shows the device at this stage in the processing.
  • It should be noted that in a memory array, the designations “source” and “drain” are arbitrary. Thus, the regions 4017 may be considered to be “sources” or “drains” depending on which bit line a voltage is provided. Furthermore, since no field oxide regions are preferably used in this memory array, each region 4017 is located between two gate electrodes 4009. Therefore, a particular region 4017 may be considered to be a “source” with respect to one gate 4009, and a “drain” with respect to the other gate 4009.
  • Next, gate stack sidewall spacers 4021 are formed on the sidewalls of the gate stacks 4015, as shown in FIG. 39. Preferably, the spacers 4021 comprise silicon oxide, if the blocking layer 4013 comprises silicon nitride. However, the spacers may comprise any material which allows the blocking layer 4013 material to be selectively etched without substantially etching the spacers 4021. For example, the spacers 4021 may comprise silicon nitride if the blocking layer 4013 comprises silicon oxide. The spacers 4021 are preferably formed by conformal deposition of a silicon oxide layer over the stacks 4015, followed by an anisotropic oxide etch. The spacer etch process concludes with an etch process for the ONO dielectric to expose the source and drain regions 4017. Doping in the source and drain regions 4017 may be increased at this time by additional self-aligned ion implantation, using the gate stacks 4015 and spacers 4021 as a mask, if desired. If so, the implantation before spacer formation may be used to form lightly doped source/drain (LDD) extensions.
  • The salicide process is then used to form silicide regions 4023 in the silicon source and drain regions 4017 in a self-aligned fashion. The salicide process comprises three steps. First a layer of metal, such as Ti, W, Mo, Ta, etc., or a transition metal such as Co, Ni, Pt or Pd is blanket deposited over the exposed regions 4017, the sidewall spacers 4021 and the blocking layer 4013 of the gate stacks 4015. The device is annealed to perform a silicidation by direct metallurgical reaction, where the metal layer reacts with the silicon in regions 4017 to form the silicide regions 4023 over regions 4017. The unnreacted metal remaining on the spacers 4021 and the blocking layer 4013 is removed by a selective etch, e.g., by a piranha solution. The silicide regions 4023 and the doped silicon regions 4017 together comprise the bit lines 4025. FIG. 39 shows the device at this stage in fabrication.
  • A conformal insulating layer 4027 is then deposited to fill the trenches above the bit lines 4025 and between the sidewall spacers 4021. The insulating layer 4027 may comprise any insulating material, such as silicon oxide, silicon oxynitride, PSG, BPSG, BSG, spin-on glass, a polymer dielectric layer (such as polyimide, etc.), and/or any other desired insulating material that is different than the material of the blocking layer 4013. The insulating layer 4027 is then planarized using chemical-mechanical polishing (CMP), etch back and/or any other means to expose the upper surface of the silicon nitride blocking layer 4013 on the gate stacks 4015. FIG. 40 shows the device after the planarization step.
  • Next, the blocking silicon nitride layer 4013 is etched selectively without substantially etching the spacers 4021 and the insulating layer 4027. The protective oxide layer 4011, if present, is then removed by etching it from the upper surface of the first gate electrodes 4009 in the stacks 4015. These etching steps form a gate contact via 4029 above each gate 4009, as shown in FIG. 41. The width of the gate contact via 4029 is substantially the same as the width of the first gate electrode 4009 because the via sidewalls are the inner sidewalls of the sidewall spacers 4021. Therefore, the gate contact vias 4029 are self aligned to the gates 4009 because the vias 4029 are bounded by the sidewall spacers 4021 which extend above the gates 4009. No photolithographic masking steps are needed to form the gate contact vias 4029.
  • A second gate electrode conductive material 4031 is then deposited over the entire device, as shown in FIG. 42. Preferably, the material 4031 comprises a multilayer stack comprising a first n+-doped polysilicon layer 4033, a silicide layer 4035 (such as a TiSi or WSi, etc) and a second n+-doped polysilicon layer 4037. The polysilicon layers 4033 and 4037 are preferably 100-300 nm thick, such as 200 nm thick. The silicide layer 4035 is preferably 50 to 100 nm thick, such as 60 nm thick. Alternatively, the second gate material can also be formed from a single layer of silicide, metal, or any other combination of heavily doped amorphous or polycrystalline silicon, silicide, and metal that makes a good ohmic contact with the first gate electrodes 4009.
  • Next, a photoresist layer (not shown) is applied over the material 4031 and is exposed through the word line mask and developed. The photoresist layer is used as a mask to etch the second gate electrode material 4031 to form a plurality of word lines 4041. The ONO stack 4007 and the exposed active area layer 4005 are then etched using the word lines 4041 as a mask. The photoresist layer may be left on the word lines 4041 during this etching step or it may be removed prior to this etching step. The bottom insulating layer 4003 under the active area layer 4005 and the intergate insulating layer 4027 over the bit lines 4025 serve as etch stop layers. Thus, the second gate electrode material 4031 is patterned into a plurality of word lines 4041 which overlie the intergate insulating layer 4027 as shown in FIG. 43, and into upper portions 4043 of the first gate electrodes, where the material 4031 extends into the vias 4029, as shown in FIG. 44. FIG. 43 is a cross section along line A-A in FIG. 42 and FIG. 44 is a cross section along line B-B in FIG. 42. Therefore, the word lines 4041 are self aligned to the control gates 4009/4043, since a photolithography step is not required to align the word lines to the gates.
  • If desired, the exposed active area 4005 and gate electrode 4009/4043 sidewalls may be optionally sealed by growing a thin layer of silicon nitride or oxide on them, for example by thermal nitridation or oxidation. This completes construction of the memory array. An insulating layer is then deposited, and if necessary planarized, over the word lines 4041.
  • The word line photolithography step does not require misalignment tolerances, since the word lines are patterned using the same mask as the charge storage regions 4007 and the active layer 4005 (i.e., channel regions 4019) of each TFT in the cell. Therefore, the word lines 4041 are not only self aligned to the control gate 4009/4043 of the TFT EEPROM by being deposited in the self aligned vias 4029, but the word lines 4041 are also self aligned to the charge storage regions 4007 and the channel regions 4019 of each memory cell. By using a fully self aligned memory cell, the number of expensive and time consuming photolithography steps is reduced. Furthermore, since no misalignment tolerances for each cell are required, the cell density is increased. Another advantage of the device of the first embodiment is that since a thick intergate insulating layer 4027 is located between the bit lines 4025 and the word lines 4041, the parasitic capacitance and a chance of a short circuit between the bit lines and the word lines are decreased.
  • FIGS. 45 and 46 illustrate a method of making a TFT EEPROM nonvolatile flash memory array according to the second preferred embodiment of the present invention. The method of the second preferred embodiment is the same as that of the first embodiment illustrated in FIGS. 37-44, except that the sacrificial blocking layer 4013 is omitted.
  • FIG. 45 illustrates an in-process semiconductor device 4100 according to the second preferred embodiment. The device 4100 illustrated in FIG. 45 is at the same stage in processing as the device 4001 in FIG. 40. The device 4100 contains the interlayer insulating layer 4103, the active layer 4105, the charge storage region 4107 (e.g., an ONO stack or isolated nanocrystals), source and drain regions 4117, channel regions 4119, silicide regions 4123 and bit lines 4125.
  • The gate electrode 4109 of the device 4100 is made thicker than the gate electrode 4009 in the first embodiment. For example, the gate electrode 4109 may have any appropriate thickness, such as 160 to 360 nm, preferably 260 nm. Since the blocking 4013 layer is omitted, the gate sidewall spacers 4121 are formed on the patterned gate electrode 4109 covered by a protective silicon oxide layer (not shown) after the formation of the source and drain regions 4117. The sidewall spacers 4121 extend to the top of the gate electrode 4109. The silicide regions 4123 are then formed on the source and drain regions 4117 by depositing a metal layer and reacting the metal layer with the source and drain regions 4117. No silicide is formed on the gate electrode 4109, which is covered by the silicon oxide protective layer, and on the sidewall spacers 4121. The insulating layer 4127 is then deposited between the sidewall spacers 4121 and over the gate electrodes 4109. Preferably, the layer 4127 is silicon oxide, but may comprise any other insulating material, as in the first embodiment. Layer 4127 is then planarized to expose the upper surface of the gate electrode 4109. The insulating layer 4127 is preferably planarized by CMP, but may be planarized by etch back and/or any other means. During the planarization, the protective silicon oxide layer is also removed to expose the upper surface of the gate electrode 4109, as shown in FIG. 45.
  • Since the selective nitride blocking layer 4013 etch step is not performed in the second embodiment, the spacers 4121 may be composed of silicon nitride, rather than silicon oxide. Silicon nitride spacers are advantageous because they conform to the underlying topography better than oxide spacers. The spacers 4121 and the gate 4109 may act as a polish or etch stop during the planarization of layer 4127.
  • After the gate electrodes 4109 are exposed, the memory array of the second preferred embodiment is completed just like the array in the first preferred embodiment. As in the first embodiment, one or more conductive layers is/are deposited directly over the tops of the sidewall spacers 4121 and exposed gate electrodes 4109. For example, the conductive layers may comprise a silicide 4135 layer between polysilicon layers 4133 and 4137. As shown in FIG. 46, the conductive layer(s) is/are then patterned to form a plurality of word lines 4141, which contact the exposed gate electrodes 4109. During the same patterning step, the charge storage region 4107 and the active layer 4105 are also patterned, as in the first embodiment. Therefore, the word lines 4141 are self aligned to the control gate electrodes 4109, since a photolithography step is not required to align the word lines to the gates.
  • If desired, the exposed active area 4105 and gate electrode 4109 sidewalls may be optionally sealed by growing a thin layer of silicon nitride or oxide on them, for example by thermal nitridation or oxidation. This completes construction of the memory array. An insulating layer is then deposited, and if necessary planarized, over the word lines 4141.
  • The word line photolithography step does not require misalignment tolerances, since the word line is patterned using the same mask as the charge storage regions 4107 and the active layer 4105 of each TFT in the cell. Therefore, the word lines 4141 are not only self aligned to the control gate 4109 of the TFT EEPROM by being deposited directly over the exposed upper surfaces of the gates 4109 and spacers 4121, but the word lines 4141 are also self aligned to the charge storage regions 4107 and the channel regions 4119 of each memory cell. By using a fully self aligned memory cell, the number of expensive and time consuming photolithography steps is reduced. Since no misalignment tolerances are required, the cell density is increased. Furthermore, eliminating blocking nitride deposition and selective etch steps of the first embodiment, reduces the step count by three, which simplifies the process flow.
  • FIG. 47 illustrates a TFT EEPROM nonvolatile flash memory array 4200 according to the third preferred embodiment of the present invention. The device and method of the third preferred embodiment are the same as that of the first or the second embodiments illustrated in FIGS. 37-46, except that the charge storage region comprises an electrically isolated floating gate rather than the ONO stack or isolated nanocrystals as in the first or the second preferred embodiment.
  • As shown in FIG. 47, the non-volatile transistor (i.e., the TFT EEPROM) is constructed as a floating-gate field effect transistor. In this case, the dielectric triple layer consisting of the ONO stack or the oxide layer containing electrically isolated nanocrystals is replaced with a tunnel dielectric, such as tunnel silicon oxide layer 4206. The tunnel oxide 4206 has a thickness of 5 to 10 nm, preferably 7 nm. The tunnel oxide layer 4206 is formed over the active area 4205, as in the first and second embodiments.
  • The first gate electrode 4209 is formed and patterned on the tunnel oxide layer 4206, as in the first and second embodiments. However, in the third embodiment, the first gate electrode 4209 comprises a floating gate rather than a control gate. The floating gate 4209 is self-aligned to the transistor channel 4219, as in the first and second embodiments.
  • The device illustrated in FIG. 47 is at the same stage in processing as the device in FIG. 42. The device contains the substrate 4203, the source and drain regions 4217, channel regions 4219, sidewall spacers 4221 adjacent to floating gate 4209 sidewalls, silicide regions 4223, bit lines 4225 and insulating layer 4227.
  • The other deviation from the first and second embodiments is the formation of a control gate dielectric 4212 over the floating gate 4209, as shown in FIG. 47. The control gate dielectric may have any appropriate thickness, such as 8 to 20 nm, preferably 12 nm. The control gate dielectric 4212 may be grown on the control gate by thermal oxidation or deposited by CVD or other means. The control gate dielectric may comprise silicon oxide, silicon nitride, silicon oxynitride, or an ONO stack. The control gate 4243 and word lines 4241 are then deposited and patterned over the control gate dielectric 4212 as in the first and second preferred embodiments to complete the device shown in FIG. 47. The control gate dielectric 4212 and the control gate 4243 are located inside the sidewall spacers 4221.
  • FIGS. 48A-C and 49A-C illustrate two alternative preferred methods of making one TFT (i.e., one cell) in the device 4200 shown in FIG. 47. According to the first preferred method, a gate stack 4215 comprising a floating gate 4209, a protective layer 4211 and an optional sacrificial blocking layer 4213 are formed over the tunnel dielectric 4206. The source and drain regions 4217 are implanted into the active area 4205 using the gate stack 4215 as a mask, such that a channel region 4219 is formed below the tunnel dielectric 4206. Then, sidewall spacers 4221 are formed over the gate stack 4215. An insulating layer 4227 is formed adjacent to the spacers and planarized to expose the blocking layer 4213, as shown in FIG. 48A.
  • Then, as shown in FIG. 48B, the protective layer 4211 and the blocking layer 4213 are removed by etching. This forms the gate contact via 4229. The via 4229 sidewalls are the sidewall spacers 4221 which extend above the floating gate 4209.
  • A control gate dielectric 4212 is then formed, for example, by thermal oxidation, on the exposed floating gate 4209 inside the via 4229 as shown in FIG. 48C. Then, one or more conductive layers are deposited over the gate contact via 4229 and the insulating layer 4227. These layer(s) are patterned to form a control gate 4243 in the via 4229 and a word line 4241 above layer 4227. The control gate dielectric 4212 separates the control gate 4243 from the floating gate 4209.
  • According to the second preferred method, a gate stack 4215 comprising a floating gate 4209, the control gate dielectric 4212 and a sacrificial blocking layer 4213 are formed over the tunnel dielectric 4206. The source and drain regions 4217 are implanted into the active area 4205 using the gate stack 4215 as a mask, such that a channel region 4219 is formed below the tunnel dielectric 4206. Then, sidewall spacers 4221 are formed over the gate stack 4215. An insulating layer 4227 is formed adjacent to the spacers and planarized to expose the blocking layer 4213, as shown in FIG. 49A.
  • Then, as shown in FIG. 49B, the blocking layer 4213 is removed by etching to expose the control gate dielectric 4212. This forms the gate contact via 4229. The via 4229 sidewalls are the sidewall spacers 4221 which extend above the floating gate 4209 and the dielectric 4212. The blocking layer 4213 may consist of a heavily doped polysilicon, in which case it may be left in the via 4229, if desired.
  • As shown in FIG. 49C, one or more conductive layers are deposited over the gate contact via 4229 and the insulating layer 4227. These layer(s) are patterned to form a control gate 4243 in the vias 4229 and a word line 4241 above layer 4227. The control gate dielectric 4212 separates the control gate 4243 from the floating gate 4209.
  • In the methods of FIGS. 48A-C and 49A-C, the word line 4241 is self aligned to the control gate 4243, to the control gate dielectric 4212 and to the floating gate 4209.
  • FIG. 50 illustrates a TFT EEPROM nonvolatile flash memory array 4300 according to a first preferred aspect of the fourth preferred embodiment of the present invention. The device and method of the fourth preferred embodiment is the same as that of the third preferred embodiment illustrated in FIG. 47, except that the control gate dielectric is located above the sidewall spacers. Furthermore, the blocking layer 4213 is omitted. As shown in FIG. 50, the sidewall spacers 4221 extend to the top of the floating gate 4209, similar to the device of the second preferred embodiment. The control gate dielectric 4212 is deposited over the floating gates 4209, the sidewall spacers 4221, and the insulating layer 4227. The word line 4241 is then deposited and patterned over the control gate dielectric 4212, as in the first and second preferred embodiments. In the device of FIG. 50, the word line 4241 acts both as a word line and as a control gate. Thus, a separate control gate may be omitted. The word line 4241 is self aligned to the floating gates 4209. The word line 4241 may comprise one or more layers, such as the silicide layer 4235 between polysilicon layers 4233 and 4237.
  • FIG. 51 illustrates a TFT EEPROM nonvolatile flash memory array 4300 according to the second preferred aspect of the fourth preferred embodiment of the present invention. The device and method of this preferred aspect are the same as those illustrated in FIG. 50, except that an upper portion of the floating gate extends above the sidewall spacers. The device illustrated in FIG. 51 is at the same stage in processing as the device in FIGS. 47 and 50. As shown in FIG. 51, the device contains the interlayer insulating layer 4303, the tunnel dielectric 4306, the source and drain regions 4317, channel regions 4319, silicide regions 4323, bit lines 4325 and insulating layer 4327.
  • The device illustrated in FIG. 51 includes the processing steps illustrated in FIGS. 48A-B and described above. Thus, a lower portion of the floating gate 4309 is exposed in a gate contact via 4329 between the sidewall spacers 4321 which extend above the lower portion of the floating gate, similar to that shown in FIG. 48B. However, instead of forming a control gate dielectric 4312 in the via 4329, an upper portion of the floating gate 4310 is deposited in the via. The upper portion of the floating gate 4310 is formed by depositing a conductive layer, such as a doped polysilicon layer, over the vias 4329, the spacers 4321 and the insulating layer 4327, such that it contacts the exposed lower portion of the floating gate 4309 in the via 4329. The conductive layer is patterned using photolithography into an upper floating gate portion 4310 such that it extends vertically above the sidewall spacers 4321. Preferably, the conductive layer also extends horizontally above the spacers 4321. Thus, the upper gate portions 4310 have a “T” shape. Then, the control gate dielectric 4312 is formed on the exposed upper surface of the upper portion of the floating gate 4310 by thermal growth, CVD and/or various other deposition techniques (such as sputtering, etc.). One or more conductive layers 4333, 4335, 4337 are then deposited over the control gate dielectric 4312 and are patterned into word lines 4341. The conductive layers may be, for example, a silicide layer 4335 sandwiched between doped polysilicon layers 4333, 4337, as in the first preferred embodiment. In the fourth preferred embodiment, the word lines 4341 serve as the control gates of the TFTs. Since the top surface of the floating gate 4309/4310 in the fourth embodiment is larger than in the third embodiment, the area between the floating gate and the control gate/word line is increased in the TFT of the fourth embodiment compared to the third embodiment. The increase in area between the floating gate and the control gate/word line is advantageous because it increases the capacitive coupling between the floating gate and the control gate/word line.
  • In a preferred aspect of the fourth embodiment, the top surface of the upper portion of the floating gate 4310 is textured or roughened to further increase the capacitive coupling between the floating gate and the control gate/word line. For example, at least the upper portion of the floating gate 4310 may be made of hemispherical grain silicon (HSG), or the upper surface of the floating gate may be roughened by etching or coarse polishing. In other words, the upper portion of the floating gate may be textured or roughened similar to the texturing or roughening methods used to texture or roughen bottom conductive plates of DRAM capacitors.
  • While the first through fourth preferred embodiments describe and illustrate a TFT EEPROM nonvolatile flash memory array, the present invention should not be considered to be so limited. For example, rather than a self aligned word line in a TFT EEPROM array, any gate line may be self aligned to a MOSFET (i.e., metal oxide semiconductor field effect transistor) gate according to the preferred embodiments of the present invention. Furthermore, the EEPROM array may be formed in a bulk silicon substrate rather than over an interlayer insulating layer.
  • The first through the fourth preferred embodiments describe and illustrate a cross-point array of word lines and bit lines at a horizontal level and a method of making thereof. Each memory cell consists of a single programmable field effect transistor (i.e., TFT), with its source and drain connected to the jth bit line and the (j+1)st bit line, respectively, and a control gate being either connected to or comprising the kth word line. This memory arrangement is known as the NOR Virtual Ground (NVG) Array (also referred to as VGA). If desired, the memory array may also be arranged in non volatile flash memory architectures other than VGA, such as NOR-type memory or Dual String NOR (DuSNOR) memory, for example. The DuSNOR architecture, where two adjacent cell strings share a common source line but use different drain lines, is described in K. S. Kim, et al., IEDM-95, (1995) page 263, incorporated herein by reference. The DuSNOR memory may be fabricated using the same process as the VGA memory, except that an additional masking step is used to pattern the active area layer to separate the drain regions of adjacent cells. The process sequence of the first through third preferred embodiments of the present invention requires only two photolithographic masking steps.
  • One masking step is for gate patterning/self aligned bit line formation. The other masking step is for word line patterning. The methods of the preferred embodiments of the present invention exploit self-alignment to reduce alignment tolerances between the masks. The memory cell area achieved with the foregoing process is about 4 F2, where F is the minimum feature size (i.e. 0.18 microns in a 0.18 micron semiconductor process). The term “about” allows for small deviations (10% or less) due to non-uniform process conditions and other small deviations from desired process parameters. If the charge storage medium used in the transistor is not conductive, e.g., it is formed from nitride or oxy-nitride (i.e. using the ONO charge storage medium), or electrically isolated nanocrystals, the localized nature of charge storage can be exploited to store two bits per cell. In this case, the effective cell area per bit equals about 2F2.
  • The NVG array of the first through fourth preferred embodiments is very suitable for vertical stacking of horizontal planar NVG arrays. FIG. 52 illustrates a three dimensional memory array 4400 according to a fifth preferred embodiment of the present invention. The three dimensional memory array contains a three dimensional array of TFT EEPROMs made according to the first, second, third or fourth preferred embodiment. Each TFT EEPROM contains a channel 4419, source and drain regions 4417, a control gate 4443, control gate sidewall spacers (not shown for clarity in FIG. 52) and a charge storage region 4407 between the channel and the control gate 4409. The charge storage region may comprise an ONO dielectric, isolated nanocrystals or a floating gate.
  • The memory array also contains a plurality of bit line columns 4425, each bit line contacting the source or the drain regions 4417 of a plurality of TFT EEPROMs. The columns of the bit lines 4425 extend substantially perpendicular to the source-channel-drain direction of the TFT EEPROMs (i.e., a small deviation from the perpendicular direction is included in the term “substantially perpendicular”). It should be noted that the columns of the bit lines 4425 may extend substantially perpendicular to the source-channel-drain direction of the TFT EEPROMs throughout the entire array 4400 or only in a portion of the array 4400. The bit lines in each device level are shaped as rails which extend under the intergate insulating layer. The bit lines include the buried diffusion regions formed during the source and drain doping steps and the overlying silicide layers. The source and drain regions are formed in the bit lines where the word lines intersect (i.e., overlie) the bit lines and the doped regions are located adjacent to the EEPROM channel regions.
  • The memory array also includes a plurality of word line rows 4441. Each word line contacts the control gates 4443 of a plurality TFT EEPROMs 4400 (or the word lines comprise the control gates). The rows of word lines extend substantially parallel to the source-channel-drain direction of the TFT EEPROMs (i.e., a small deviation from the parallel direction is included in the term “substantially parallel”). It should be noted that the rows of the word lines 4441 may extend substantially parallel to the source-channel-drain direction of the TFT EEPROMs throughout the entire array 4400 or only in a portion of the array 4400. The plurality of word lines 4441 are self aligned to the control gates 4443 of the array of TFT EEPROMs (or the word lines themselves comprise the control gates). If floating gates, but not control gates are included in the array, then the word lines are self aligned to the floating gates and to the control gate dielectric.
  • Each device level 4445 of the array is separated and decoupled in the vertical direction by an interlayer insulating layer 4403. The interlayer insulating layer 4403 also isolates adjacent word lines 4441 and adjacent portions of the active areas 4405 below the respective word lines 4441 in each device level 4445. The effective cell area per bit in the resulting three dimensional memory array is about 2F2/N, where N is the number of device levels (i.e., N=1 for a two dimensional array and N>1 for a three dimensional array). The array of nonvolatile memory devices 4400 comprises a monolithic three dimensional array of memory devices. The term “monolithic” means that layers of each level of the array were directly deposited on the layers of each underlying level of the array. In contrast, two dimensional arrays may be formed separately and then packaged together to form a non-monolithic memory device.
  • Each cell in one level 4445 of the memory array can be formed using only two photolithographic masking steps. However, additional masking steps may be needed to form contacts to the bit lines 4425. In a sixth preferred embodiment of the present invention, a conductive layer is formed over the array of memory devices. The conductive layer is then patterned to form a plurality of word lines or word line contact layers and at least one bit line contact layer which contacts at least one of the plurality of the bit lines. Thus, a separate bit line contact deposition and patterning step may be avoided, since the same conductive layer may be patterned to form the word lines/word line contacts and the bit line contacts. Of course, if desired, the word lines/word line contacts and the bit line contacts may be made from different materials and/or patterned using different masks.
  • FIG. 53 illustrates a bit line contact 4447 according to one preferred aspect of the sixth preferred embodiment. In FIG. 53, a first doped polysilicon layer 4433 is formed over the inter-gate insulating layer 4427. A bit line contact via 4449 is then formed in the insulating layer 4427 in which a top portion of the bit line 4425 is exposed. A silicide layer 4435 and a doped polysilicon layer 4437 are then deposited, such that the silicide layer 4435 contacts the bit line 4425 through the via hole. The layers 4433, 4435 and 4437 are then photolithographically patterned using the same mask to form both the plurality of word lines 4441 and a plurality of bit line contacts 4447. An upper interlayer insulating layer 4403 is then formed over the word lines 4441 and bit line contacts 4447. Word line contact vias 4451 and bit line contact layer contact vias 4453 are formed in the insulating layer 4403 for formation of further contacts. It should be noted that the word lines 4441 and the bit line contact layer 4447 are not limited to the materials described. The layers 4441 and 4447 may comprise one or more polysilicon, silicide or metal layers. Furthermore, while the gate line 4441 and the contact 4447 are located in the same level of the device, the contact 4447 may extend into a lower level of the array to contact a bit line or a word line in the lower level of the array, if desired.
  • FIG. 54 illustrates a bit line contact 4547 according to another preferred aspect of the sixth preferred embodiment. In this embodiment, at least one bit line contact via 4549 extends through at least one interlayer insulating layer 4503 between different levels of the array. In FIG. 54, the word line 4541 is first patterned and an interlayer insulating layer 4503 is deposited thereon. Word line contact vias 4551 and bit line contact vias 4549 are formed in the insulating layer 4503. The bit line contact via 4549 extends through the intergate insulating layer 4527 to the bit line 4525, which comprises the doped region 4417 and the silicide region 4423.
  • Then one or more conductive layers, such as silicide layer 4555 and doped polysilicon layer 4557 are deposited on the interlayer insulating layer 4503 and in the vias 4551 and 4549. The one or more conductive layer(s) 4555, 4557 are then photolithographically patterned using the same mask to form both a word line contact 4559, the bit line contact 4547, and plurality of word lines in the memory layer above the memory layer shown.
  • The word line and bit line contacts can reach down to lower levels, e.g., every other lower level, or several lower levels at the same time. Thus, in FIG. 54, the bit line contact 4547 and the word line contact 4559 are formed in the N+1 level of the array, and extend to the word lines 4541 and the bit lines 4525 in the Nth level of the array. The word line contacts and bit line contacts connect the word lines and the bit lines with the peripheral circuits located in the semiconductor substrate below the first device level of the array (or located elsewhere in the array, such as above or within the array, but preferably at least in part vertically integrated or aligned with the array). Landing pads are made in level N+1 conductor for the next level contacts.
  • FIGS. 55 through 61 illustrate a method of making a TFT EEPROM nonvolatile flash memory array according to the seventh preferred embodiment of the present invention. The method of the seventh preferred embodiment starts in the same way as that of the first, second, third, or fourth embodiments illustrated in FIGS. 37-51, except that a sacrificial dummy block which holds the place of the gate electrode is used in the process. A transistor formed by this method is called a replacement-gate transistor. The array made by the seventh preferred embodiment may be formed as three dimensional array shown in FIG. 52, having an effective cell area per bit of about 2F2/N.
  • As in the previously described embodiments, the process starts with a deposition of a semiconductor active area, such as an amorphous silicon or polycrystalline silicon layer 4605 over an interlevel insulating layer 4603, as shown in FIG. 55. Then, a plurality of sacrificial dummy blocks 4604 are formed over the active layer 4605, as shown in FIG. 56. The sacrificial dummy blocks 4604 may comprise one or more materials, at least one of which may be selectively etched with respect to the material of an intergate insulating layer 4627 to be formed later. For example, if the intergate insulating layer 4627 comprises silicon oxide, then the dummy blocks may comprise silicon nitride, silicon oxynitride, polysilicon or other materials which may be selectively etched with respect to silicon oxide.
  • Preferably, the active layer 4605 comprises amorphous silicon and the dummy blocks 4604 are formed of a material which is deposited at a temperature below 600° C. to avoid recrystallizing the amorphous silicon layer 4605 into a polysilicon layer with a small grain size. For example, the dummy blocks 4604 may be formed by depositing a low temperature PECVD silicon nitride layer over the active layer 4605 and patterning the silicon nitride layer into a plurality of dummy blocks 4604 using photolithography.
  • In a preferred aspect of the seventh embodiment, the dummy blocks 4604 comprise a plurality of layers, including a sacrificial channel dielectric layer 4667, a sacrificial gate layer 4669, and a protective oxide layer 4671, as shown in FIG. 55. Layers 4669 and 4671 are patterned using a reverse bit line mask, similar to that illustrated in FIG. 38 of the first preferred embodiment, to form the dummy blocks 4604, as shown in FIG. 56. Since all layers 4667, 4669, 4671 above the active layer are sacrificial, lower quality materials may be used for these layers. For example, low temperature silicon oxide (LTO) or PECVD silicon oxide may be used for the channel dielectric layer 4667. Thus, layer 4667 may be deposited at a low temperature (i.e., below 600° C.) to avoid recrystallizing the amorphous silicon active layer 4605 into a polysilicon layer with a small grain size. If desired, all layers of the dummy blocks 4604 may be deposited at temperatures below 600° C. In this case, the amorphous state of layer 4605 is preserved until a subsequent salicide formation on the source and drain regions 4617. The silicide 4623 on the source and drain regions 4617 may act as a catalyst for lateral crystallization of amorphous silicon in the source and drain regions 4617 to form a polycrystalline silicon active layer 4605 with a large grain size.
  • Subsequently, TFT source and drain regions 4617 are implanted into the active layer 4605 using the dummy blocks as a mask. The channel layers 4619 are located in layer 4605 between regions 4617 and below the blocks 4604. If the dummy blocks 4604 contain a polysilicon layer, then preferably, sidewall spacers 4621 are formed on the dummy block 4604 sidewalls to separate silicide from the source/drain junctions, to prevent subsequent silicide formation on the dummy blocks and to increase flexibility in source/drain engineering. The spacers 4621 may be composed of silicon oxide or silicon nitride, or two different layers, as shown in FIG. 57. If desired, an additional implantation may be performed into the source and drain regions 4617 using the blocks 4604 and spacers 4621 as a mask. If the dummy blocks 4604 do not contain polysilicon (i.e., are composed of silicon nitride), then the spacers 4621 may be omitted.
  • A metal layer, such as Ti, W, Mo, Ta, etc., or a transition metal such as Co, Ni, Pt or Pd is blanket deposited over the exposed regions 4617 and the dummy blocks 4604. The device is annealed to perform a silicidation by direct metallurgical reaction, where the metal layer reacts with the silicon in regions 4617 to form the silicide regions 4623 over regions 4617, as shown in FIG. 58. The unnreacted metal remaining on the dummy blocks 4604 is removed by a selective etch, e.g., by a piranha solution. The active layer 4605 is then recrystallized by laser or thermal annealing using the silicide regions 4623 as a catalyst. Alternatively, if desired, the active layer 4605 may be recrystallized simultaneously with the silicide 4623 formation, or the active layer 4605 may be recrystallized by laser or thermal annealing before the formation of the dummy blocks 4604.
  • After the formation of the buried bit lines 4625 which contain the source and drain regions 4617 and the silicide 4623 regions, a conformal intergate insulating layer 4627 is deposited between and above the dummy blocks 4604. Preferably, layer 4627 comprises silicon oxide (HDP oxide), as in the other preferred embodiments. The layer 4627 is then planarized by CMP and/or etchback to expose the top portions of the dummy blocks 4604. For example, if the dummy blocks 4604 contain a silicon oxide protective layer 4671 and silicon oxide spacers 4621, then these layers may be removed together with the top portion of layer 4627 during planarization. In this case, the top portions of the sacrificial gates 4669 are exposed after planarization, as shown in FIG. 58.
  • Next, the dummy blocks 4604 are selectively etched (i.e., removed) without substantially etching the intergate insulating layer 4627. For example, if the dummy blocks 4604 include the sacrificial polysilicon gates 4609, then these sacrificial gates 4609 are selectively etched without substantially etching the spacers 4621 and the intergate insulating layer 4627. If the dummy blocks include a sacrificial gate dielectric layer 4667, then this layer 4667 can be removed using plasma etch back or wet etch methods. As shown in FIG. 59, a plurality of vias 4629 are formed in locations where the dummy blocks 4604 were previously located.
  • After the surface of the active layer 4605 above the channel regions 4619 is exposed by removing the dummy block materials, the “real” or permanent gate dielectric material is immediately grown and/or deposited on the exposed regions. Preferably, this dielectric comprises a charge storage region 4607 selected from the ONO triple layer or the plurality of electrically isolated nanocrystals, as shown in FIG. 60. Alternatively, this dielectric may comprise a tunnel dielectric 4606 if the TFT EEPROM contains a floating gate 4609, as shown in FIG. 61. The charge storage layer 4607 is located on the bottom of the vias 4629 above the channel regions 4619. The charge storage layer 4607 also contains vertical portions located on the sidewalls of the intergate insulating layer 4627 (or on the sidewalls of the spacers 4621, if the spacers are present) and horizontal portions located above the intergate insulating layer 4627, as shown in FIG. 60.
  • Subsequently, a conductive material is deposited over the intergate insulating layer 4627 and the charge storage regions 4607. The conductive material may comprise polysilicon or a combination of polysilicon 4633, 4637 and silicide 4635 layers, as in the other embodiments. The conductive material fills the vias 4629 and overlies the charge storage layer 4607. The conductive material is then patterned to form a plurality of word lines 4641, as in the other embodiments. The active layer 4605 and the charge storage layer 4607 is then patterned using the word lines 4641 as a mask as in the other embodiments. The portions of the word lines 4641 located in the vias 4629 comprise the control gates 4609 of the TFT EEPROMs, as shown in FIG. 60. If a floating gate TFT EEPROM is desired, then a floating gate 4609 and a control gate dielectric 4612 may be formed in the vias 4629 prior to forming the control gates/word lines 4641, as shown in FIG. 61.
  • In an eighth preferred embodiment of the present invention, the TFTs in a plurality of the levels of the three dimensional array of FIG. 52 undergo a recrystallization and/or a dopant activation step at the same time. This reduces the device fabrication time and cost. Furthermore, if each level of the array were subjected to a separate crystallization and/or dopant activation annealing, then the lower levels would undergo more annealing steps than the upper levels. This may lead to device non uniformity because the grain size may be larger in the active areas of the lower levels and/or the source and drain regions may have a different dopant distribution in the lower levels than in the upper levels.
  • Thus, in a first preferred aspect of the eighth embodiment, amorphous silicon or polysilicon active areas of TFTs in a plurality of levels are recrystallized at the same time.
  • Preferably, TFTs in all levels are recrystallized at the same time. The recrystallization may be effected by thermal annealing in a furnace or by rapid thermal annealing (RTA) in an RTA system. The thermal annealing may be carried out at 550 to 800° C. for 6-10 hours, preferably at 650 to 725° C. for 7-8 hours.
  • Furthermore, since a silicide layer 4423 contacts the source and drain regions 4417, the silicide may act as a catalyst for recrystallization, especially if nickel, cobalt or molybdenum silicide is used. The metal atoms diffuse though the active areas of the TFTs, leaving behind large grains of polysilicon. Thus, recrystallizing the amorphous silicon or polysilicon active areas after depositing the bit line metallization leads to larger grains and allows the use of lower recrystallization temperatures, such as 550 to 650° C. Furthermore, no separate metal deposition and patterning for metal induced crystallization is required. Thus, each level of the array may be subjected to a recrystallization anneal after the bit line metallization is formed for this level. Alternatively, all levels of the array may be subjected to a recrystallization anneal after the bit line metallizations for every level of the array have been formed. Furthermore, in an alternative aspect of the eighth embodiment, silicide formation step and the recrystallization steps may be carried out during the same annealing step for each level of the array.
  • In a second preferred aspect of the eighth embodiment, the doped regions in a plurality of levels are activated at the same time. Preferably, the doped regions in all of the levels are activated at the same time. The doped regions comprise the TFT source and drain regions as well as any other doped region formed in the three dimensional array. Preferably, the doped regions are activated by subjecting the array to an RTA treatment. However, if desired, the activation may be carried out by thermal annealing at about 700 to about 850° C. for 20 to 60 minutes. The activation may be carried out before or after the crystallization anneal.
  • In a third preferred aspect of the eighth embodiment, the recrystallization and dopant activation are carried out in the same annealing step of a plurality of levels or for all the levels of the array. The annealing step should be conducted at a sufficiently high temperature and for a sufficient length of time to activate the dopants and to recrystallize the TFT active areas, without causing the source and drain region dopants to diffuse into the channel regions of the TFTs. Preferably, the combined recrystallization and dopant activation annealing step comprises an RTA treatment.
  • In a fourth preferred aspect of the eighth embodiment, an extra photolithographic masking step is provided to form crystallization windows used to deposit the crystallization catalyst material. For example, as shown in FIG. 62, the material 4722 used to form sidewall spacers 4721 is patterned using a separate photolithographic mask to form the crystallization windows 4701. Thus, in the replacement-gate transistor method shown in FIGS. 55-61, the crystallization windows 4701 are formed in the low temperature oxide (LTO) layer used to make sidewall spacers after the reverse bit line pattern is etched into the protective oxide 4771 and the sacrificial gates 4769. Crystallization mask features are etched into the oxide layer 4722 to clear the surface of the active layer 4705. Simultaneously, sidewall spacers 4721 are formed on the sacrificial gates 4769. Then, the photoresist (not shown) is stripped. FIGS. 63 and 64 illustrate cross-sections along lines A-A and B-B in FIG. 62, respectively. If desired, the crystallization windows may also be added to the process of the first through the fourth embodiments. Such windows would be formed during the formation of the sidewall spacers in those embodiments.
  • Next, a catalyst, such as Ni, Ge, Fe, Mo, Co, Pt, Pd, Rh, Ru, Os, Ir, Cu, Au, a silicide thereof, or other transition metal elements or their silicides, is deposited. The catalyst comes in contact with the amorphous silicon active layer 4705 only in the open windows 4701. The catalyst material may be deposited as a solid layer or as a catalyst solution. Alternatively, the catalyst may be ion implanted or diffused into the active layer 4705. Then, the device is annealed for several hours at a temperature below 600° C., preferably at 550° C. This low anneal temperature is preferred to minimize spontaneous nucleation in the amorphous silicon. Polysilicon grains in the present embodiment start growing from the seed regions in the windows 4701 and grow laterally. At the completion of anneal, the grain boundaries 4702 are aligned as shown in FIG. 65. Then, the catalyst is removed. A solid catalyst layer may be removed by selective etching, while catalyst atoms in the recrystallized polysilicon may be removed by gettering, such as by annealing the device in a chlorine containing gas. The LTO oxide layer 4722, which comprises the boundaries of crystallization windows 4701, is then removed by selective etching, and the device is completed as in the other embodiments. It should be noted that the word lines (WL in FIGS. 62 and 65) are subsequently formed over the regions where the crystallization windows 4701 used to be formed. Since the crystallization begins in the windows 4701, the grain boundaries 4702 which are parallel to the word lines are located away from the window regions, in the regions of the active layer 4705 between the word lines. These regions of the active layer 4705 between the word lines are removed after the formation of the word lines. Therefore, since the channel regions of the TFTs are located below the word lines, these TFT channel regions contain fewer grain boundaries, and substantially no grain boundaries which are parallel to the word lines.
  • III. Rail Stack TFTs
  • The following preferred embodiments provide an array of TFTs with a charge storage region, such as EEPROM TFTs, arranged in a rail stack configuration. The embodiments described herein are in the context of a non-volatile reprogrammable semiconductor memory and methods of fabrication and utilization thereof. Those of ordinary skill in the art will realize that the following detailed description of the embodiments of the present invention is illustrative only and is not intended to be in any way limiting. Other embodiments of the present invention will readily suggest themselves to such skilled persons having the benefit of this disclosure. Reference will now be made in detail to implementations of the present invention as illustrated in the accompanying drawings. The same reference indicators will be used throughout the drawings and the following detailed description to refer to the same or like parts.
  • In the interest of clarity, not all of the routine features of the implementations described herein are shown and described. It will, of course, be appreciated that in the development of any such actual implementation, numerous implementation-specific decisions must be made in order to achieve the developer's specific goals, such as compliance with application- and business-related constraints, and that these specific goals will vary from one implementation to another and from one developer to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking of engineering for those of ordinary skill in the art having the benefit of this disclosure.
  • The present embodiment is directed to a two- or, more preferably, a three-dimensional many-times-programmable (MTP) non-volatile memory. The memory provides a bit cell size of 2F2/N where F is the minimum feature size (e.g., 0.18 microns in a 0.18 micron semiconductor process and 0.25 microns in a 0.25 micron semiconductor process) and N is the number of layers of devices in the third (i.e., vertical) dimension. Thus, for a 0.18 micron process with 8 devices stacked vertically, the effective bit cell size projected on the substrate is only about 0.0081 square microns. As a result, a 50 mm2 chip with 50% array efficiency in a 0.18 micron technology and with 8 layers of memory devices would have approximately 3.1 billion memory cells for a capacity of approximately 386 megabytes with two bits stored per cell and 193 megabytes with one bit stored per cell. The three-dimensional versions of the memory use an extension to three dimensions of the “virtual ground array” commonly used with single crystalline silicon memory devices. The preferred memory process architecture uses N+ doped polysilicon rails perpendicular to rail stacks of P− doped polysilicon/charge trapping layer/N+ polysilicon in a cross-point array forming NMOS transistor memory devices with a SONOS charge trapping layer which may be duplicated vertically. Of course a PMOS memory can also be made.
  • Adjacent pairs of N+ polysilicon rails and a rail stack of P− doped polysilicon/charge trapping layer/N+ doped polysilicon define the source, drain and gate, respectively, of a unique NMOS memory device. Programming and erasing change the threshold voltage of this NMOS. With hot electron injection programming, two bits per NMOS can be stored and erasing can be performed either with hot hole injection or with Fowler-Nordheim tunneling.
  • Turning now to FIG. 80, a method of integrating memory devices in accordance with a specific embodiment of the present invention into a multi-level array of storage cells will now be described. The fabrication starts by providing a substrate 5180 on which the multilevel array of storage devices is to be formed. Substrate 5180 will typically include a lightly doped monocrystalline silicon substrate 5182 in which transistors such as metal oxide semiconductor (MOS) transistors are formed. These transistors can be used as, for example, access transistors or they can be coupled together into circuits to form, for example, charge pumps or sense amps for the fabricated memory devices. Substrate 5180 will typically also include multiple levels of interconnects and interlayer dielectrics 5184 used to couple transistors in substrate 5182 together into functional circuits. The top surface 5186 of substrate 5180 will typically include an insulating layer or passivation layer to protect the underlying transistors and interconnects from contamination. The top surface 5186 will typically contain electrical contact pads to which multilevel arrays of memory devices of the present invention can be electrically coupled in order to make electrical contact with the transistors in silicon substrate 5182. In an embodiment of the present invention, the memory devices are physically isolated and separated from the single crystalline substrate by multiple levels of interconnects and dielectric 5184. The top surface of passivation or insulating layer 5186 will typically be planarized to enable uniform and reliable fabrication of multiple levels of the memory devices of the present invention. According to the present invention, the memory devices are physically separated from monocrystalline silicon substrate 5182. In an alternative embodiment of the present invention, memory devices can be fabricated on a glass substrate 5180 such as used in flat panel displays.
  • A process of forming a multilevel array of thin film transistor (TFT) memory devices above the substrate in accordance with an embodiment of the present invention begins by blanket depositing a first conductor layer 5188 over surface 5186 of substrate 5180. Conductor 5188 can be any suitable conductor such as, but not limited to, titanium silicide, doped polysilicon, or a metal such as aluminum or tungsten and their alloys formed by any suitable technique. Conductor layer 5188 is to be used as, for example, a bitline or a wordline to couple a row or column of memory devices together. Next, a planarization is performed by depositing or growing an insulating layer such as a silicon oxide over conductor layer 5188 to fill spaces between bit lines. A conventional chemical mechanical polishing (CMP) step completes the planarization and exposes the bitlines.
  • Turning now to FIG. 66, a specific embodiment of the present invention is illustrated in front perspective view. In this embodiment, a 2-dimensional memory array 5040 includes a first plurality of spaced-apart conductors such as N+ doped polysilicon bit lines 5042, 5044, 5046, 5048 disposed in a first direction a first height over (not in contact with) the substrate (not shown). A second plurality of spaced-apart “rail stacks” 5050, 5052 are disposed in a second direction different from the first direction (and preferably orthogonally) at a second height above the substrate so that they are above bit lines 5042, 5044, 5046 and 5048 and in contact therewith at intersection points 5054, 5056, 5058, 5060, 5062, 5064, 5066, 5068. Each rail stack 5050, 5052 in this embodiment includes at least a layer of P− doped polysilicon 5070 which may be formed, for example, by depositing an amorphous silicon film by chemical vapor depositing (CVD) and which is in situ doped with P type impurities (e.g., Boron) to a dopant density of about 1×1016 to about 1×1018 atoms/cm3. The amorphous silicon films can then be converted into polycrystalline silicon through a subsequent anneal step. Alternatively, instead of in situ doping, undoped silicon can be grown or deposited and then implanted or diffused with dopants. Over layer 5070 is disposed a charge trapping layer 5072 comprising a charge trapping medium as discussed below, and a conductive wordline 5074 which may comprise N+ doped (or P+ doped) polysilicon disposed over the charge trapping layer 5072. A planarized oxide material (not shown in FIG. 66) may be deposited in the spaces between and above adjacent bit lines and rail stacks. A conventional chemical mechanical polishing (CMP) process may be used to accomplish the planarization.
  • The memory array structure of FIG. 66 can now be easily extrapolated to three dimensions. To do this, the CMP planarized oxide layer over wordlines 5050, 5052 is used. The planarized isolation layer (or interlayer insulating layer) prevents shorting one set of wordlines with the next set of bit lines. Then another layer of bit lines 5042, 5044, 5046, 5048 is constructed over the isolation layer followed by an oxide deposition and a CMP step, followed by a deposition of another set of wordlines. This process can be repeated a number of times, as desired. In accordance with a specific embodiment of the present invention, eight layers of memory array (or more) are stacked one upon another to provide 8 times the bit density of the non-three-dimensional version.
  • Turning now to FIG. 67, another specific embodiment of the present invention is illustrated. In this embodiment a 2-dimensional array 5076 includes an isolation layer 5078 electrically separating it from the substrate (not shown). The isolation layer may be any conventional isolation/insulation layer such as a silicon oxide. Over isolation layer 5078 is disposed a plurality of spaced-apart bit lines 5080, 5082, 5084, 5086. Bit lines 5080, 5082, 5084, 5086 are preferably formed of N+ doped polysilicon although P+ doped polysilicon could also be used as could any suitable electrical conductor. A deposition step is used to fill the regions 5088, 5090, 5092 between adjacent bit lines 5080, 5082, 5084, 5086 with a filler material. The filler material must be an electrical insulator. Again, silicon oxide is convenient although other materials could also be used. A CMP step is then used to planarize and expose the bit lines. A layer 5094 of a semiconductor material such as P− doped polysilicon is then disposed over and in contact with bit lines 5080, 5082, 5084, 5086. An ONO layer 5096 is disposed over the semiconductor layer 5094 and a conductive wordline 5098 is disposed over ONO layer 5096. In accordance with a presently preferred embodiment, the bit lines 5080, 5082, 5084, 5086 and the wordlines 5098 are formed of N+ doped polysilicon. When thermally processed, N+ out diffusion regions 5100, 5102, 5104, 5106 are formed in P− doped semiconductor layer 5094. The channels 5108, 5110, 5112 between adjacent N+ out diffusion regions become channels of NMOS transistors whose threshold voltages are controlled by the presence or absence of trapped charge in the nitride layer of ONO dielectric stack 5096.
  • Those of ordinary skill in the art will realize that semiconductors of the opposite conductivity types may also be used. Where a conductor other than doped polysilicon is used for the wordlines and bit lines it will be necessary to form a doped region in semiconductor layer 5094 in some way other than by out diffusion.
  • FIG. 68 is a top plan view of the memory array of FIG. 67. As shown in FIG. 68, the wordlines 5098 are arranged over the bit lines 5080 in a cross point array. While the wordlines and the bitlines are arranged perpendicular (i.e., at a 90 degree angle) to each other in FIG. 68, an angle between the wordlines and bitlines may differ from 90 degrees. Furthermore, outside the boundaries of the memory array, the wordlines and the bitlines may change directions and even be parallel to each other. Furthermore, the term “rail stack” or “rail” preferably refers to conductors arranged in straight lines. However, if desired, the rails or rail stacks may have bends, twists or turns, if desired.
  • Turning now to FIG. 69 the memory array of FIG. 67 is extrapolated to a monolithic three-dimensional array. The term “monolithic” means that layers of each level of the array were directly deposited on the layers of each underlying level of the array. In contrast, two dimensional arrays may be formed separately and then packaged together to form a non-monolithic memory device. Each device level 5076 is preferably identical to that shown in FIG. 67 and an isolation layer (i.e., interlayer insulating layer) 5078 separates each level. A single cell (i.e., a TFT EEPROM) 5099 is delineated by the dashed line in FIG. 69. The cell 5099 is located in device level “j” at the intersection of word line (n,j) and bit lines (m,j) and (m+1,j).
  • Turning now to FIG. 70, another specific embodiment of the present invention is illustrated. In this embodiment, an array of bottom gate TFTs is formed. A two-dimensional memory array 5114 is disposed above a substrate. An isolation layer 5116 is disposed to separate memory array 5114 from the substrate (not shown) or another level of memory array (not shown). A plurality of spaced-apart wordlines 5118 are disposed over isolation layer 5116. Over wordline 5118 are disposed a film of a charge trapping medium 5120, such as an ONO dielectric stack. Over the charge trapping medium 5120 is disposed a plurality of spaced- apart bitlines 5122, 5124, 5126, 5128. In the space 5130, 5132, 5134 between bit lines 5122, 5124, 5126, 5128 is disposed a film of semiconductor material 5136. This may be deposited into spaces 5130, 5132, 5134 or it may be deposited or grown over charge trapping medium 5120 and then masked and etched so that bitlines 5122, 5124, 5126, 5128 are formed after it has been formed. This version of the memory array approximates turning the design of FIG. 69 upside down. In this way, the bitlines are trenches that would be filled by N+ doped polysilicon. Prior to filling, n-type implantation is carried out to form the MOS devices' sources and drains. In addition, a refractory metal may be used at the bottom of the trenches instead of dopant to form the sources and drains.
  • Turning now to FIG. 71 the memory array of FIG. 70 is extrapolated to a monolithic three-dimensional array. Each level 5114 is preferably identical to that shown in FIG. 70 and an isolation layer 5116 separates each level.
  • Turning now to FIG. 72, another specific embodiment of the present invention is illustrated, where each bit line acts as a bit line for TFTs in two device levels. In this embodiment a memory array 5140 includes a lower word line 5142 and an upper word line 5144. Bitlines 5146, 5148, 5150, 5152 are disposed between upper wordline 5144 and lower wordline 5142. In a manner similar to that of FIG. 67 and FIG. 69, an upper semiconductor film 5154 is disposed between bitlines 5146, 5148, 5150, 5152 and upper wordline 5144. Lower semiconductor film 5156 is disposed between bitlines 5146, 5148, 5150, 5152 and lower wordline 5142. Out diffusion regions are formed adjacent to bitlines 5146, 5148, 5150, 5152 in upper semiconductor film 5154 and lower semiconductor film 5156. A lower charge storage medium film 5158 is disposed between lower wordline 5142 and lower semiconductor film 5156. An upper charge storage medium film 5160 is disposed between upper wordline 5144 and upper semiconductor film 5154. Notice that in this embodiment the layers are copied in a mirror image fashion.
  • Turning now to FIG. 73, the memory array of FIG. 72 is extrapolated to a monolithic three dimensional array. Each device level 5140 may be thought of as containing two word lines and two TFT active regions and a plurality of bit lines disposed between the active regions. Alternatively, each device level may be thought of as a single wordline 5142 being disposed between two TFT active regions. Thus, each device level contains either one wordline level and two bitline levels or one bitline level and two wordline levels. Each TFT active region shares both a bitline and a wordline with another TFT active region disposed in a different horizontal plane.
  • An alternative bottom gate TFT embodiment is illustrated in FIGS. 81 A-81 H. The approach of FIGS. 81A-81H is somewhat similar to that of FIG. 70. Layer 5116 is an isolation layer such as an oxide separating the memory array structure 5114 from other memory array levels or from the substrate. Layer 5118 is a conductive wordline layer. Layer 5120 is an O—N—O dielectric stack. Layer 5136 is a film of semiconductor material (p-type when the wordlines and bitlines are N+ polysilicon).
  • In FIG. 81B an oxide layer 5190 is deposited or grown. In FIG. 81C the oxide layer 5190 is masked with a mask 5192 (i.e., a photoresist mask). In FIG. 81D the unmasked portions of the oxide layer 5190 are etched in a conventional manner.
  • In FIG. 81E the mask 5192 is removed and semiconductor layer 5136 is implanted with n-type ions to form an N+ implantation region 5194 at each opening in the oxide layer 5190 as illustrated in FIG. 81F. In FIG. 81 G an N+ layer 5196 is deposited to fill gaps in the oxide and form bitline 5198 of N+ material in contact with N+ implantation regions 5194 so as to provide a contact with the O—N—O layer 5120. In FIG. 81H the N+ layer 5196 is CMP planarized as shown to form the bitlines 5198, to complete an NMOS TFT array. Of course a PMOS TFT array may be constructed by reversing the conductivity types of the layers and dopants. A multilayer version of the memory array of FIGS. 81A-81H can be constructed by forming additional device levels separated by an isolation layer.
  • Another alternative embodiment of a top gate TFT array is illustrated in FIGS. 82A-82I. In FIG. 82A an oxide or isolation layer 5200 is disposed above a substrate (not shown). In FIG. 82B a layer of semiconductor material of a first conductivity type 5202 is disposed over oxide layer 5200. The semiconductor material may be P− doped amorphous silicon. Over this in FIG. 82C is deposited a hard nitride CMP-stop layer 5204 to stop the CMP process from polishing into layer 5202.
  • In FIG. 82D the memory array under construction is masked with mask 5206, as a photoresist mask. In FIG. 82E an etch is being carried out to form apertures or trenches 5208 as shown in FIG. 82F. In FIG. 82G a conductive layer 5210 is deposited, such as n+ doped polysilicon. In FIG. 82H this layer 5210 is CMP polished down leaving N+ bitlines 5212 with P− doped regions 5214 between them. After thermal processing, out diffusion regions 5216 are formed as shown in FIG. 821. Furthermore, the amorphous silicon layer 5202 is recrystallized into a polysilicon layer.
  • In FIG. 82I a local charge storage film 5218 is disposed over bitlines 5212 and a conductive film 5220 is disposed over local charge storage film 5218. The conductive film 5220 is patterned to form a wordline. The charge storage film 5218 is also patterned to form rail stacks which include the wordline and the charge storage film.
  • The charge storage medium film used herein (also referred to herein as a “local charge storage film”) needs to be able to retain a localized charge, i.e., it must not laterally conduct. In one embodiment, a charge trapping layer may be formed in a dielectric stack 5160 as shown in FIG. 77. For example, the charge storage medium can be a dielectric stack 5160 comprising a first oxide layer 5162 adjacent to a polysilicon film 5164, a nitride layer 5166 adjacent to the first oxide layer 5162 and a second oxide layer 5168 adjacent to the nitride layer 5166 and adjacent to a polysilicon control gate 5170. Such a dielectric stack 5160 is sometimes referred to as an ONO stack (i.e., oxide-nitride-oxide) stack. Other suitable charge trapping dielectric films such as silicon implanted or silicon-rich oxides can be used if desired.
  • The charge storage medium film may alternatively be formed from a plurality of electrically isolated nanocrystals 5172 as shown in FIG. 78. Nanocrystals are small clusters or crystals of a conductive material which are electrically isolated from one another. An advantage of the use of nanocrystals for the charge storage medium is that because they do not form a continuous film, nanocrystals are self isolating. Nanocrystals 5172 enable multiple self-isolating charge storage areas to be formed.
  • Nanocrystals 5172 can be formed from conductive material such as silicon, tungsten or aluminum. In order to be self isolating the nanocrystals must have a material cluster size less than one-half the pitch of the cell so that floating gates from vertically and horizontally adjacent cells are isolated. That is, the nanocrystals or material clusters 5172 must be small enough so that a single nanocrystal 5172 cannot bridge vertically or horizontally adjacent cells. Silicon nanocrystals can be formed by depositing silicon in a manner whereby silicon has a very high surface diffusivity relative to its sticking coefficient. For example, silicon nanocrystals can be formed by chemical vapor deposition (CVD), by decomposing silane (SiH4) at a very low pressure, in a range of about 1 millitorr to about 200 millitorr, at a temperature in a range of about 250° to about 650° C. In such a process, a very thin deposition, in a range of about 50 Å to about 250 Å, will form little islands of silicon. If H2 is included with silane during the deposition, higher pressures can be utilized and still obtain nanocrystals. In an alternative embodiment of the present invention, metal nanocrystals such as aluminum nanocrystals, can be formed by sputtering from a metal target at a temperature near the melting temperature of the metal, so that the metal agglomerates and forms nanocrystals. Tungsten nanocrystals can be formed by chemical vapor deposition at very low pressures by utilizing a reactant gas mix comprising a tungsten source gas such as WF6 and germane (GeH4). In still yet another embodiment of the present invention, a continuous film of floating gate material can be deposited and then caused to precipitate (by heating) to cause islands to form in the film.
  • It is to be appreciated, that although nanocrystals are preferred for the floating gate, because of their self isolating quality, the floating gate can be formed from a continuous film such as, but not limited to, a metal such as tungsten or a silicon film such as polycrystalline or amorphous silicon doped to the desired conductivity type (typically N+ silicon). If a continuous film is used as a local charge storage film, the film would be anisotropically etched at this time to remove portions of it in order to electrically isolate strips of the film.
  • Similarly, small pieces of floating gate material, such as heavily doped polysilicon, may form a local charge storage medium when embedded in an insulator such as an oxide layer.
  • An issue with using N+ out diffusion in a multi-level device is that the various levels will be exposed to different thermal processing. That is, the bottom layer will be exposed to each thermal processing step while the top layer is only exposed to the last thermal processing steps. Since it is undesirable to have the MOS memory transistors exhibiting substantially different performance characteristics depending upon level in the array and it is undesirable to allow lateral diffusion to swamp the MOS memory transistors, care needs to be given to the thermal budget and mechanisms for forming source/drain regions. Where N+ doping is used for the bitline and P− doping for the semiconductor film, it is possible to use antimony as the dopant instead of phosphorous as antimony exhibits a smaller diffusivity than phosphorous. It is also possible to engineer the dopant profile in the bitline polysilicon to allow different out diffusions. This is shown in FIG. 76 in schematic representation. After polysilicon dopant difflusion is characterized for various thermal budgets for the polysilicon depositions, one can easily determine how far away the N+ in situ doped material should be from the P− doped body region as a function of memory level within the array. Antimony could also be used here and could be directly implanted, if desired. In FIG. 76, the bitlines denoted (a) are closer to the top level of the memory array than are the bitlines denoted (b). In other words, bitlines (a) are located above bitlines (b) in the array. During the thermal treatment, the dopants in the bitlines will diffuse upwards throughout the entire bit lines and outdiffuse into the P− polysilicon layer to form the source and drain regions. Thus, the source and drain regions in plural levels will be evenly doped.
  • Turning now to FIG. 69, to program the first bit in the selected cell in FIG. 69, WL(n,j) is pulsed high (9-13V, high impedance) while BL(m,j) is grounded and BL(m+1,j) is pulsed high (3-8V, lower impedance). All BL's to the left of BL(m,j) on the jth level are held at ground while all BL's to the right of BL(m+1,j) on the jth level are held at the same voltage as BL(m+1,j). All other WL's on the jth level are held at ground to make sure that all other MOS devices between BL(m,j) and BL(m+1,j) are off. All other BL's and WL's on all other layers can be left floating. This means that the selected cell MOS device is uniquely on and powered to optimize hot carrier generation and programming into the charge trapping dielectric close to the drain (defined by BL(m+1,j)).
  • To read the first bit, BL(m+1,j) is now the source and BL(m,j) is the drain. The former is grounded and the latter is raised to a read voltage (˜50 mV to 3V, preferably 1-3V) while WL(m,j) is pulsed to a read voltage (1-5V). Again, all BL's to the left of BL(m,j) are held at the same potential as BL(m,j) and all BL's to the right of BL(m+1,j) are grounded. All other WL's on the same level are grounded to shut off all other MOS devices between the same two BL's. All other BL's and WL's on all other levels can be left floating.
  • To program and read the second bit in the same cell, the voltages on BL(m,j) and BL(M+1,j) are reversed compared to the above.
  • Notice that the body region of the MOS memory transistor is floating and can be made thin (defined by the deposition tool, e.g., preferably several hundred Angstroms). By making this region thin, snapback of the device can be avoided and so rapid increase in programming currents can also be avoided.
  • Erasing of the memory can take place in blocks and may employ a combination of slow Fowler-Nordheim tunneling and hot hole in,jection. The erase current will be small since the MOS body is floating resulting in very little band-to-band tunneling and avalanche breakdown. Erase can take place with the wordlines either grounded or held negative (˜−5V) and all bitlines held at some positive voltage. The erase procedure will take over 100 ms and can be done at each memory level up to the full memory at one time.
  • Non-selected bits with common wordline should be able to withstand the programming voltage on the wordline for a worst case period of time. FIG. 74 shows this in schematic detail in one level of the matrix.
  • If each bit (i.e. half cell) needs time t to program and there are N cells on each WL then, in a worst case, a programmed bit would experience (2N-1)t of time where the programming voltage would be applied to the WL. The gate stress program disturb would be fine if any programmed cell did not shift its Vt by a certain “minimal” amount. Since programming is achieved using hot electrons, the times and voltages are short and small respectively compared to voltages and times needed to tunnel out of charge traps. In addition, the total stress on any one bit may be effectively reduced by floating unselected bitlines during the programming of the selected cell. In this way, only the selected bitline at ground will experience a true full programming voltage across the dielectric(s).
  • Non-selected bits with a bitline in common with the selected bit should be able to withstand the programming voltage on the drain for a worst case period of time. FIG. 75 shows this in schematic detail where a cross section along a bitline is shown.
  • Again, if there are M cells on any one bitline and it takes time t to program any one bit, then the worst case drain stress on a programmed bit will be (M-1)t in time. So the Vt shift in a programmed bit after experiencing such a stress should be minimal.
  • Read disturb or “soft write” occurs if the hot carriers generated during a read of the cell are sufficient to eventually (over 10 years lifetime) program a previously erased (unwritten) bit. Accelerated testing is usually carried out here to make sure that the read voltages required do not shift the threshold voltage of a neutral cell by more than a minimal amount.
  • In the devices set forth above, N+ or P+ doped polysilicon should be doped to a dopant density of about 1×1019 to 1×1021 atoms/cm3 and have a thickness preferably in a range of about 500 Å to about 1000 Å. P− or N− doped semiconductor films should be doped to a dopant density of about 1×1016 to about 1×1018 atoms/cm3.
  • It is to be appreciated that each of the memory devices shown can be made of opposite polarity by simply reversing the conductivity type of each of the silicon regions and maintaining dopant concentration ranges. In this way, not only can NMOS devices be fabricated, but also PMOS devices can be formed if desired. Additionally, the silicon films used to form the device may be recrystallized single crystal silicon or polycrystalline silicon. Additionally, the silicon film can be a silicon alloy film such as a silicon germanium film doped with n-type or p-type conductivity ions to the desired concentration.
  • Where it is desired to increase the lateral conductivity of polysilicon wordlines and bitlines, a layer of a conductive metal may be deposited in the wordline or bitline as illustrated in FIG. 79. In FIG. 79 bitline 5174 is formed of polysilicon 5176 which is heavily N+ doped. This makes it electrically conductive. To further reduce electrical resistance, a layer of a refractory electrically conductive metal such as titanium 5178 may be disposed within the bitline 5174, or on one or more surface of the polysilicon 5176. When subjected to normal silicon processing temperatures the titanium forms a silicide with the polysilicon that is highly conductive in a lateral direction.
  • IV. Flash Memory Array in a Rail Stack Configuration
  • In the previous embodiments, the TFTs were arranged in a virtual ground array (VGA). In a VGA illustrated in the previous embodiments, the programming of each EEPROM occurs by hot carrier in,jection. In hot carrier in,jection, a voltage is placed across a diode (i.e., between a source and a drain of a TFT EEPROM). The hot carriers (i.e., hot electrons and holes) that are travelling from source to drain through the channel of the TFT EEPROM are in,jected into the charge storage region which is disposed adjacent to the channel. This procedure is a relatively high power event.
  • For low power portable applications where both program/erase and read power are important, a flash nonvolatile memory using Fowler-Nordheim tunneling (“FN tunneling”) for both program and erase may be used. FN tunneling results from applying a voltage across a dielectric. Thus, in a TFT EEPROM, a voltage is applied between a control gate and a source and/or a drain) region of the TFT, for writing and erasing the TFT EEPROM. This is in contrast with hot carrier in,jection programming, where a voltage is applied between the source and the drain regions.
  • A flash memory array which uses FN tunneling for program and erase is advantageous because thousands of bits in such a flash memory array may be programmed at the same time.
  • Also, FN tunneling is a very efficient way of programming since most (close to 100%) of the current goes to program the device. This is in contrast with hot carrier injection where only about 1-2% of the source-drain current goes to program the device.
  • Thus, in a preferred embodiment of the present invention, charge storage devices, such as TFT EEPROMs, are arranged in a flash memory array configuration. The TFT EEPROMs may be arranged in the pillar, self-aligned TFT or rail stack configurations of the previous embodiments. Preferably, the TFT EEPROMs are arranged in the rail stack configuration.
  • The VGA is not compatible with FN tunneling since the whole channel polysilicon inverts along the length of the pulsed-high word line and will then program cells in addition to the one that needs programming. Therefore, the FN tunneling rail stack (crosspoint) flash array differs from the VGA in that in the FN tunneling array the active polysilicon layer is patterned into polysilicon islands to allow FN tunneling programming. Thus, an extra photolithographic masking step is added to the process of making the rail stack array during which the polysilicon active layer is etched into islands in each device cell. The same photoresist mask can be used to define (i.e., etch) the charge storage regions in each cell.
  • FIG. 83A illustrates a flash memory array in a rail stack configuration according to a preferred embodiment of the present invention. FIG. 83B shows a cross sectional view along line B-B in FIG. 83A.
  • In FIG. 83A, the flash memory array 5230 is preferably formed over a planarized interlayer insulating layer 5231, such as a CMP planarized silicon oxide layer. Layer 5231 is formed over a substrate (not shown) as in the previous embodiments. Each device of the array (shown by dashed lines 5232 in FIG. 83A) is thus a TFT because it is formed over an insulating layer.
  • The array 5230 contains a first plurality of spaced-apart conductive bit lines 5233 disposed at a first height above the substrate in a first direction. The array also contains a second plurality of spaced-apart rail-stacks 5235. The rail stacks are disposed at a second height in a second direction different from the first direction. Preferably, the bit lines 5233 and the rail stacks 5235 are arranged perpendicular to each other. The TFT EEPROM 5232 is formed at the intersection of the rail stacks 5235 and the bit lines 5233.
  • Each rail-stack 5235 includes a plurality of semiconductor islands 5237, which comprise the active regions of the TFT EEPROMs 5232. One surface of the islands 5237 is in contact with the bit lines 5233. Each rail stack 5235 also includes a conductive word line 5239 and a charge storage region 5241 disposed between a second surface of the semiconductor islands 5237 and the word line 5239.
  • The semiconductor islands 5237 preferably comprise polysilicon of a first conductivity type (i.e., P− or N−). However, the islands may comprise amorphous silicon if desired. The polysilicon islands 5237 include source and drain regions 5243 of a second conductivity type (i.e., N+ or P+). The source and drain regions 5243 are located at contacting intersections between the bit line conductors 5233 and the rail stacks 5235.
  • The bit lines 5233 preferably comprise polysilicon of the second conductivity type (i.e., N+ or P+). The bit lines 5233 contact the source and drain regions 5243. Preferably, the source and drain regions are formed by outdiffiusion of dopants from the bit lines. Furthermore, an optional metal or a metal silicide layer (not shown in FIG. 83A) may be disposed in contact with the bit lines 5233 to increase the conductivity of the bit lines. The space between said spaced-apart bit line conductors 5233 is filled with a planarized insulating filler material 5245, such as silicon oxide.
  • The charge storage regions 5241 may comprise a dielectric isolated floating gate, electrically isolated nanocrystals or an O—N—O dielectric stack, as in the previous embodiments. An exemplary array having a dielectric isolated floating gate is illustrated in FIGS. 83A and B. Thus, in the example of FIGS. 83A and B, the charge storage region 5241 comprises a polysilicon floating gate 5247 between a tunnel dielectric 5249, such as a silicon oxide layer, and a control gate dielectric 5251 (also known as the intergate or interpoly dielectric) made of a material such as silicon oxide or an ONO layer stack.
  • As shown in FIGS. 83A and B, the lateral sides 5253 of the tunnel dielectric 5249 and the floating gate 5247 are aligned to the lateral sides 5255 of the semiconductor islands 5237. The control gate dielectric 5251 extends between the semiconductor islands 5237 and contacts the planarized insulating material 5245 between the semiconductor islands 5237. If desired, the floating gate 5247 may be made from hemispherical grain polysilicon which has a textured surface to maximize the control gate to floating gate coupling. Alternatively, the coupling may be increased by increasing the floating gate height, by forming horns or protrusions in the floating gate, or by roughening the floating gate surface.
  • The word line 5239 comprises a polysilicon layer of a second conductivity type (i.e., N+ or P+) and a metal or a metal silicide layer in contact with the polysilicon layer. The word line 5239 acts as a control gate of the TFT EEPROM in locations where it overlies the charge storage regions 5241. Thus, formation of a separate control gate for each TFT is not required.
  • In one preferred aspect of this embodiment, the rail stacks 5235 are disposed above the bit lines 5233, as shown in FIGS. 83A and B. However, if desired, the rail stacks 5235 may be disposed below the bit lines 5233 in each device level, as described with respect to FIG. 70 in a previous embodiment (i.e., bottom gate TFT EEPROMs are formed).
  • As shown in FIG. 83B, the word line 5239, the charge storage regions 5241 and the semiconductor islands 5237 (i.e., the rail stacks 5235) are aligned in a plane 5256 perpendicular to the substrate and parallel to a source to drain direction. The rail stacks 5235 are separated by a second planarized insulating layer 5257, such as silicon oxide.
  • While the flash memory array may comprise a two dimensional array, preferably, the flash memory array comprises a monolithic three dimensional array comprising a plurality of device levels. For example, three device levels are shown in FIG. 83A. The device levels are separated by an interlayer insulating layer 5259, such as a silicon oxide layer. If desired, layers 5257 and 5259 may comprise the same silicon oxide layer which is deposited above and between the rail stacks 5259, and then planarized by CMP.
  • To program the selected TFT EEPROM 5232, either its drain bit line or its source bit line 5233 (or both) are grounded while the positive programming voltage is applied to the selected word line 5239 adjacent to the device 5232 (which is a high impedance node). All other word lines on the same device level are grounded while all other bit lines on the same level device can float or are placed at a slight positive voltage. This means that only the selected cell 5232 experiences the programming voltage across it. Through capacitive coupling, the floating gate 5247 is pulled high while the source and/or drain 5243 are grounded. Electrons tunnel to the floating gate 5247 from the source and/or drain 5243 and an inversion channel is formed in the silicon channel 5237. The current to program such a cell to get a threshold voltage shift of about 5V in approximately one millisecond is several picoamps.
  • To erase the cell, the same bit lines 5233 can be grounded and a negative voltage pulse is applied to the selected word line 5239. All other word lines can either be grounded or can float. All other bit lines float or are placed at a slight negative voltage. A plurality (or all) of EEPROM cells in the array can be erased at the same time by pulsing a plurality of word lines to a high negative value while all bit lines are grounded. Alternatively, the selected wordline is grounded while the selected cell's bit lines are pulsed positive. All other word lines float or are pulsed slightly positive while all the other bitlines are grounded.
  • Programming and erasing using FN tunneling alone allows use of low current programming and erasing, which lends itself to “massive parallelism” in programming and erasing. Therefore, many cells 5232 can be programmed in parallel. For example, to get 5V shift, one thousand cells would need about 2 nA in total current and would program in about 1 microsecond per cell, average. During programming and erasing, the parasitic leakage currents are small because no large voltages are placed across polysilicon diodes (i.e., source/channel/drain junctions). During reading, the parasitic leakage currents are also small because source to drain voltages are also small. A programming voltage of 10-20V may be used to program the cells. In the above approach of FIGS. 83A and B, a small cell size is achieved. However, only positive threshold voltages (for NMOS TFT EEPROMs shown in FIGS. 83A and B) are attainable, since otherwise large amounts of parasitic bit line to bit line leakage results. In order to allow both positive and negative threshold voltages in each cell, an access transistor (i.e., a TFT MOSFET) is added to each cell in a second preferred aspect of the flash memory array, as shown in FIG. 84.
  • FIG. 84 illustrates a built-in access transistor 5261 in each cell whose threshold voltage can be set to a slight positive value. By using the access transistor 5261, the actual cell transistor (i.e., the TFT EEPROM 5232) can have a negative threshold voltage without introducing bit line leakage and avoiding special erase-and-check algorithms that prevent over-erase. Furthermore, the access transistor can also reduce the defect-based TFT band-to-band tunneling leakage that may occur at negative gate voltages and could be problematic in programmed cells (i.e., floating gate full of electrons), (see S—H Hur et al., “A Poly-Si Thin-Film Transistor EEPROM Cell with Folded Floating Gate”, IEEE Trans. Elect. Dev., vol. 46, pp. 436-438, February 1999, incorporated herein by reference).
  • As shown in FIG. 84, the semiconductor islands 5237 contain adjacent channel regions 5263, 5265 of the access transistor 5261 and the EEPROM 5232, respectively, between the common source 5243A and drain regions 5243B. The word lines 5239 form control gates of the EEPROMs and gate electrodes of the access transistors. An insulating layer 5251 forms a common control gate dielectric of the EEPROM and a gate insulating layer of the access transistor. The floating gate 5247 and a tunnel dielectric 5249 are located between the word line 5239 and the channel region 5265 of the EEPROM 5232.
  • To program the floating gate 5247 of a cell 5232/5261, its source bit line 5233A is grounded, its drain bit line 5233B floats, and a high positive voltage pulse is applied to the selected cell's word line. This tunnels electrons to the floating gate. All other bit lines on the same device level are left floating or are placed at a slight positive voltage while all other word lines on the same level are grounded. To read, the selected cell's word line is pulsed to a read voltage of above the access transistor's threshold voltage while the cell's source bit line is grounded and drain bit line is set at a low positive voltage, such as 1 to 3 V. All other bit lines at the same level are left floating or grounded while all word lines at the same level are grounded. To erase the cell, its word line is pulsed to a high negative value while its source bit line is grounded. To erase the whole array, all word lines can be pulsed to a high negative value while all source bit lines are grounded.
  • In another preferred aspect of the flash memory array, a gate to drain offset region 5267 is provided to reduce TFT band-to-band defect related drain leakage, as shown in FIG. 85. Thus, in the example of FIG. 85, the word line 5239 and the charge storage region 5241 are offset apart from the drain region 5243B. A thick insulating layer 5269 is located between the semiconductor islands 5237 and the word lines 5239 in the offset region 5267. The floating gates 5247, the tunnel dielectric 5249 and the control gate dielectric 5251 have aligned lateral sides 5253A and B. Only one of the lateral sides 5253A is aligned to the lateral side 5255A of the semiconductor islands 5237. The islands 5237 have a greater width than the floating gates 5247, the tunnel dielectric 5249 and the control gate dielectric 5251.
  • If desired, ONO or isolated nanocrystal charge storage regions may be used instead of the floating gate charge storage regions in the embodiments of FIGS. 84 and 85. Furthermore, the devices of FIGS. 84 and 85 may be formed in a bottom gate configuration (i.e., with the bit lines above the word lines) if desired.
  • In the flash memory array of FIGS. 83A and B, each cell size per bit is about 8 F2/N to about 10 F2/N, where F is a minimum feature size and N is a number of device levels in the array. In the flash memory array of FIGS. 84 and 85, each cell size per bit is about 9 F2/N to about 11 F2/N. Thus, a cell size per bit of about 8 F2/N to about 11F2/N, may be achieved. This cell size compares favorably with cell sizes of commercially available flash memory arrays, which range from 7.7 F2 to 13.9 F2. If the access transistors and contacts are factored in the effective cell size of the commercially available devices, then due to redundancy, their cell size ranges from 9.8 F2 to 19.2 F2. However, when the flash memory array of the present embodiment is formed as a three dimensional array (i.e., N>1), then the cell size per bit of the flash memory array of the present embodiment is significantly smaller than that of the prior art. For example, for N=2, the cell size is about 4 F2 to about 5.5 F2. For N>2, the cell size is even smaller.
  • The method of making the flash memory array of FIGS. 83-85 is illustrated in FIG. 86. FIGS. 86A-D illustrate a method of making the flash memory array where the word lines are disposed above the bit lines in each device level. A plurality of spaced-apart bit line conductors 5233 are formed at a first height above the substrate (not shown) by etching a first conductive layer using a first photoresist mask. The bit line conductors 5233A and B extend in a first direction, as shown in FIG. 86A. Preferably, the bit lines comprise polysilicon and metal or metal silicide layers. A first insulating layer 5245 is deposited above and between the bit line conductors 5233A, B. The insulating layer 5245 is planarized by CMP until the top surface of the bit line conductors 5233A, B is exposed.
  • A stack of layers including a first semiconductor layer 5237 and a charge storage film are deposited on the exposed bit line conductors 5233A, B and the planarized insulating layer 5245, as shown in FIG. 86B. Layer 5237 may be an amorphous silicon or a polysilicon layer. In FIG. 86B, the charge storage film comprises a tunnel dielectric layer 5249 and a floating gate polysilicon layer 5247. Alternatively, the charge storage film may be an ONO stack or dielectrically isolated nanocrystals.
  • A second photoresist layer (not shown) is formed on the stack and photolithographically patterned into a mask. Using this photoresist layer as a mask, the stack of layers 5237, 5249 and 5247 is etched to form a plurality of first rail stacks 5271 (only one such rail stack is shown in FIG. 86C for clarity). The first rail stack 5271 extends in the same or substantially the same direction as the bit line conductors 5233 in a plane parallel to the substrate. Each of the first rail stacks 5271 contains a semiconductor rail 5237 and a charge storage region rail 5247/5249. The first rail stacks 5271 have at least one aligned lateral edge 5253/5255. In FIG. 86C, the first rail stacks 5271 have two such aligned lateral edges since each first rail stack is patterned using the same photoresist mask, which is removed after the etching step.
  • If floating gate type EEPROMs are to be formed, then the control gate insulating layer 5251 is deposited over the first rail stacks 5271 and in the spaces 5273 between the first rail stacks, as shown in FIG. 86D. Thus, layer 5251 extends beyond the lateral edges of the first rail stacks 5271. If an ONO or isolated nanocrystal type EEPROMs are to be formed, then the semiconductor layer 5237 would be deposited and patterned into first rail stacks 5271 after deposition. Then the ONO or the nanocrystal containing layer would be deposited over the patterned first rail stacks 5271, followed by the deposition of a conductive layer 5239 for the wordline.
  • A second conductive layer 5239 is deposited over the control gate insulating layer 5251. Preferably, layer 5239 comprises polysilicon and metal silicide sublayers. A third photoresist mask (not shown) is formed over the second conductive layer 5239. The second conductive layer 5239, the control gate dielectric 5251 and the first rail stacks 5271 are then etched to form a plurality of second rail stacks 5235, as shown in FIG. 86D. The second rail stacks comprise the patterned second conductive layer which forms the word line 5239, charge storage region islands 5247/5249/5251 and the semiconductor islands 5237.
  • The source 5243A and drain 5243B regions are formed by outdiffusing dopants of a second conductivity type (i.e., N+ or P+) into the semiconductor islands 5237 of a first conductivity type (i.e., P− or N−) from the first plurality of spaced-apart conductors. The source and drain regions may be formed at any time during the fabrication sequence after the semiconductor layer 5237 is deposited on the bit line conductors 5233A, 5233B. For example, the device may be annealed after the formation of the second rail stacks 5235 to outdiffuse the dopants into the source and drain regions and to recrystallize the amorphous silicon layer 5237 into a polysilicon layer (or to increase the layer 5237 grain size). The outdiffusion anneal and the crystallization anneal may occur during the same or during separate heating steps. For example, the recrystallization anneal may take place right after layer 5237 is deposited.
  • The side surfaces of the second rail stacks 5235 are aligned in a plane perpendicular to the substrate and parallel to a direction which extends from the source 5243A to the drain 5243B of the TFT EEPROM 5232, as shown in FIG. 83B. The control gate dielectric 5251 is disposed between the word line 5239 and the first insulating layer 5245. Since the control gate dielectric is part of the first rail stacks 5235, the control gate dielectric 5251 is aligned in a plane perpendicular to the substrate and parallel to a source to drain direction to the semiconductor islands 5237, the tunnel dielectric 5249, the floating gates 5247 and the control gates 5239, as shown in FIG. 83B. The first rail stacks 5271 are converted into islands during the etching of the second rail stacks 5235.
  • A second insulating layer 5257 is then deposited over the second rail stacks 5235 and planarized by CMP to be level with the second rail stacks, as shown in FIG. 83B. An interlayer insulating layer 5259 is then deposited over the second insulating layer 5257 and the second rail stacks 5235. If desired, a single insulating layer may be deposited above and between the second rail stacks 5235 to form the second insulating layer 5257 and the interlayer insulating layer 5259. The single layer is then planarized by CMP. If desired, a plurality of additional device levels of the array may be monolithically formed above layer 5259 to form a three dimensional monolithic array having at least three device levels, as shown in FIG. 83A. Each device level is preferably separated by an interlayer insulating layer.
  • In an alternative method of making the flash memory array, the word line in each device level may be formed below the bit line conductors (i.e., bottom gate TFT EEPROMs rather than top gate TFT EEPROMs are formed). In the alternative method, the second rail stacks 5235 comprising the gate lines 5239, the charge storage regions 5251/5247/5249 and the semiconductor islands 5237 are formed first, as shown in FIG. 86E. Then, the first insulating layer 5245 is formed on the semiconductor islands of the second rail stacks 5235. The first insulating layer 5245 may also be formed between the second rail stacks if desired. Alternatively, another insulating layer is formed between the second rail stacks and planarized by CMP prior to the formation of the first insulating layer 5245.
  • Trenches are then formed in the first insulating layer 5245. Source and drain regions 5243 are formed in the semiconductor islands 5237 by ion implanting (or diffusing) dopant ions through the trenches. The photoresist layer (not shown) used during the etching of the trenches may be removed before or after the ion implantation. A second conductive layer (such as a layer comprising polysilicon and silicide sublayers) is formed in the trenches and over the first insulating layer, as shown in FIG. 86F. The second conductive layer is then planarized by CMP to form the bit line conductors 5233 overlying the semiconductor islands 5237. Alternatively, the source and drain regions 5243 may be formed by outdiffusion from the bit line conductors 5233 rather than by ion implantation.
  • Similar methods may be used to form the flash memory array having TFT EEPROMs with an access transistor, as shown in FIG. 84 or having TFT EEPROMs with a drain offset region, as shown in FIG. 85. In these methods, the stack of layers which includes a tunnel dielectric layer 5249 and a floating gate layer 5247 are deposited over the first semiconductor layer 5237, as shown in FIG. 86C. The stack of layers is then patterned to form first rail stacks 5271 which include semiconductor rails 5237 having a first width and charge storage region rails 5247/5249 having a second width smaller than the first width, such that the first rail stacks have one aligned lateral edge and drain portions of the semiconductor rails 5237 are exposed.
  • Such a structure may be achieved by two different etching methods. The first etching method includes forming a first photoresist mask 5275 having a first width over the stack, as shown in FIG. 86G. The first semiconductor layer 5237, the tunnel dielectric layer 5249 and the floating gate layer 5247 are then etched using the first photoresist mask 5275, as shown in FIG. 86G. A second photoresist mask 5277, having a second width smaller than the first width, is then formed over the floating gate layer 5247. The tunnel dielectric layer 5249 and the floating gate layer 5247 but not the first semiconductor layer 5237 are then etched using the second photoresist mask as shown in FIG. 86H.
  • The second etching method includes forming a first photoresist mask 5279 having a first width over the stack and etching the tunnel dielectric layer 5249 and the floating gate layer 5247 using the first photoresist mask 5279 to expose a portion of the first semiconductor layer 5237, as shown in FIG. 861. Then a second photoresist mask 5281, having a second width larger than the first width, is formed over the floating gate layer 5247 and over an exposed portion of the first semiconductor layer 5237 (it is possible that there may be some misalignment between layer 5281 and layers 5249/5249). The first semiconductor layer 5237 is then etched using the second photoresist mask 5281, as shown in FIG. 86J.
  • To form the TFT EEPROMs with an access transistor 5261 of FIG. 84, a control gate dielectric layer 5251 is formed over the patterned floating gates 5247 and over the exposed portions of the semiconductor rails 5237 of the first rail stacks 5271. The control gate dielectric layer 5251 functions as a gate dielectric of the access transistor 5261 over the exposed portions of the semiconductor rails 5237.
  • To form the TFT EEPROMs with a drain offset region 5267 of FIG. 85, the control gate dielectric layer 5251 is patterned at the same time as the floating gate layer 5247 and the tunnel dielectric layer 5249, to expose the drain portion and part of the channel silicon of the semiconductor rails 5237. A second insulating layer 5269 is then formed over the control gate dielectric 5251 and the exposed portion of the semiconductor rails 5237, as well as between the semiconductor rails 5237 to isolate the semiconductor rails from each other. Layer 5269 is relatively thick, having a thickness that is the same as or greater than the thickness of the charge storage regions 5241. Layer 5269 is then planarized by CMP to expose the top portion of the charge storage regions. The word line 5239 is then formed over the second insulating layer 5269 to form the offset regions 5267.
  • The nonvolatile, multiprogrammable flash memory array of the preferred embodiment provides many-times -programmable cells in a crosspoint (i.e., rail stack) array. FN tunneling is used for program and erase. This allows many cells to be written in parallel and provides high density, low power file storage. In addition, the cell sizes per layer compare very favorably with cell sizes of commercially available flash memories.
  • V. CMOS Array for Logic and Memory Circuits
  • In the previous embodiments, arrays of NMOS or PMOS devices were described. However, in another preferred embodiment of the present invention, an array of CMOS (complementary metal oxide semiconductor) transistors is provided. Preferably, adjacent NMOS and PMOS transistors have a common gate. However, the adjacent NMOS and PMOS transistors may have separate gates if desired. The array of CMOS devices may comprise an array of vertical pillar CMOS devices, an array of self aligned CMOS TFTs or an array of rail stack TFTs, as described in any previous embodiment. The CMOS devices are preferably formed as a three dimensional monolithic array above the substrate. However, the CMOS devices may also be formed in a two dimensional array in or above a semiconductor substrate, if desired.
  • The NMOS and PMOS transistors of the CMOS array may be formed adjacent to each other in the same device level in an alternating fashion (i.e., as alternating NMOS and PMOS transistors). However, in a preferred embodiment of the present invention, the one charge carrier type transistors (i.e., NMOS or PMOS) are formed above the other charge carrier type transistors (i.e., PMOS or NMOS) with a common gate line (also known as a word line in memory devices) between them. Thus, the array preferably comprises a plurality of vertically stacked, common gate CMOS transistors.
  • FIG. 87 illustrates one device level of a vertically stacked, common gate CMOS array in a rail stack configuration according to a preferred embodiment of the present invention. It should be noted that the array may also be arranged in a self aligned TFT or pillar configurations described previously. The CMOS array in FIG. 87 is similar to the array illustrated in FIG. 73, except that transistors of different charge carrier type are formed on either side of the gate line. In FIG. 87, the NMOS transistors are arranged below the PMOS transistors. However, it should be understood that the PMOS transistors may be arranged below the NMOS transistors if desired.
  • In FIG. 87, the array of CMOS devices 5300 is preferably formed over a planarized interlayer insulating layer 5301, such as a CMP planarized silicon oxide layer. Layer 5301 is formed over a substrate (not shown) as in the previous embodiments. Each CMOS device is thus a CMOS TFT because it is formed over an insulating layer. However, the CMOS devices may be formed in a monocrystalline silicon substrate, if desired.
  • The array includes a plurality of gate lines (i.e., word lines) 5303 (only one gate line is shown in the cross sectional view of FIG. 87). Preferably the gate line comprises a first N+ polysilicon layer 5305, a silicide layer 5307, such as a TiSix or WSix layer, over the first polysilicon layer and a second P+ polysilicon layer 5309 above the silicide layer. The gate line 5303 acts as a gate electrode in each TFT. Thus, no separate gate electrodes connected to the gate lines are required.
  • A first insulating layer 5311 is disposed adjacent to a first side of the gate electrode 5303. This insulating layer 5311 may be a conventional gate dielectric. Preferably, the insulating layer 5311 is a charge storage layer (i.e., charge trapping media), such as an ONO stack or isolated nanocrystals, to form charge storage CMOS TFTS, such as EEPROM CMOS TFTs. If floating gate type EEPROM CMOS TFTs are desired, then a floating gate and a control gate dielectric may be added between the insulating layer 5311 and the gate line 5303.
  • A p-type semiconductor layer 5313, such as a P− polysilicon layer, is disposed on a side of the first insulating layer opposite to the gate 5303. This layer contains the NMOS TFT bodies. N+ source and drain regions 5315 are disposed in layer 5313. The portions of layer 5313 between regions 5315 comprise NMOS TFT channel regions.
  • Preferably, the source and drain regions 5315 are formed by outdiffiusion of n-type dopants from the source and drain electrodes (i.e., bit lines) 5317. However, regions 5315 may be formed by any other method, such as by masking and ion implantation. The electrodes 5317 contact the source and drain regions 5315 and are disposed on the bottom of the p-type semiconductor layer 5313 (i.e., on the side of layer 5313 opposite to the first insulating layer 5311). Preferably, the electrodes 5317 comprise N+ polysilicon rails which extend in a direction perpendicular to the gate line 5303. If desired, an optional metal or metal silicide layer is formed in contact with electrodes 5317 to increase their conductivity. However, the electrodes 5317 may comprise metal or metal silicide instead of the heavily doped polysilicon, if desired. A planar insulating filler layer 5318, such as silicon oxide, is disposed between the source and drain electrodes 5317.
  • Thus, each NMOS TFT 5319 is located between adjacent source and drain regions 5315 and comprises a portion of layers 5305, 5311, 5313 and 5317, as illustrated in FIG. 87. The PMOS TFTS 5321 are located above the NMOS TFTs 5319.
  • The PMOS TFTs 5321 include a second insulating layer 5323 adjacent to a second side of the gate electrode 5303. In FIG. 87, layer 5323 is located on the P+ polysilicon layer 5309 of the gate line 5303. The insulating layer 5323 may be a conventional gate dielectric. Preferably, the insulating layer 5323 is a charge storage layer (i.e., charge trapping media), such as an ONO stack or isolated nanocrystals, to form charge storage CMOS TFTS, such as EEPROM CMOS TFTs. If floating gate type EEPROM CMOS TFTs are desired, then a floating gate and a control gate dielectric may be added between the insulating layer 5323 and the gate line 5303.
  • An n-type semiconductor layer 5325, such as an N− polysilicon layer, is disposed above the second insulating layer 5323. Layer 5325 is disposed on the opposite side of layer 5323 from the gate electrode 5303. P+ source and drain regions 5327 are disposed in layer 5325, such that regions of layer 5325 between the source and drain regions 5327 comprise channel regions of PMOS TFTs. Source and drain electrodes 5329 are disposed over the N− polysilicon layer 5325 and in contact with the source and drain regions 5329. Thus, the electrodes 5329 are disposed on top side of the N− polysilicon layer 5325 opposite to the second insulating layer 5323. A planar insulating filler layer 5331, such as silicon oxide, is disposed between the source and drain electrodes 5329. If desired, an optional metal or metal silicide layer is formed in contact with electrodes 5329 to increase their conductivity.
  • Thus, each PMOS TFT 5321 is located between adjacent source and drain regions 5327 and comprises a portion of layers 5309, 5323, 5325 and 5329, as illustrated in FIG. 87. A TFT EEPROM CMOS device (5319 and 5321) is formed at each intersection of the first and the third spaced-apart electrodes or conductors 5317, 5329 and the common gate line 5303. If desired, the CMOS structure may be inverted and the PMOS TFTs formed below NMOS TFTs. It should be noted that NMOS and PMOS electrodes (i.e., bit lines) do not have to fall directly on top of each other, although they preferably should have the same pitch. NMOS and PMOS transistors thus can have different channel lengths, but the pitch (and thus array size) will be limited by the longer of the two channel lengths. In one preferred aspect, TFTs of one conductivity type (i.e., NMOS or PMOS TFTs) contain a charge storage layer or region, while TFTs of the other conductivity type (i.e., PMOS or NMOS) do not have a charge storage region or layer. Thus, the CMOS of this aspect comprises one EEPROM TFT and one non-EEPROM TFT.
  • The TFT CMOS device array 5300 illustrated in FIG. 87 is highly planar and compact. The NMOS source and drain electrodes 5317 comprise polysilicon rails which extend above the interlayer insulating layer 5301 in a first plane parallel to the substrate surface. The p-type polysilicon layer 5313 extends above the source and drain electrodes 5317 in a second plane. The gate line 5303 extends above layers 5317, 5313 and 5311 in a third plane. The n-type polysilicon layer 5325 extends above the gate line 5303 in a fourth plane. The PMOS source and drain electrodes 5329 comprise polysilicon rails which extend above the n-type semiconductor layer 5325 in a fifth plane. Each of the five planes does not intersect any of the other planes.
  • The TFT CMOS array 5300 is also self aligned. The gate electrode 5303, the first insulating layer 5311, the p-type semiconductor layer 5313, the second insulating layer 5323 and the n-type semiconductor layer 5325 comprise a rail stack which is located in a plane parallel to the substrate. The rail stack extends perpendicular to the source and drain electrodes 5317, 5329. Thus, the gate electrode 5303, the first insulating layer 5311, the p-type semiconductor layer 5313, the second insulating layer 5323 and the n-type semiconductor layer 5325 are self aligned in a plane perpendicular to the substrate and parallel to the source to drain direction, as will be described in more detail below.
  • The TFT CMOS array 5300 is preferably arranged in a monolithic three dimensional array comprising a plurality of device levels vertically separated by one or more interlayer insulating layers. Each device level the array contains TFT CMOS devices 5300, as in the previous embodiments. A peripheral or driver circuit (not shown) is arranged in the substrate, preferably below the array and at least in partial vertical alignment with the array, or alternatively, within or above the array and at least in partial vertical alignment with the array.
  • FIGS. 88A-D illustrate a method of making the rail stack TFT CMOS array 5300 according to a preferred embodiment of the present invention. First, an N+ polysilicon layer is deposited and patterned to form the source and drain electrodes or conductors 5317. An insulating layer 5318, such as a silicon dioxide layer, is then deposited over and between the conductors 5317. Layer 5318 is then planarized by CMP to form a planarized block 5331, as shown in FIG. 88A. The top surfaces of the conductors 5317 are exposed in the top surface of the block.
  • A stack of layers is then deposited on the block 5332. These layers include the p-type polysilicon (or amorphous silicon) layer 5313, the first insulating or local charge storage film 5311, the gate layer 5303, the second insulating or charge storage film 5323 and the n-type polysilicon (or amorphous silicon) layer 5325. A photoresist mask (not shown) is then formed over this stack, and the stack of layers is patterned to form a plurality of rail stacks 5333 (only one rail stack 5333 is shown in FIG. 88B for clarity). The mask may be removed after all the layers have been patterned. Since all of the layers in rail stack 5333 are patterned during the same step, the layers in the rail stack 5333 are self aligned in a plane perpendicular to the substrate (i.e., the sides of the rail stack 5333 are planar). The rail stacks 5333 are disposed above the block 5332. The rail stacks extend in a different direction from the direction of the electrodes 5317. Preferably, the rail stack 5333 and the electrodes 5317 extend in perpendicular directions within the array, as shown in FIG. 88B.
  • An insulating layer 5331, such as a silicon oxide layer, is then deposited over the rail stack 5333, such that it fills in the spaces 5335 between the rail stacks 5333, as shown in FIG. 88C. Layer 5331 is then planarized by CMP. A photoresist mask (not shown) is formed on layer 5331, and parallel trenches 5339 are etched in layer 5331 using the mask. The trenches extend parallel to the electrodes 5317 and perpendicular to the rail stacks 5333, as shown in FIG. 88C.
  • If desired, optional sidewall spacers (not shown) are formed on the sidewalls of the rail stack 5333 before the deposition of layer 5331. Preferably, the spacers are made from an insulating material that is different from the material of layer 5331. The spacers are preferably made of silicon nitride. The spacers protect the sidewalls of the stack 5333 during the etching of the trenches. The spacers keep the trench etch from extending too far past the top of the gate lines in the area between gate lines, to protect against gate to source/drain shorts.
  • Using layer 5331 and/or the photoresist as a mask, p-type ions (i.e., boron or BF2) are implanted into the exposed n-type semiconductor layer 5325 through the trenches 5339. The ions form P+ source and drain regions 5327 in layer 5325, as shown in FIG. 88D.
  • A p-type polysilicon layer is then deposited over layer 5331 and in the trenches 5339. The polysilicon layer is planarized by CMP or etched back to form a plurality of spaced apart P+ electrodes 5329 embedded in the planarized insulating layer 5331. The electrodes 5329 are located above the rail stacks 5333 and contact the P+ source and drain regions 5327. Since the electrodes 5329 and source and drain regions 5327 are formed during the same lithography step, there is no misalignment between the electrodes 5329 and source and drain regions 5327. Alternatively, the source and drain regions 5327 may be formed by outdiffusion from the electrodes 5329 rather than by ion implantation into the trenches 5339.
  • The array is annealed to form N+ source and drain regions 5315 by outdiffusion from N+ electrodes 5317 and to recrystallize the amorphous or polysilicon semiconductor layers 5313 and 5325. The outdiffusion and recrystallization may be carried out during the same or different annealing steps at any desired point in the fabrication process.
  • If desired, an interlayer insulating layer is formed over the array shown in FIGS. 87 and 88D, and another device level containing another array of TFT CMOS EEPROM devices 5300 is monolithically formed thereon. Routing metallization layers (preferably a metal layer other than aluminum) may be formed in the interlayer insulating layer. Additional interlayer insulating layers and device levels may be formed over the second level of the array if desired, to form at least three device layers. In another alternative aspect of this embodiment, a second rail stack containing a gate line is formed directly on top of the PMOS electrodes 5329 without an intervening interlayer insulating layer. Thus, the PMOS electrodes 5329 would contain source and drain regions in two rail stacks. In other words, plural device levels may be formed without intervening interlayer insulating layers to form a three dimensional monolithic array. This arrangement offers more transistors with fewer processing steps, but with less programming flexibility.
  • As shown in FIG. 89, the resulting TFT CMOS array is a matrix of NMOS 5319 and PMOS 5321 devices with common gates 5303. The array shown in FIG. 89 is an unprogrammed or unconfigured array. The array can then be configured into logic elements or memory devices by rupturing the gate dielectric (i.e., the charge storage film or region) to form a conductive link which connects the gate lines (i.e., word line rows) 5303 and source and drain electrodes 5317, 5329 (i.e., bit lines), or by storing charge in the charge storage regions of either NMOS or PMOS transistors to raise their threshold voltages and keep them permanently off. The array of TFT CMOS EEPROM devices 5300 may be used to form either logic elements or a memory array. Furthermore, the same semiconductor device in the unconfigured array may be used either as an antifuse or as an EPROM or an EEPROM.
  • According to a preferred embodiment of the present invention, a circuit comprising a plurality of charge storage devices and a plurality of antifuse devices is provided. The circuit may comprise a field programmable gate array or a programmable logic device. Preferably, the plurality of charge storage devices and the plurality of antifuse devices comprise a same set of devices. This greatly simplifies the fabrication of the circuit. These devices function as charge storage devices when a first programming voltage is applied to the devices to turn these devices off by increasing their threshold voltage. These devices also function as antifuses when a second programming voltage higher than a first voltage is applied to the devices. The second voltage may be any voltage which is sufficient to form a conductive link through the charge storage region. For example, the first (i.e., charge storage voltage) may be less than 5 volts, while the second voltage sufficient to form the conductive link may be 5-50 volts, depending on the device characteristics. The voltages are provided to the devices by the driver or peripheral circuit. However, if desired, charge storage and antifuse semiconductor devices having a different structure may be provided.
  • It should be noted that any charge storage devices which function as an antifuse when a conductive link has been formed through its charge storage region are within the scope of the present invention. Thus, any device is within the scope of the present invention if the device contains a semiconductor active region, a charge storage region adjacent to the semiconductor active region, a first electrode and second electrodes, and where charge is stored in the charge storage region when a first programming voltage is applied between the first and the second electrodes, and a conductive link is formed through the charge storage region to form a conductive path between the first and the second electrodes. Therefore, a charge storage device which is capable of being used as an antifuse is not limited to rail stack TFT EEPROMs. Such charge storage devices may include the pillar or self aligned TFT EEPROMs and diodes with charge storage regions of the previous embodiments, as well as EPROMs and EEPROMs formed in a single crystal semiconductor substrates. FIG. 90 illustrates how a 4×4 cell array of the circuit of FIG. 89 can be programmed into an inverter 5343. First, a high voltage is applied between gate (i.e., word) line 5345 and bit lines 5347, which will be used to carry the output voltage, Vout. This causes conductive antifuse links 5348 to form to electrically connect lines 5345 and 5347. Then, the driver circuit provides a programming voltage to all other transistors 5350 to increase their threshold voltage to turn them off, except to NMOS transistors 5355 and PMOS transistors 5357. The NMOS 5355 and PMOS 5357 transistors form the inverter. When a high voltage, Vin, is provided into gate line 5349, then a low voltage, Vout, is read out, and vice-versa. Voltages VSS (i.e., ground) and VDD (i.e., power supply voltage) are provided into bit lines 5351 and 5353 which are connected to transistors 5355 and 5357.
  • FIG. 91 illustrates how a 4×4 cell array of the circuit of FIG. 89 can be programmed into a two input NAND gate 5360. First, a high voltage is applied between gate (i.e., word) line 5345 and bit lines 5347, which will be used to carry the output voltage, Vout. This causes conductive antifuse links 5348 to form to electrically connect lines 5345 and 5347. Then, the driver circuit provides a programming voltage to all other transistors 5350 to increase their threshold voltage to turn them off, except for PMOS transistors 5361 and 5365 and NMOS transistors 5363 and 5365. The transistors 5361, 5363, 5365 and 5367 form the NAND gate. Input voltages Vin1 and Vin2 are provided into gate lines 5369 and 5371. CMOS 5361/5363 is connected to gate line 5369, while transistors 5365 and 5367 are connected to gate line 5371. Voltages VSS and VDD are provided into bit lines 5373 and 5375. NMOS 5367 is connected to bit line 5375, while PMOS 5361 and 5365 are connected to bit line 5373. Output voltages can be read out from lines 5345 or 5347, which are connected by a blown antifuse 5348.
  • FIG. 92 illustrates how a 5x6 cell array of the circuit of FIG. 89 can be programmed into a static random access memory (SRAM) 5380. First, a high voltage is applied between gate (i.e., word) lines 5381 and 5383 and bit lines 5385, 5386, 5387 and 5388. This causes conductive antifuse links 5348 to form to electrically connect lines 5381 with lines 5385 and 5386, and to electrically connect lines 5383 with lines 5387 and 5388. Then, the driver circuit provides a programming voltage to all other transistors 5350 to increase their threshold voltage to turn them off, except for transistors 5389, 5390, 5391, 5392, 5393 and 5394. The transistors 5389 and 5390 are the SRAM access transistors, while transistors 5391, 5392, 5393 and 5394 are the cross coupled inverters. The cell is accessed by placing a positive voltage on the word line 5395. Data is input onto and read out of BL and BL-bar, which are provided into bit lines 5396 and 5397, respectively. Voltages VSS and VDD are provided into bit lines 5398 and 5399, respectively.
  • FIGS. 89-91 show various exemplary configurations that can be programmed. It should be noted that any other desired logic or memory device, such as a NOR gate, etc., may be programmed using the methods described above. Since all logic fumctions can be performed by basic elements, such as NAND gates, any logic circuit can be programmed into this type of an array. Furthermore, logic and memory devices may be programmed into the same circuit if desired. For logic devices, in general, the size of the logic block is (x+1)2 times the cell area, where (x) is the number of inputs on the logic gate. Since the cell area here can be as small as 4 F2, where F is the minimum feature size (half-pitch), then for F=0.25 microns, the minimum area per logic gate is 4(F(x+1))2, or 2.25 microns squared for a 2-input NAND or NOR gate. Preferably, the area per logic gate is 4(F(x+1))2 to 5(F(x+1))2. This size includes an “isolation” row and column on each edge of the block, that is shared with the next block.
  • VI. Metal Induced Crystallization
  • A preferred embodiment of the present invention is directed to a non-volatile thin film transistor (TFT) memory or logic device constructed above a substrate and including a source, drain and channel region made of deposited or grown amorphous silicon or polysilicon that has been crystallized by means of a transition metal-induced lateral crystallization (MILC) process. A two- or, more preferably, a three-dimensional many-times programmable (MTP) non-volatile memory or logic is constructed of such thin film transistor memory devices.
  • In accordance with the first aspect of the present embodiment, it is desirable to improve the performance characteristics of TFT-based non-volatile memory or logic cells having a channel formed in a deposited thin layer of silicon, such as amorphous silicon (a-Si) or polysilicon. This can be accomplished if the grain size of the a-Si or polysilicon can be increased to resemble monocrystalline silicon.
  • In the past, crystallization of a-Si has been accomplished in a number of ways. In accordance with a first approach, a-Si may be partially crystallized to form polycrystalline silicon with an anneal step taking tens of hours at about 600° C. This approach is not advantageous because the devices formed in that material have lower-performance characteristics and they take a relatively long amount of time to fabricate. Thus, crystallization can be enhanced by the use of transition metal or germanium catalysts to induce lateral crystallization at seeding sites.
  • Unfortunately, most transistor-based devices fabricated in this manner suffer from relatively poor performance characteristics (relative to monocrystalline silicon) and exhibit subthreshold slope values on the order of 100's of mV/dec and an Idsat of 10's of μA/μm. The metal-induced lateral crystallization (MILC) is carried out at a temperature of about 400° C. to about 700° C. to achieve lateral crystallization growth rates of several or more μm/hr. To further enlarge the silicon crystal sites to hundreds of microns, a relatively short duration high temperature anneal step, e.g., 900° C. for 30 minutes, is added to simultaneously crystallize multiple layers of a-Si (or another semiconductor material). Note that a crystallization temperature range of about 750° C. to about 975° C. will also provide satisfactory results if the time of the anneal is adjusted accordingly. This short duration high temperature anneal will not saturate the diffusion regions of the devices contemplated herein and can be applied once to a multi-level device, as can the low temperature anneal step.
  • An example of a process for recrystallizing a deposited a-Si layer in accordance with a specific embodiment of the present invention is now described and illustrated in FIGS. 93-95. Those of ordinary skill in the art will now realize that many routine modifications to the process illustrated here are possible and do not affect the inventive concepts set forth herein.
  • Turning now to FIGS. 93-95, a process flow diagram of a fabrication process for a crystallized deposited (or grown) a-Si layer is illustrated in FIG. 93. FIGS. 94A-94H illustrate vertical cross sections of a silicon wafer prepared in accordance with the process of FIG. 93. FIG. 95 illustrates the effect of metal-induced lateral crystallization (MILC) through seeding windows 5424 in a-Si deposited over buried oxide over a standard silicon wafer.
  • The first step 5406 of the process 5408 is to grow (or deposit) a thick oxide layer 5410 (FIG. 94A) (e.g., 3000 Å) on a standard silicon wafer substrate 5412 to provide a buried oxide layer. The next step 5414 is to deposit a thin amorphous silicon (a-Si) layer 5416 (e.g., 1000 Å) over buried oxide layer 5410. This can be accomplished, for example, with low pressure chemical vapor deposition (LPCVD) at 550° C. using SiH4 as the silicon source at a flow rate of 70 SCCM and a pressure of 300 mtorr. Alternatively, layer 5416 may comprise a polysilicon layer. The next step 5418 is to deposit a sacrificial low temperature oxide (LTO) layer 5420 (e.g., 3000 Å) and then in step 5419 to pattern it with mask 5422 and etch to expose transition metal seeding widows 5424. These seeding windows can be slots approximately 2 μm in width as shown in FIG. 95. Mask 5422 can now be removed.
  • The next step 5426 is to deposit a transition metal layer 5428 (e.g., 100 Å Ni (nickel)) over LTO layer 5420. Other transition metals may be used although Ni is presently preferred. Other transition metals which may also be used, but which are less desirable than Ni are: Fe (iron), Co (cobalt), Ru (ruthenium), Rh (rhodium), Pd (palladium), Os (osmium), Ir (iridium), Pt (platinum), Cu (copper) and Au (gold). Germanium may also be used if desired. The transition metal may also be introduced into the seeding window by implantation and other mechanisms well known to those of ordinary skill in the art.
  • The next step 5430 is to anneal for initial lateral crystallization. This step, illustrated in FIG. 94 F, may be carried out in a range of temperature and times. For example, a 20 hour anneal at 560° C. in N2 ambient will work. Lower temperatures require longer anneal times, higher temperatures require shorter anneal times. Those of ordinary skill in the art will now recognize that this can be optimized for throughput considerations. This step performs a crystallization which may be adequate for certain devices and provide silicon grain sizes of several to tens of μm. Other devices requiring even more performance and silicon grain sizes in the hundreds of μm may require the high temperature anneal step discussed below.
  • The next step 5432 is to strip the remaining transition metal layer 5428. This may be performed with H2SO4:H2O2 (4:1) at 70° C. Then step 5434 is the LTO layer 5420 is stripped with HF.
  • Finally, a high temperature anneal step 5436 (e.g., 900° C., 30 minutes, N2 ambient) is conducted (if desired) to further crystallize the partially crystallized a-Si to form even larger grain silicon crystals, (>100 μm in size). This step gives the crystallized a-Si layer (i.e., a large grain polysilicon layer) performance characteristics similar to conventional SOI (silicon on insulator) CMOS technology. Note that transition metal-crystallized semiconductor material as used herein will contain trace detectable amounts of the transition metal(s) used for facilitating the crystallization. In normal semiconductor processing, trace amounts of transition metals (typically Fe, Ni) will escape the structure of the semiconductor fabrication equipment (usually containing stainless steel) and embed themselves into the semiconductor film where the TFT channel would be formed. Normally these transition metals are present at a level of less than about 1014 atoms/cc. In transition metal crystallization, however additional trace amounts of transition metals in excess of about 1014 atoms/cc and up to about 1018 atoms/cc will remain in the crystallized semiconductor material after processing. This is generally not a contamination problem, however, where it is desired to create a gradient of such contaminants, a gettering material, e.g., P (phosphorous), may be placed in the source and/or drain regions of the TFT to reduce the concentration of such contaminants in the channel region by increasing the concentration of such contaminants in the respective source and/or drain regions. Formation of devices in the region of the seeding windows 5424 should be avoided due to excessive transition metal contamination.
  • The above described metal induced crystallization method may be used to recrystallize the active semiconductor layer of any of the above described devices. Thus, pillar TFTs, self-aligned TFTs, rail stack TFTs and diodes (i.e., an active semiconductor layer which contains one or more p-n junctions) of various configurations may be formed in the recrystallized a-Si or polysilicon.
  • VII. Metallization
  • In the various embodiments described above, a metal silicide layer was formed in contact with a silicon layer, such as a polysilicon word line or bit line. One preferred method of forming a titanium silicide layer in contact with a silicon layer is by using a silicon cap and a TiN layer. The titanium silicide layer is formed on an undoped amorphous silicon cap layer. The cap layer is formed on a heavily doped silicon layer, such as a polysilicon or amorphous silicon layer doped to a concentration in excess of 1019 cm−3, such as 1019 cm−3 to 1021 cm−3. The cap layer is preferably deposited on P+ polysilicon or N+ amorphous silicon layers. The N+ amorphous silicon may then be recrystallized into N+ polysilicon during subsequent annealing steps.
  • A method of forming a titanium silicide (TiSi2) layer comprises the following steps. A heavily doped polysilicon layer is deposited. For example, a P+ polysilicon layer is boron doped to a concentration of 5×1020 cm−3, and has a thickness of about 1400 Angstroms. A cap layer of undoped amorphous silicon is deposited on the P+ polysilicon layer. The cap may be 600 Angstroms thick, for example. A titanium layer is deposited on the cap. The titanium layer may be 250 Angstroms thick, for example. A titanium nitride layer is deposited on the titanium layer. The titanium nitride layer may be 100 Angstroms thick, for example. Other layer thicknesses may be used, as required.
  • The layers are annealed at a temperature below 650° C. for less than five minutes to react the titanium and the silicon in the cap to form a C49 phase TiSi2 layer. The anneal may be carried out at 600° C. for 1 minute, for example. If desired, another P+ polysilicon layer is deposited over the stack and the stack is etched into a thin “wire” or “rail”, such as a word line or bit line. The wire or rail may be 0.25 mm wide or less. The titanium silicide is then transformed from the C49 to the C54 phase by a high temperature (i.e., above 650° C.) anneal. The anneal can take place before or after the wires or rails are patterned, at 800° C. for one minute, for example. By annealing each Si/Ti/TiN film stack below 650° C., dopant diffusion and thermal grooving of the TiSi2 is minimized. Multiple film stacks can be deposited and etched sequentially.
  • The foregoing description of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and modifications and variations are possible in light of the above teachings or may be acquired from practice of the invention. The drawings and description were chosen in order to explain the principles of the invention and its practical application. The drawings are not necessarily to scale and illustrate the arrays in schematic block format. It is intended that the scope of the invention be defined by the claims appended hereto, and their equivalents.

Claims (9)

1. An array of nonvolatile memory cells, wherein each memory cell comprises a semiconductor device and each memory cell size per bit is about (2 f2)/N, where f is a minimum feature size and N is a number of device layers in a third dimension, and where N≧1.
2. The array of claim 1, wherein:
the array comprises a monolithic three dimensional memory array, comprising a plurality of vertically separated device levels, where N>1; and
the semiconductor device comprises a TFT EEPROM comprising a channel, source and drain regions, and a charge storage region.
3. The array of claim 2, further comprising:
a plurality of bit line columns in each device level, each bit line contacting the source or the drain regions of the TFT EEPROMs, and the columns of the bit lines extending substantially perpendicular to a source-channel-drain direction of the TFT EEPROMs;
a plurality of word line rows in each device level, and the rows of the words lines extending substantially parallel to the source-channel-drain direction of the TFT EEPROMs; and
at least one interlayer insulating layer located between the device levels.
4. The array of claim 3, wherein:
the TFT EEPROMs further comprise control gates; and
the plurality of word lines are self aligned to the control gates of the respective TFT EEPROMs, to the channel regions of the respective TFT EEPROMs, and to the charge storage regions of the respective TFT EEPROMs located below the respective word lines.
5. The array of claim 4, wherein the TFT EEPROMs further comprise:
sidewall spacers located adjacent to sidewalls of the gates of the TFT EEPROMs, wherein the sidewall spacers have approximately the same height as the gates; and
an intergate insulating layer which is located between the sidewall spacers, and above the source and the drain regions of the TFT EEPROMs in each device layer, and wherein the intergate insulating layer has approximately the same height as the sidewall spacers.
6. The array of claim 5, wherein:
the word lines are located on the sidewall spacers and on the intergate insulating layer in each device level; and
the word lines contact the respective TFT EEPROM control gates through an opening between the sidewall spacers.
7. The array of claim 3, wherein the TFT EEPROMs further comprise:
floating gates located in the charge storage regions;
sidewall spacers located adjacent to sidewalls of the floating gates of the TFT EEPROMs; and
an intergate insulating layer which is located between the sidewall spacers, and above the source and the drain regions of the TFT EEPROMs in each device layer, and wherein the intergate insulating layer has approximately the same height as the sidewall spacers; and
a control gate dielectric located above the sidewall spacers and the intergate insulating layer and below the word lines.
8. The array of claim 7, wherein the floating gates extend vertically and laterally above the sidewall spacers, such that the floating gates have a “T” shape.
9. The array of claim 3, further comprising word line contacts and bit line contacts which connect the word lines and the bit lines with peripheral circuits located in a semiconductor substrate below the first device level of the array; and
wherein the bit line contacts extend between plural device layers.
US11/544,666 2000-08-14 2006-10-10 Dense arrays and charge storage devices Abandoned US20070029607A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/544,666 US20070029607A1 (en) 2000-08-14 2006-10-10 Dense arrays and charge storage devices
US12/320,351 US7825455B2 (en) 2000-08-14 2009-01-23 Three terminal nonvolatile memory device with vertical gated diode
US13/027,113 US20110156044A1 (en) 2000-08-14 2011-02-14 Dense arrays and charge storage devices

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US63957900A 2000-08-14 2000-08-14
US63970200A 2000-08-14 2000-08-14
US63974900A 2000-08-17 2000-08-17
US74512500A 2000-12-21 2000-12-21
US80123301A 2001-03-06 2001-03-06
US27985501P 2001-03-28 2001-03-28
US09/927,648 US6881994B2 (en) 2000-08-14 2001-08-13 Monolithic three dimensional array of charge storage devices containing a planarized surface
US10/842,008 US7129538B2 (en) 2000-08-14 2004-05-10 Dense arrays and charge storage devices
US11/544,666 US20070029607A1 (en) 2000-08-14 2006-10-10 Dense arrays and charge storage devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/842,008 Division US7129538B2 (en) 2000-08-14 2004-05-10 Dense arrays and charge storage devices

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/320,351 Continuation US7825455B2 (en) 2000-08-14 2009-01-23 Three terminal nonvolatile memory device with vertical gated diode
US13/027,113 Division US20110156044A1 (en) 2000-08-14 2011-02-14 Dense arrays and charge storage devices

Publications (1)

Publication Number Publication Date
US20070029607A1 true US20070029607A1 (en) 2007-02-08

Family

ID=27559541

Family Applications (14)

Application Number Title Priority Date Filing Date
US09/927,648 Expired - Lifetime US6881994B2 (en) 2000-08-14 2001-08-13 Monolithic three dimensional array of charge storage devices containing a planarized surface
US10/842,008 Expired - Lifetime US7129538B2 (en) 2000-08-14 2004-05-10 Dense arrays and charge storage devices
US10/849,000 Expired - Lifetime US6992349B2 (en) 2000-08-14 2004-05-20 Rail stack array of charge storage devices and method of making same
US11/544,666 Abandoned US20070029607A1 (en) 2000-08-14 2006-10-10 Dense arrays and charge storage devices
US12/320,351 Expired - Fee Related US7825455B2 (en) 2000-08-14 2009-01-23 Three terminal nonvolatile memory device with vertical gated diode
US13/027,113 Abandoned US20110156044A1 (en) 2000-08-14 2011-02-14 Dense arrays and charge storage devices
US13/468,731 Expired - Fee Related US8981457B2 (en) 2000-08-14 2012-05-10 Dense arrays and charge storage devices
US14/227,425 Expired - Fee Related US8823076B2 (en) 2000-08-14 2014-03-27 Dense arrays and charge storage devices
US14/227,644 Expired - Fee Related US8853765B2 (en) 2000-08-14 2014-03-27 Dense arrays and charge storage devices
US14/494,320 Expired - Fee Related US9171857B2 (en) 2000-08-14 2014-09-23 Dense arrays and charge storage devices
US14/856,131 Expired - Lifetime US9559110B2 (en) 2000-08-14 2015-09-16 Dense arrays and charge storage devices
US15/368,396 Expired - Fee Related US10008511B2 (en) 2000-08-14 2016-12-02 Dense arrays and charge storage devices
US15/971,293 Expired - Lifetime US10644021B2 (en) 2000-08-14 2018-05-04 Dense arrays and charge storage devices
US16/851,282 Abandoned US20200251492A1 (en) 2000-08-14 2020-04-17 Dense arrays and charge storage devices

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/927,648 Expired - Lifetime US6881994B2 (en) 2000-08-14 2001-08-13 Monolithic three dimensional array of charge storage devices containing a planarized surface
US10/842,008 Expired - Lifetime US7129538B2 (en) 2000-08-14 2004-05-10 Dense arrays and charge storage devices
US10/849,000 Expired - Lifetime US6992349B2 (en) 2000-08-14 2004-05-20 Rail stack array of charge storage devices and method of making same

Family Applications After (10)

Application Number Title Priority Date Filing Date
US12/320,351 Expired - Fee Related US7825455B2 (en) 2000-08-14 2009-01-23 Three terminal nonvolatile memory device with vertical gated diode
US13/027,113 Abandoned US20110156044A1 (en) 2000-08-14 2011-02-14 Dense arrays and charge storage devices
US13/468,731 Expired - Fee Related US8981457B2 (en) 2000-08-14 2012-05-10 Dense arrays and charge storage devices
US14/227,425 Expired - Fee Related US8823076B2 (en) 2000-08-14 2014-03-27 Dense arrays and charge storage devices
US14/227,644 Expired - Fee Related US8853765B2 (en) 2000-08-14 2014-03-27 Dense arrays and charge storage devices
US14/494,320 Expired - Fee Related US9171857B2 (en) 2000-08-14 2014-09-23 Dense arrays and charge storage devices
US14/856,131 Expired - Lifetime US9559110B2 (en) 2000-08-14 2015-09-16 Dense arrays and charge storage devices
US15/368,396 Expired - Fee Related US10008511B2 (en) 2000-08-14 2016-12-02 Dense arrays and charge storage devices
US15/971,293 Expired - Lifetime US10644021B2 (en) 2000-08-14 2018-05-04 Dense arrays and charge storage devices
US16/851,282 Abandoned US20200251492A1 (en) 2000-08-14 2020-04-17 Dense arrays and charge storage devices

Country Status (8)

Country Link
US (14) US6881994B2 (en)
EP (3) EP1312120A1 (en)
JP (1) JP5792918B2 (en)
KR (2) KR100819730B1 (en)
CN (2) CN101179079B (en)
AU (1) AU2001286432A1 (en)
MY (1) MY129228A (en)
WO (1) WO2002015277A2 (en)

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060014329A1 (en) * 2004-07-16 2006-01-19 Samsung Electronics Co., Ltd., Nanodots formed on silicon oxide and method of manufacturing the same
US20060249755A1 (en) * 2005-05-06 2006-11-09 Hsiu-Lan Kuo Method to prevent arcing during deep via plasma etching
US20070076467A1 (en) * 2005-10-04 2007-04-05 Renesas Technology Corp. Semiconductor memory device
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080305594A1 (en) * 2007-06-07 2008-12-11 Promos Technologies Inc. Method for fabricating non-volatile memory
US20090003082A1 (en) * 2007-06-28 2009-01-01 Sandisk 3D Llc Method of making memory cell with voltage modulated sidewall poly resistor
US20090168492A1 (en) * 2007-12-28 2009-07-02 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
US20090180324A1 (en) * 2008-01-15 2009-07-16 Ramaswamy D V Nirmal Semiconductor Constructions, NAND Unit Cells, Methods Of Forming Semiconductor Constructions, And Methods Of Forming NAND Unit Cells
US20090230450A1 (en) * 2008-03-17 2009-09-17 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US20090236657A1 (en) * 2008-03-24 2009-09-24 Micron Technology, Inc. Impact ionization devices and methods of making the same
US20090256191A1 (en) * 2008-04-15 2009-10-15 White Ted R Split gate non-volatile memory cell with improved endurance and method therefor
US20110215407A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US20110215436A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
WO2012044473A1 (en) * 2010-09-28 2012-04-05 Sandisk 3D, Llc Counter doping compensation methods to improve diode performance
US20120299056A1 (en) * 2011-05-27 2012-11-29 Renesas Electronics Corporation Method of manufacturing semiconductor device and semiconductor device
US20140061577A1 (en) * 2012-08-31 2014-03-06 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
US8809145B2 (en) 2010-03-02 2014-08-19 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8823076B2 (en) 2000-08-14 2014-09-02 Sandisk 3D Llc Dense arrays and charge storage devices
US8841715B2 (en) 2010-03-02 2014-09-23 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8980699B2 (en) 2010-03-02 2015-03-17 Micron Technology, Inc. Thyristor-based memory cells, devices and systems including the same and methods for forming the same
US9025386B1 (en) * 2013-11-20 2015-05-05 International Business Machines Corporation Embedded charge trap multi-time-programmable-read-only-memory for high performance logic technology
US9129983B2 (en) 2011-02-11 2015-09-08 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US9269795B2 (en) 2011-07-26 2016-02-23 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US9361966B2 (en) 2011-03-08 2016-06-07 Micron Technology, Inc. Thyristors
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US9620514B2 (en) 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9666594B2 (en) 2014-09-05 2017-05-30 Sandisk Technologies Llc Multi-charge region memory cells for a vertical NAND device
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9837431B2 (en) 2015-11-20 2017-12-05 Sandisk Technologies Llc 3D semicircular vertical NAND string with recessed inactive semiconductor channel sections
US9935123B2 (en) 2015-11-25 2018-04-03 Sandisk Technologies Llc Within array replacement openings for a three-dimensional memory device
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10199434B1 (en) 2018-02-05 2019-02-05 Sandisk Technologies Llc Three-dimensional cross rail phase change memory device and method of manufacturing the same
US10373956B2 (en) 2011-03-01 2019-08-06 Micron Technology, Inc. Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors
US10381375B2 (en) * 2017-07-17 2019-08-13 SK Hynix Inc. Semiconductor device and manufacturing method thereof
US10468596B2 (en) 2018-02-21 2019-11-05 Sandisk Technologies Llc Damascene process for forming three-dimensional cross rail phase change memory devices
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US10629691B2 (en) 2018-04-03 2020-04-21 SK Hynix Inc. Semiconductor device and manufacturing method thereof
US10868025B2 (en) 2018-11-26 2020-12-15 Sandisk Technologies Llc Three-dimensional memory device including replacement crystalline channels and methods of making the same
US10950700B2 (en) 2018-04-03 2021-03-16 SK Hynix Inc. Semiconductor device and manufacturing method of semiconductor device
US11069410B1 (en) 2020-08-05 2021-07-20 Sandisk Technologies Llc Three-dimensional NOR-NAND combination memory device and method of making the same
US11114534B2 (en) 2019-12-27 2021-09-07 Sandisk Technologies Llc Three-dimensional nor array including vertical word lines and discrete channels and methods of making the same
US11482539B2 (en) 2020-10-28 2022-10-25 Sandisk Technologies Llc Three-dimensional memory device including metal silicide source regions and methods for forming the same
US11521984B2 (en) 2020-06-24 2022-12-06 Sandisk Technologies Llc Three-dimensional memory device containing low resistance source-level contact and method of making thereof
US11626415B2 (en) 2021-02-16 2023-04-11 Sandisk Technologies Llc Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same
US11882702B2 (en) 2021-02-16 2024-01-23 Sandisk Technologies Llc Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same

Families Citing this family (838)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779597B2 (en) * 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6856572B2 (en) * 2000-04-28 2005-02-15 Matrix Semiconductor, Inc. Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device
KR100426380B1 (en) * 2001-03-30 2004-04-08 주승기 Method of crystallizing a silicon layer and method of fabricating a semiconductor device using the same
DE10130766B4 (en) 2001-06-26 2005-08-11 Infineon Technologies Ag Vertical transistor, memory arrangement and method for producing a vertical transistor
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6754108B2 (en) * 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7075829B2 (en) * 2001-08-30 2006-07-11 Micron Technology, Inc. Programmable memory address and decode circuits with low tunnel barrier interpoly insulators
US7087954B2 (en) * 2001-08-30 2006-08-08 Micron Technology, Inc. In service programmable logic arrays with low tunnel barrier interpoly insulators
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US6963103B2 (en) * 2001-08-30 2005-11-08 Micron Technology, Inc. SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
US6690026B2 (en) * 2001-09-28 2004-02-10 Intel Corporation Method of fabricating a three-dimensional array of active media
US7219271B2 (en) 2001-12-14 2007-05-15 Sandisk 3D Llc Memory device and method for redundancy/self-repair
JP3879518B2 (en) * 2002-01-21 2007-02-14 ソニー株式会社 Magnetic storage device and manufacturing method thereof
US6781189B2 (en) * 2002-01-22 2004-08-24 Micron Technology, Inc. Floating gate transistor with STI
US6853049B2 (en) 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030218896A1 (en) * 2002-05-22 2003-11-27 Pon Harry Q Combined memory
DE10224956A1 (en) * 2002-06-05 2004-01-08 Infineon Technologies Ag Process for setting the threshold voltage of a field effect transistor, field effect transistor and integrated circuit
US6853587B2 (en) * 2002-06-21 2005-02-08 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6734063B2 (en) * 2002-07-22 2004-05-11 Infineon Technologies Ag Non-volatile memory cell and fabrication method
US7019353B2 (en) * 2002-07-26 2006-03-28 Micron Technology, Inc. Three dimensional flash cell
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6835619B2 (en) * 2002-08-08 2004-12-28 Micron Technology, Inc. Method of forming a memory transistor comprising a Schottky contact
US6808983B2 (en) * 2002-08-27 2004-10-26 Micron Technology, Inc. Silicon nanocrystal capacitor and process for forming same
US6740957B2 (en) * 2002-08-29 2004-05-25 Micron Technology, Inc. Shallow trench antifuse and methods of making and using same
US6888200B2 (en) * 2002-08-30 2005-05-03 Micron Technology Inc. One transistor SOI non-volatile random access memory cell
US6917078B2 (en) * 2002-08-30 2005-07-12 Micron Technology Inc. One transistor SOI non-volatile random access memory cell
US7042027B2 (en) * 2002-08-30 2006-05-09 Micron Technology, Inc. Gated lateral thyristor-based random access memory cell (GLTRAM)
JP4183464B2 (en) * 2002-09-20 2008-11-19 富士フイルム株式会社 Solid-state imaging device and driving method thereof
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US6710409B1 (en) * 2002-10-15 2004-03-23 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with etch stop layer and method of making same
US6995053B2 (en) * 2004-04-23 2006-02-07 Sharp Laboratories Of America, Inc. Vertical thin film transistor
US7259984B2 (en) * 2002-11-26 2007-08-21 Cornell Research Foundation, Inc. Multibit metal nanocrystal memories and fabrication
US6954394B2 (en) * 2002-11-27 2005-10-11 Matrix Semiconductor, Inc. Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions
US6859410B2 (en) 2002-11-27 2005-02-22 Matrix Semiconductor, Inc. Tree decoder structure particularly well-suited to interfacing array lines having extremely small layout pitch
US7800932B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US7800933B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance
US20070164388A1 (en) * 2002-12-19 2007-07-19 Sandisk 3D Llc Memory cell comprising a diode fabricated in a low resistivity, programmed state
US8008700B2 (en) * 2002-12-19 2011-08-30 Sandisk 3D Llc Non-volatile memory cell with embedded antifuse
US7618850B2 (en) * 2002-12-19 2009-11-17 Sandisk 3D Llc Method of making a diode read/write memory cell in a programmed state
US7660181B2 (en) * 2002-12-19 2010-02-09 Sandisk 3D Llc Method of making non-volatile memory cell with embedded antifuse
JP2006511965A (en) * 2002-12-19 2006-04-06 マトリックス セミコンダクター インコーポレイテッド Improved method for fabricating high density non-volatile memory
DE10260185B4 (en) * 2002-12-20 2007-04-12 Infineon Technologies Ag Semiconductor memory with vertical charge trapping memory cells and method for its production
US6849905B2 (en) * 2002-12-23 2005-02-01 Matrix Semiconductor, Inc. Semiconductor device with localized charge storage dielectric and method of making same
US6807119B2 (en) * 2002-12-23 2004-10-19 Matrix Semiconductor, Inc. Array containing charge storage and dummy transistors and method of operating the array
EP1434264A3 (en) * 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US7233522B2 (en) * 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7505321B2 (en) * 2002-12-31 2009-03-17 Sandisk 3D Llc Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same
US7005350B2 (en) * 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US6713371B1 (en) * 2003-03-17 2004-03-30 Matrix Semiconductor, Inc. Large grain size polysilicon films formed by nuclei-induced solid phase crystallization
US6868022B2 (en) * 2003-03-28 2005-03-15 Matrix Semiconductor, Inc. Redundant memory structure using bad bit pointers
US6879505B2 (en) 2003-03-31 2005-04-12 Matrix Semiconductor, Inc. Word line arrangement having multi-layer word line segments for three-dimensional memory array
US7233024B2 (en) * 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US6822903B2 (en) * 2003-03-31 2004-11-23 Matrix Semiconductor, Inc. Apparatus and method for disturb-free programming of passive element memory cells
US6815077B1 (en) 2003-05-20 2004-11-09 Matrix Semiconductor, Inc. Low temperature, low-resistivity heavily doped p-type polysilicon deposition
US6963104B2 (en) * 2003-06-12 2005-11-08 Advanced Micro Devices, Inc. Non-volatile memory device
US7243203B2 (en) * 2003-06-13 2007-07-10 Sandisk 3D Llc Pipeline circuit for low latency memory
DE10326805B4 (en) * 2003-06-13 2007-02-15 Infineon Technologies Ag Production process for non-volatile memory cells
US8125003B2 (en) * 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
JP2005057187A (en) * 2003-08-07 2005-03-03 Renesas Technology Corp Semiconductor memory device and method of manufacturing same
US7012299B2 (en) * 2003-09-23 2006-03-14 Matrix Semiconductors, Inc. Storage layer optimization of a nonvolatile memory device
US7057958B2 (en) * 2003-09-30 2006-06-06 Sandisk Corporation Method and system for temperature compensation for memory cells with temperature-dependent behavior
US7221008B2 (en) * 2003-10-06 2007-05-22 Sandisk Corporation Bitline direction shielding to avoid cross coupling between adjacent cells for NAND flash memory
US7195992B2 (en) * 2003-10-07 2007-03-27 Sandisk 3D Llc Method of uniform seeding to control grain and defect density of crystallized silicon for use in sub-micron thin film transistors
US7202523B2 (en) * 2003-11-17 2007-04-10 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
TWI276206B (en) * 2003-11-25 2007-03-11 Promos Technologies Inc Method for fabricating flash memory device and structure thereof
US6933558B2 (en) * 2003-12-04 2005-08-23 Advanced Micro Devices, Inc. Flash memory device
US7023739B2 (en) * 2003-12-05 2006-04-04 Matrix Semiconductor, Inc. NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same
US7221588B2 (en) * 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7423304B2 (en) 2003-12-05 2008-09-09 Sandisck 3D Llc Optimization of critical dimensions and pitch of patterned features in and above a substrate
US20050128807A1 (en) * 2003-12-05 2005-06-16 En-Hsing Chen Nand memory array incorporating multiple series selection devices and method for operation of same
US7241654B2 (en) * 2003-12-17 2007-07-10 Micron Technology, Inc. Vertical NROM NAND flash memory array
US6951780B1 (en) * 2003-12-18 2005-10-04 Matrix Semiconductor, Inc. Selective oxidation of silicon in diode, TFT, and monolithic three dimensional memory arrays
US7816722B2 (en) * 2004-02-04 2010-10-19 Hewlett-Packard Development Company, L.P. Memory array
US7256450B2 (en) * 2004-03-24 2007-08-14 Micron Technology, Inc. NROM memory device with a high-permittivity gate dielectric formed by the low temperature oxidation of metals
TWI228832B (en) * 2004-04-05 2005-03-01 Quanta Display Inc Structure of LTPS-TFT and fabricating method of channel layer thereof
US7315067B2 (en) * 2004-07-02 2008-01-01 Impinj, Inc. Native high-voltage n-channel LDMOSFET in standard logic CMOS
US8264039B2 (en) * 2004-04-26 2012-09-11 Synopsys, Inc. High-voltage LDMOSFET and applications therefor in standard CMOS
US20050275106A1 (en) * 2004-06-14 2005-12-15 Fricke Peter J Electronic isolation device
US7825982B2 (en) * 2004-06-17 2010-11-02 Aptina Imaging Corporation Operation stabilized pixel bias circuit
KR100536043B1 (en) * 2004-06-25 2005-12-12 삼성전자주식회사 Stacked type semiconductor device and method of manufacturing the same
US8159001B2 (en) * 2004-07-02 2012-04-17 Synopsys, Inc. Graded junction high voltage semiconductor device
US7205486B2 (en) * 2004-07-16 2007-04-17 Cardiac Pacemakers, Inc. Thermally isolated via structure
US7518182B2 (en) 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
KR100615093B1 (en) * 2004-08-24 2006-08-22 삼성전자주식회사 Method of manufacturing a non-volatile memory device with nanocrystal storage
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7259415B1 (en) * 2004-09-02 2007-08-21 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7271052B1 (en) 2004-09-02 2007-09-18 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7271433B1 (en) 2004-09-02 2007-09-18 Micron Technology, Inc. High-density single transistor vertical memory gain cell
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7638850B2 (en) 2004-10-14 2009-12-29 Saifun Semiconductors Ltd. Non-volatile memory structure and method of fabrication
KR100618875B1 (en) * 2004-11-08 2006-09-04 삼성전자주식회사 Semiconductor memory device having vertical channel MOS transistor and method for manufacturing the same
US7416956B2 (en) * 2004-11-23 2008-08-26 Sandisk Corporation Self-aligned trench filling for narrow gap isolation regions
US7381615B2 (en) 2004-11-23 2008-06-03 Sandisk Corporation Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices
US7199419B2 (en) * 2004-12-13 2007-04-03 Micron Technology, Inc. Memory structure for reduced floating body effect
US7218570B2 (en) * 2004-12-17 2007-05-15 Sandisk 3D Llc Apparatus and method for memory operations using address-dependent conditions
US7277336B2 (en) * 2004-12-28 2007-10-02 Sandisk 3D Llc Method and apparatus for improving yield in semiconductor devices by guaranteeing health of redundancy information
US7298665B2 (en) * 2004-12-30 2007-11-20 Sandisk 3D Llc Dual-mode decoder circuit, integrated circuit memory array incorporating same, and related methods of operation
US7286439B2 (en) * 2004-12-30 2007-10-23 Sandisk 3D Llc Apparatus and method for hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders
US7315474B2 (en) * 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7473589B2 (en) * 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
US7642585B2 (en) * 2005-01-03 2010-01-05 Macronix International Co., Ltd. Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7709334B2 (en) * 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
US8482052B2 (en) 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
KR100695892B1 (en) * 2005-01-25 2007-03-19 삼성전자주식회사 Non-volatile memory device having floating gate of curved profile and method of forming the same
US7692236B1 (en) * 2005-02-15 2010-04-06 Spansion Llc Multiple dual bit memory integrated circuit system
US7517796B2 (en) * 2005-02-17 2009-04-14 Sandisk 3D Llc Method for patterning submicron pillars
US20060205129A1 (en) * 2005-02-25 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7303959B2 (en) * 2005-03-11 2007-12-04 Sandisk 3D Llc Bottom-gate SONOS-type cell having a silicide gate
US7521353B2 (en) 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7272052B2 (en) * 2005-03-31 2007-09-18 Sandisk 3D Llc Decoding circuit for non-binary groups of memory line drivers
US7142471B2 (en) * 2005-03-31 2006-11-28 Sandisk 3D Llc Method and apparatus for incorporating block redundancy in a memory array
US7359279B2 (en) * 2005-03-31 2008-04-15 Sandisk 3D Llc Integrated circuit memory array configuration including decoding compatibility with partial implementation of multiple memory layers
US7054219B1 (en) 2005-03-31 2006-05-30 Matrix Semiconductor, Inc. Transistor layout configuration for tight-pitched memory array lines
US7602006B2 (en) * 2005-04-20 2009-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor flash device
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7473952B2 (en) * 2005-05-02 2009-01-06 Infineon Technologies Ag Memory cell array and method of manufacturing the same
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7378707B2 (en) * 2005-05-26 2008-05-27 Micron Technology, Inc. Scalable high density non-volatile memory cells in a contactless memory array
US7183608B2 (en) * 2005-05-26 2007-02-27 Macronix International Co., Ltd. Memory array including isolation between memory cell and dummy cell portions
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US8110863B2 (en) 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
US20060273298A1 (en) * 2005-06-02 2006-12-07 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a transistor and resistance-switching material in series
US7538389B2 (en) * 2005-06-08 2009-05-26 Micron Technology, Inc. Capacitorless DRAM on bulk silicon
US7764549B2 (en) * 2005-06-20 2010-07-27 Sandisk 3D Llc Floating body memory cell system and method of manufacture
US7317641B2 (en) * 2005-06-20 2008-01-08 Sandisk Corporation Volatile memory cell two-pass writing method
US7212454B2 (en) * 2005-06-22 2007-05-01 Sandisk 3D Llc Method and apparatus for programming a memory array
TWI270977B (en) * 2005-06-27 2007-01-11 Powerchip Semiconductor Corp Non-volatile memory and manufacturing method and operating method thereof
US7132329B1 (en) * 2005-06-29 2006-11-07 Freescale Semiconductor, Inc. Source side injection storage device with spacer gates and method therefor
US7157345B1 (en) * 2005-06-29 2007-01-02 Freescale Semiconductor, Inc. Source side injection storage device and method therefor
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7426128B2 (en) * 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
JP2007027760A (en) * 2005-07-18 2007-02-01 Saifun Semiconductors Ltd High density nonvolatile memory array and manufacturing method
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7211858B2 (en) * 2005-07-25 2007-05-01 Freescale Semiconductor, Inc. Split gate storage device including a horizontal first gate and a vertical second gate in a trench
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7211487B2 (en) * 2005-07-25 2007-05-01 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7642594B2 (en) * 2005-07-25 2010-01-05 Freescale Semiconductor, Inc Electronic device including gate lines, bit lines, or a combination thereof
US7582929B2 (en) * 2005-07-25 2009-09-01 Freescale Semiconductor, Inc Electronic device including discontinuous storage elements
US7619275B2 (en) * 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7619270B2 (en) * 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7526739B2 (en) * 2005-07-26 2009-04-28 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
US7755129B2 (en) * 2005-08-15 2010-07-13 Macronix International Co., Ltd. Systems and methods for memory structure comprising a PPROM and an embedded flash memory
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7560335B2 (en) * 2005-08-30 2009-07-14 Micron Technology, Inc. Memory device transistors
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
KR100697291B1 (en) * 2005-09-15 2007-03-20 삼성전자주식회사 Non volatile semiconductor memory device and method of fabricating the same
US7800934B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Programming methods to increase window for reverse write 3D cell
US7521950B2 (en) * 2005-10-07 2009-04-21 International Business Machines Corporation Wafer level I/O test and repair enabled by I/O layer
US7737003B2 (en) 2005-10-11 2010-06-15 International Business Machines Corporation Method and structure for optimizing yield of 3-D chip manufacture
US20070085129A1 (en) * 2005-10-14 2007-04-19 Macronix International Co., Ltd. Nitride read only memory device with buried diffusion spacers and method for making the same
US20070102724A1 (en) * 2005-11-10 2007-05-10 Matrix Semiconductor, Inc. Vertical diode doped with antimony to avoid or limit dopant diffusion
US20070120180A1 (en) * 2005-11-25 2007-05-31 Boaz Eitan Transition areas for dense memory arrays
US20070120173A1 (en) * 2005-11-28 2007-05-31 Bohumil Lojek Non-volatile memory cell with high current output line
US7615502B2 (en) * 2005-12-16 2009-11-10 Sandisk 3D Llc Laser anneal of vertically oriented semiconductor structures while maintaining a dopant profile
TWI266423B (en) * 2005-12-23 2006-11-11 Ind Tech Res Inst Three-dimensional thin-film transistor nano-die memory device and manufacturing method thereof
KR100713301B1 (en) * 2005-12-28 2007-05-04 동부일렉트로닉스 주식회사 Method for forming fpga of multi parallel structure and fpga structure thereof
JP2007193862A (en) * 2006-01-17 2007-08-02 Toshiba Corp Nonvolatile semiconductor memory device
US7544980B2 (en) * 2006-01-27 2009-06-09 Freescale Semiconductor, Inc. Split gate memory cell in a FinFET
US20070183189A1 (en) * 2006-02-08 2007-08-09 Thomas Nirschl Memory having nanotube transistor access device
KR100723527B1 (en) * 2006-02-13 2007-05-30 삼성전자주식회사 Method of manufacturing semiconductor device including vertical channel transistor and semiconductor device fabricated using the same
US8357994B1 (en) * 2006-03-01 2013-01-22 Sandia Corporation Antifuse with a single silicon-rich silicon nitride insulating layer
US8008137B2 (en) * 2006-03-15 2011-08-30 Marvell World Trade Ltd. Method for fabricating 1T-DRAM on bulk silicon
US7700461B2 (en) 2006-03-17 2010-04-20 Samsung Electronics Co., Ltd. Methods of laterally forming single crystalline thin film regions from seed layers
CN101461067B (en) * 2006-03-20 2011-01-19 St微电子有限公司 Semiconductor field-effect transistor, memory cell and memory device
US8395199B2 (en) * 2006-03-25 2013-03-12 4D-S Pty Ltd. Systems and methods for fabricating self-aligned memory cell
US7592224B2 (en) 2006-03-30 2009-09-22 Freescale Semiconductor, Inc Method of fabricating a storage device including decontinuous storage elements within and between trenches
US7427549B2 (en) * 2006-03-31 2008-09-23 Freescale Semiconductor, Inc. Method of separating a structure in a semiconductor device
US8734583B2 (en) * 2006-04-04 2014-05-27 Micron Technology, Inc. Grown nanofin transistors
US7425491B2 (en) * 2006-04-04 2008-09-16 Micron Technology, Inc. Nanowire transistor with surrounding gate
US20070228491A1 (en) * 2006-04-04 2007-10-04 Micron Technology, Inc. Tunneling transistor with sublithographic channel
US8354311B2 (en) 2006-04-04 2013-01-15 Micron Technology, Inc. Method for forming nanofin transistors
US7491995B2 (en) 2006-04-04 2009-02-17 Micron Technology, Inc. DRAM with nanofin transistors
JP4908901B2 (en) * 2006-04-11 2012-04-04 ラピスセミコンダクタ株式会社 Method for manufacturing nonvolatile memory
US7907450B2 (en) 2006-05-08 2011-03-15 Macronix International Co., Ltd. Methods and apparatus for implementing bit-by-bit erase of a flash memory device
US8008144B2 (en) 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US8860174B2 (en) * 2006-05-11 2014-10-14 Micron Technology, Inc. Recessed antifuse structures and methods of making the same
US20070262395A1 (en) * 2006-05-11 2007-11-15 Gibbons Jasper S Memory cell access devices and methods of making the same
US8129242B2 (en) * 2006-05-12 2012-03-06 Macronix International Co., Ltd. Method of manufacturing a memory device
US7283414B1 (en) 2006-05-24 2007-10-16 Sandisk 3D Llc Method for improving the precision of a temperature-sensor circuit
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US20080012065A1 (en) * 2006-07-11 2008-01-17 Sandisk Corporation Bandgap engineered charge storage layer for 3D TFT
US7932548B2 (en) 2006-07-14 2011-04-26 4D-S Pty Ltd. Systems and methods for fabricating self-aligned memory cell
JP2008028257A (en) * 2006-07-24 2008-02-07 Toshiba Corp Semiconductor device and manufacturing method thereof
US20080032475A1 (en) * 2006-08-02 2008-02-07 Spansion Llc Memory cell system with gradient charge isolation
US20080032464A1 (en) * 2006-08-02 2008-02-07 Spansion Llc Memory cell system with nitride charge isolation
US7619945B2 (en) * 2006-08-18 2009-11-17 Unity Semiconductor Corporation Memory power management
JP4250649B2 (en) * 2006-09-26 2009-04-08 株式会社東芝 Nonvolatile semiconductor memory element and nonvolatile semiconductor memory device
US7598130B2 (en) * 2006-09-28 2009-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing layout-dependent variations in semiconductor devices
JP5068053B2 (en) * 2006-10-02 2012-11-07 ルネサスエレクトロニクス株式会社 Nonvolatile semiconductor memory device and operation method thereof
US7811890B2 (en) 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
KR101131136B1 (en) * 2006-10-19 2012-04-03 삼성전자주식회사 Method of operating semiconductor memory device having recess-type control gate electrode
KR100881392B1 (en) * 2006-10-31 2009-02-05 주식회사 하이닉스반도체 Semiconductor device with vertical transistor and method of manufacturing the same
US20080111182A1 (en) * 2006-11-02 2008-05-15 Rustom Irani Forming buried contact etch stop layer (CESL) in semiconductor devices self-aligned to diffusion
US7994564B2 (en) * 2006-11-20 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memory cells formed in back-end-of line processes
US8194451B2 (en) 2007-11-29 2012-06-05 Zeno Semiconductor, Inc. Memory cells, memory cell arrays, methods of using and methods of making
US8159868B2 (en) * 2008-08-22 2012-04-17 Zeno Semiconductor, Inc. Semiconductor memory having both volatile and non-volatile functionality including resistance change material and method of operating
US8547756B2 (en) 2010-10-04 2013-10-01 Zeno Semiconductor, Inc. Semiconductor memory device having an electrically floating body transistor
US9391079B2 (en) 2007-11-29 2016-07-12 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US8077536B2 (en) * 2008-08-05 2011-12-13 Zeno Semiconductor, Inc. Method of operating semiconductor memory device with floating body transistor using silicon controlled rectifier principle
US7760548B2 (en) 2006-11-29 2010-07-20 Yuniarto Widjaja Semiconductor memory having both volatile and non-volatile functionality and method of operating
US9601493B2 (en) 2006-11-29 2017-03-21 Zeno Semiconductor, Inc Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US8514622B2 (en) * 2007-11-29 2013-08-20 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
KR100780249B1 (en) * 2006-11-30 2007-11-27 동부일렉트로닉스 주식회사 Flash memory device
CN101192611A (en) * 2006-12-01 2008-06-04 张国飙 Hybrid layer three-dimensional storage
US8296337B2 (en) 2006-12-06 2012-10-23 Fusion-Io, Inc. Apparatus, system, and method for managing data from a requesting device with an empty data token directive
JP4772656B2 (en) * 2006-12-21 2011-09-14 株式会社東芝 Nonvolatile semiconductor memory
US20080150011A1 (en) * 2006-12-21 2008-06-26 Spansion Llc Integrated circuit system with memory system
JP2008166437A (en) * 2006-12-27 2008-07-17 Spansion Llc Semiconductor device, and control method and manufacturing method thereof
KR100854861B1 (en) * 2006-12-27 2008-08-28 주식회사 하이닉스반도체 Non-volatile memory device and fabrication method thereof
US20080160680A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Methods of fabricating shield plates for reduced field coupling in nonvolatile memory
US20080157169A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Shield plates for reduced field coupling in nonvolatile memory
US7572699B2 (en) * 2007-01-24 2009-08-11 Freescale Semiconductor, Inc Process of forming an electronic device including fins and discontinuous storage elements
US7838922B2 (en) * 2007-01-24 2010-11-23 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements
US7651916B2 (en) * 2007-01-24 2010-01-26 Freescale Semiconductor, Inc Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US7868388B2 (en) * 2007-01-31 2011-01-11 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
US7888200B2 (en) 2007-01-31 2011-02-15 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
WO2008118435A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D Llc Three dimensional nand memory and method of making thereof
US7575973B2 (en) * 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
TWI424536B (en) * 2007-03-27 2014-01-21 Sandisk 3D Llc Three dimensional nand memory and method of making thereof
WO2008118433A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D Llc Three dimensional nand memory and method of making thereof
US7808038B2 (en) * 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
US7851851B2 (en) * 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7745265B2 (en) * 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7514321B2 (en) * 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US8779495B2 (en) * 2007-04-19 2014-07-15 Qimonda Ag Stacked SONOS memory
US7714377B2 (en) * 2007-04-19 2010-05-11 Qimonda Ag Integrated circuits and methods of manufacturing thereof
US7958390B2 (en) * 2007-05-15 2011-06-07 Sandisk Corporation Memory device for repairing a neighborhood of rows in a memory array using a patch table
US7966518B2 (en) * 2007-05-15 2011-06-21 Sandisk Corporation Method for repairing a neighborhood of rows in a memory array using a patch table
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8004013B2 (en) 2007-06-15 2011-08-23 Sandisk 3D Llc Polycrystalline thin film bipolar transistors
US7855119B2 (en) * 2007-06-15 2010-12-21 Sandisk 3D Llc Method for forming polycrystalline thin film bipolar transistors
US7790534B2 (en) * 2007-06-15 2010-09-07 Sandisk 3D Llc Method to form low-defect polycrystalline semiconductor material for use in a transistor
US7537968B2 (en) * 2007-06-19 2009-05-26 Sandisk 3D Llc Junction diode with reduced reverse current
US20080315206A1 (en) * 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
KR100950470B1 (en) * 2007-06-22 2010-03-31 주식회사 하이닉스반도체 Method for forming storage electrode of semiconductor device
US7684226B2 (en) * 2007-06-25 2010-03-23 Sandisk 3D Llc Method of making high forward current diodes for reverse write 3D cell
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US7830697B2 (en) * 2007-06-25 2010-11-09 Sandisk 3D Llc High forward current diodes for reverse write 3D cell
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US20090003083A1 (en) * 2007-06-28 2009-01-01 Sandisk 3D Llc Memory cell with voltage modulated sidewall poly resistor
US7800939B2 (en) * 2007-06-29 2010-09-21 Sandisk 3D Llc Method of making 3D R/W cell with reduced reverse leakage
US7759666B2 (en) * 2007-06-29 2010-07-20 Sandisk 3D Llc 3D R/W cell with reduced reverse leakage
US7749838B2 (en) * 2007-07-06 2010-07-06 Macronix International Co., Ltd. Fabricating method of non-volatile memory cell
US8247861B2 (en) 2007-07-18 2012-08-21 Infineon Technologies Ag Semiconductor device and method of making same
US8679977B2 (en) 2007-07-25 2014-03-25 Micron Technology, Inc. Method and apparatus providing multi-planed array memory device
TW200908102A (en) * 2007-08-09 2009-02-16 Ind Tech Res Inst Laser crystallization process and laser process
US20090039414A1 (en) 2007-08-09 2009-02-12 Macronix International Co., Ltd. Charge trapping memory cell with high speed erase
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US7846782B2 (en) 2007-09-28 2010-12-07 Sandisk 3D Llc Diode array and method of making thereof
US8349663B2 (en) * 2007-09-28 2013-01-08 Sandisk 3D Llc Vertical diode based memory cells having a lowered programming voltage and methods of forming the same
US7884475B2 (en) * 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US7847338B2 (en) 2007-10-24 2010-12-07 Yuniarto Widjaja Semiconductor memory having both volatile and non-volatile functionality and method of operating
FR2923646A1 (en) * 2007-11-09 2009-05-15 Commissariat Energie Atomique MEMORY CELL SRAM WITH TRANSISTORS WITH VERTICAL MULTI-CHANNEL STRUCTURE
US10403361B2 (en) 2007-11-29 2019-09-03 Zeno Semiconductor, Inc. Memory cells, memory cell arrays, methods of using and methods of making
US8174886B2 (en) 2007-11-29 2012-05-08 Zeno Semiconductor, Inc. Semiconductor memory having electrically floating body transistor
US20090144678A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Method and on-chip control apparatus for enhancing process reliability and process variability through 3d integration
US8130548B2 (en) * 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Semiconductor memory having electrically floating body transistor
US8679861B2 (en) * 2007-11-29 2014-03-25 International Business Machines Corporation Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
US8264875B2 (en) 2010-10-04 2012-09-11 Zeno Semiconducor, Inc. Semiconductor memory device having an electrically floating body transistor
US8130547B2 (en) 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US7746680B2 (en) 2007-12-27 2010-06-29 Sandisk 3D, Llc Three dimensional hexagonal matrix memory array
US7843730B2 (en) 2008-01-16 2010-11-30 Freescale Semiconductor, Inc. Non-volatile memory with reduced charge fluence
CN101236780B (en) * 2008-02-26 2012-07-04 中国科学院上海微系统与信息技术研究所 Circuit design standard and implementation method for 3-D solid structure phase change memory chip
US7906818B2 (en) * 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
KR101477690B1 (en) * 2008-04-03 2014-12-30 삼성전자주식회사 Non-volatile memory device, method of fabricating the same
US8014200B2 (en) 2008-04-08 2011-09-06 Zeno Semiconductor, Inc. Semiconductor memory having volatile and multi-bit, non-volatile functionality and methods of operating
US7804119B2 (en) * 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US7812335B2 (en) * 2008-04-11 2010-10-12 Sandisk 3D Llc Sidewall structured switchable resistor cell
US7830698B2 (en) * 2008-04-11 2010-11-09 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
JP2009266944A (en) 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
US8450835B2 (en) * 2008-04-29 2013-05-28 Sandisk 3D Llc Reverse leakage reduction and vertical height shrinking of diode with halo doping
JP5419384B2 (en) * 2008-05-20 2014-02-19 東京エレクトロン株式会社 Vacuum processing equipment
JP5191803B2 (en) * 2008-05-29 2013-05-08 株式会社東芝 Method for manufacturing nonvolatile memory device
JP2009295694A (en) * 2008-06-03 2009-12-17 Toshiba Corp Non-volatile semiconductor storage device and manufacturing method thereof
US7915667B2 (en) * 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
US8581342B2 (en) * 2008-06-20 2013-11-12 Infineon Technologies Austria Ag Semiconductor device with field electrode and method
KR20110042178A (en) * 2008-07-09 2011-04-25 큐나노 에이비 Nanostructured memory device
US7825479B2 (en) 2008-08-06 2010-11-02 International Business Machines Corporation Electrical antifuse having a multi-thickness dielectric layer
ATE544155T1 (en) * 2008-08-07 2012-02-15 Sony Corp ELECTRONIC DEVICE FOR A RECONFIGURABLE LOGIC CIRCUIT
US7995384B2 (en) 2008-08-15 2011-08-09 Macronix International Co., Ltd. Electrically isolated gated diode nonvolatile memory
USRE47381E1 (en) 2008-09-03 2019-05-07 Zeno Semiconductor, Inc. Forming semiconductor cells with regions of varying conductivity
WO2010026654A1 (en) * 2008-09-05 2010-03-11 株式会社 東芝 Memory device
US8633074B2 (en) * 2008-09-17 2014-01-21 Spansion Llc Electrically programmable and erasable memory device and method of fabrication thereof
KR20100032211A (en) * 2008-09-17 2010-03-25 삼성전자주식회사 Non-volatile memory devices and method of operating the same
KR101502584B1 (en) * 2008-10-16 2015-03-17 삼성전자주식회사 Nonvolatile memory device
US8173505B2 (en) * 2008-10-20 2012-05-08 Freescale Semiconductor, Inc. Method of making a split gate memory cell
US9030867B2 (en) 2008-10-20 2015-05-12 Seagate Technology Llc Bipolar CMOS select device for resistive sense memory
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
KR20100071211A (en) * 2008-12-19 2010-06-29 삼성전자주식회사 A semiconductor device with have prevent leakage current dummy cell bit line structure and method for manufacturing
US8093661B2 (en) * 2009-01-07 2012-01-10 Macronix International Co., Ltd. Integrated circuit device with single crystal silicon on silicide and manufacturing method
US8089137B2 (en) 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
US11908899B2 (en) 2009-02-20 2024-02-20 Zeno Semiconductor, Inc. MOSFET and memory cell having improved drain current through back bias application
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
TWI433302B (en) 2009-03-03 2014-04-01 Macronix Int Co Ltd Integrated circuit self aligned 3d memory array and manufacturing method
KR20100099912A (en) * 2009-03-04 2010-09-15 삼성전자주식회사 Semiconductor memory device and method of manufacturing the same
JP4829320B2 (en) * 2009-03-17 2011-12-07 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
KR101539699B1 (en) 2009-03-19 2015-07-27 삼성전자주식회사 Three dimensional nonvolatile memory device and method for forming the same
US8076717B2 (en) * 2009-05-20 2011-12-13 Micron Technology, Inc. Vertically-oriented semiconductor selection device for cross-point array memory
US8350316B2 (en) * 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8168538B2 (en) * 2009-05-26 2012-05-01 Macronix International Co., Ltd. Buried silicide structure and method for making
US8138541B2 (en) 2009-07-02 2012-03-20 Micron Technology, Inc. Memory cells
US20110002169A1 (en) 2009-07-06 2011-01-06 Yan Li Bad Column Management with Bit Information in Non-Volatile Memory Systems
US8208285B2 (en) * 2009-07-13 2012-06-26 Seagate Technology Llc Vertical non-volatile switch with punchthrough access and method of fabrication therefor
US7993989B2 (en) * 2009-08-13 2011-08-09 International Business Machines Corporation Vertical spacer forming and related transistor
US8207064B2 (en) 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
US8164146B2 (en) * 2009-09-23 2012-04-24 Macronix International Co., Ltd. Substrate symmetrical silicide source/drain surrounding gate transistor
US8154128B2 (en) * 2009-10-14 2012-04-10 Macronix International Co., Ltd. 3D integrated circuit layer interconnect
US8383512B2 (en) 2011-01-19 2013-02-26 Macronix International Co., Ltd. Method for making multilayer connection structure
CN102044569B (en) * 2009-10-23 2013-09-11 中芯国际集成电路制造(上海)有限公司 Capacitor and manufacturing method thereof
US8158967B2 (en) 2009-11-23 2012-04-17 Micron Technology, Inc. Integrated memory arrays
US8148222B2 (en) 2009-12-10 2012-04-03 Micron Technology, Inc. Cross-point diode arrays and methods of manufacturing cross-point diode arrays
US7985649B1 (en) * 2010-01-07 2011-07-26 Freescale Semiconductor, Inc. Method of making a semiconductor structure useful in making a split gate non-volatile memory cell
US8299519B2 (en) * 2010-01-11 2012-10-30 International Business Machines Corporation Read transistor for single poly non-volatile memory using body contacted SOI device
US20110186799A1 (en) * 2010-02-04 2011-08-04 Sandisk 3D Llc Non-volatile memory cell containing nanodots and method of making thereof
IN2012DN06399A (en) 2010-02-07 2015-10-02 Zeno Semiconductor Inc
US9922981B2 (en) 2010-03-02 2018-03-20 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US10340276B2 (en) 2010-03-02 2019-07-02 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US10461084B2 (en) 2010-03-02 2019-10-29 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
EP2548227B1 (en) 2010-03-15 2021-07-14 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
US8355281B2 (en) * 2010-04-20 2013-01-15 Micron Technology, Inc. Flash memory having multi-level architecture
WO2011152254A1 (en) 2010-06-04 2011-12-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR101894897B1 (en) * 2010-06-04 2018-09-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
CN103081093B (en) 2010-06-11 2015-06-03 科洛斯巴股份有限公司 Pillar structure for memory device and method
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
WO2012003301A2 (en) 2010-06-30 2012-01-05 Sandisk Technologies Inc. Ultrahigh density vertical nand memory device and method of making thereof
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8890233B2 (en) 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8557650B2 (en) 2010-08-31 2013-10-15 Freescale Semiconductor, Inc. Patterning a gate stack of a non-volatile memory (NVM) using a dummy gate stack
US8202778B2 (en) * 2010-08-31 2012-06-19 Freescale Semiconductor, Inc. Patterning a gate stack of a non-volatile memory (NVM) with simultaneous etch in non-NVM area
US8659944B2 (en) 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
JP5075959B2 (en) * 2010-09-14 2012-11-21 株式会社東芝 Resistance change memory
US8391049B2 (en) * 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
KR101723864B1 (en) * 2010-10-08 2017-04-07 삼성전자주식회사 Semiconductor Device With Vertical Channel Transistor And Method Of Fabricating The Same
KR101736235B1 (en) * 2010-10-08 2017-05-17 삼성전자주식회사 Semiconductor Device With Vertical Channel Transistor And Method Of Fabricating The Same
US11600667B1 (en) * 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US9490261B2 (en) * 2010-10-21 2016-11-08 Cypress Semiconductor Ltd. Minimizing disturbs in dense non volatile memory arrays
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8582359B2 (en) 2010-11-16 2013-11-12 Zeno Semiconductor, Inc. Dual-port semiconductor memory and first-in first-out (FIFO) memory having electrically floating body transistor
US8351243B2 (en) 2010-11-16 2013-01-08 Sandisk 3D Llc Transistor driven 3D memory
US20220208594A1 (en) * 2010-11-18 2022-06-30 Monolithic 3D Inc. Various 3d semiconductor devices and structures with memory cells
US11482439B2 (en) * 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
KR20120069034A (en) * 2010-12-20 2012-06-28 삼성전자주식회사 Vertical memory devices and methods of manufacturing the same
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
KR20120077505A (en) * 2010-12-30 2012-07-10 삼성전자주식회사 Nonvolatile semiconductor memory device and the method of fabricating the same
KR101172272B1 (en) * 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 Method for manufacturing semiconductor device with buried bitline
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US8503213B2 (en) 2011-01-19 2013-08-06 Macronix International Co., Ltd. Memory architecture of 3D array with alternating memory string orientation and string select structures
US8630114B2 (en) * 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
TWI447851B (en) * 2011-01-19 2014-08-01 Macronix Int Co Ltd Multilayer connection structure and making method
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8598032B2 (en) 2011-01-19 2013-12-03 Macronix International Co., Ltd Reduced number of masks for IC device with stacked contact levels
US8642452B2 (en) 2011-01-24 2014-02-04 International Business Machines Corporation Semiconductor-on-insulator device with asymmetric structure
US9431400B2 (en) * 2011-02-08 2016-08-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device and method for manufacturing the same
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
KR101187641B1 (en) * 2011-03-04 2012-10-08 에스케이하이닉스 주식회사 Nonvolatile memory device, method of fabricating the same, and method of operating the same
WO2012121265A1 (en) * 2011-03-10 2012-09-13 Semiconductor Energy Laboratory Co., Ltd. Memory device and method for manufacturing the same
US8836137B2 (en) 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
US8957458B2 (en) 2011-03-24 2015-02-17 Zeno Semiconductor, Inc. Asymmetric semiconductor memory device having electrically floating body transistor
US8963231B2 (en) * 2011-03-29 2015-02-24 Samsung Electronics Co., Ltd. Three dimensional semiconductor memory devices and methods of fabricating the same
US9240405B2 (en) 2011-04-19 2016-01-19 Macronix International Co., Ltd. Memory with off-chip controller
JP2012234980A (en) * 2011-05-02 2012-11-29 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method of the same
US8415721B2 (en) * 2011-05-23 2013-04-09 Flashsilicon Incorporation Field side sub-bitline nor flash array and method of fabricating the same
JP2012244180A (en) 2011-05-24 2012-12-10 Macronix Internatl Co Ltd Multi-layer structure and manufacturing method for the same
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US9437555B2 (en) * 2011-06-07 2016-09-06 Verisiti, Inc. Semiconductor device having features to prevent reverse engineering
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9252191B2 (en) 2011-07-22 2016-02-02 Crossbar, Inc. Seed layer for a p+ silicon germanium material for a non-volatile memory device and method
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8802525B2 (en) 2011-08-08 2014-08-12 Micron Technology, Inc. Methods of forming charge storage structures including etching diffused regions to form recesses
US8767482B2 (en) * 2011-08-18 2014-07-01 Micron Technology, Inc. Apparatuses, devices and methods for sensing a snapback event in a circuit
US9401363B2 (en) 2011-08-23 2016-07-26 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9177872B2 (en) * 2011-09-16 2015-11-03 Micron Technology, Inc. Memory cells, semiconductor devices, systems including such cells, and methods of fabrication
US8664076B2 (en) * 2011-09-21 2014-03-04 Texas Instruments Incorporated Method of forming a robust, modular MIS (metal-insulator-semiconductor) capacitor with improved capacitance density
US8574992B2 (en) 2011-09-22 2013-11-05 Macronix International Co., Ltd. Contact architecture for 3D memory array
US8541882B2 (en) 2011-09-22 2013-09-24 Macronix International Co. Ltd. Stacked IC device with recessed conductive layers adjacent to interlevel conductors
US9025358B2 (en) 2011-10-13 2015-05-05 Zeno Semiconductor Inc Semiconductor memory having both volatile and non-volatile functionality comprising resistive change material and method of operating
KR20210118981A (en) * 2011-11-04 2021-10-01 인텔 코포레이션 Methods and apparatuses to form self-aligned caps
US9082656B2 (en) 2011-11-11 2015-07-14 Macronix International Co., Ltd. NAND flash with non-trapping switch transistors
KR101298327B1 (en) * 2011-12-09 2013-08-20 제주대학교 산학협력단 Apparatus and method for saving light
US8709890B2 (en) 2011-12-12 2014-04-29 International Business Machines Corporation Method and structure for forming ETSOI capacitors, diodes, resistors and back gate contacts
US8748258B2 (en) 2011-12-12 2014-06-10 International Business Machines Corporation Method and structure for forming on-chip high quality capacitors with ETSOI transistors
US8570806B2 (en) 2011-12-13 2013-10-29 Macronix International Co., Ltd. Z-direction decoding for three dimensional memory array
KR20130070150A (en) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 3-dimensional non-volatile memory device, memory system and method of manufacturing the same
US9035275B2 (en) 2011-12-19 2015-05-19 Macronix International Co., Ltd. Three dimensional memory array adjacent to trench sidewalls
KR20130075348A (en) 2011-12-27 2013-07-05 에스케이하이닉스 주식회사 Semiconductor device having buried bitline and fabricating the same
US8587998B2 (en) 2012-01-06 2013-11-19 Macronix International Co., Ltd. 3D memory array with read bit line shielding
US9230651B2 (en) 2012-04-08 2016-01-05 Zeno Semiconductor, Inc. Memory device having electrically floating body transitor
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
KR20130103942A (en) * 2012-03-12 2013-09-25 에스케이하이닉스 주식회사 Semiconductor device having junctionless vertical gate transistor and method for manufacturing the same
CN102637641B (en) * 2012-03-20 2015-05-20 华中科技大学 Method for integrating phase-change random memory array and peripheral circuit chip
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
TWI676265B (en) * 2012-03-31 2019-11-01 愛爾蘭商經度閃存解決方案有限公司 Nonvolatile charge trap memory device having a high dielectric constant blocking region
KR20130113212A (en) * 2012-04-05 2013-10-15 에스케이하이닉스 주식회사 Nonvolatile memory device and method for fabricating the same
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US8975705B2 (en) * 2012-05-21 2015-03-10 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US8592889B1 (en) * 2012-05-21 2013-11-26 United Microelectronics Corp. Memory structure
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US9331273B2 (en) * 2012-05-24 2016-05-03 SK Hynix Inc. Memory cell array and variable resistive memory device including the same
KR101430415B1 (en) * 2012-06-09 2014-08-14 서울대학교산학협력단 Memory cell string based on gated-diode cell and memory array using the same
US8987098B2 (en) 2012-06-19 2015-03-24 Macronix International Co., Ltd. Damascene word line
US8822288B2 (en) 2012-07-02 2014-09-02 Sandisk Technologies Inc. NAND memory device containing nanodots and method of making thereof
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
FR2993389B1 (en) * 2012-07-10 2015-02-27 Soitec Silicon On Insulator antifuse
US8633099B1 (en) 2012-07-19 2014-01-21 Macronix International Co., Ltd. Method for forming interlayer connectors in a three-dimensional stacked IC device
US8896096B2 (en) 2012-07-19 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Process-compatible decoupling capacitor and method for making the same
US8927957B2 (en) 2012-08-09 2015-01-06 Macronix International Co., Ltd. Sidewall diode driving device and memory using same
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US8736069B2 (en) 2012-08-23 2014-05-27 Macronix International Co., Ltd. Multi-level vertical plug formation with stop layers of increasing thicknesses
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
US9853053B2 (en) 2012-09-10 2017-12-26 3B Technologies, Inc. Three dimension integrated circuits employing thin film transistors
US8897073B2 (en) * 2012-09-14 2014-11-25 Freescale Semiconductor, Inc. NVM with charge pump and method therefor
US9012318B2 (en) 2012-09-21 2015-04-21 Micron Technology, Inc. Etching polysilicon
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
KR20220150439A (en) 2012-11-08 2022-11-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Display device
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US9196315B2 (en) 2012-11-19 2015-11-24 Macronix International Co., Ltd. Three dimensional gate structures with horizontal extensions
US8823075B2 (en) 2012-11-30 2014-09-02 Sandisk Technologies Inc. Select gate formation for nanodot flat cell
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US10403766B2 (en) * 2012-12-04 2019-09-03 Conversant Intellectual Property Management Inc. NAND flash memory with vertical cell stack structure and method for manufacturing same
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9224474B2 (en) * 2013-01-09 2015-12-29 Macronix International Co., Ltd. P-channel 3D memory array and methods to program and erase the same at bit level and block level utilizing band-to-band and fowler-nordheim tunneling principals
US8759899B1 (en) 2013-01-11 2014-06-24 Macronix International Co., Ltd. Integration of 3D stacked IC device with peripheral circuits
US9208880B2 (en) 2013-01-14 2015-12-08 Zeno Semiconductor, Inc. Content addressable memory device having electrically floating body transistor
US8946807B2 (en) 2013-01-24 2015-02-03 Micron Technology, Inc. 3D memory
US9171636B2 (en) 2013-01-29 2015-10-27 Macronix International Co. Ltd. Hot carrier generation and programming in NAND flash
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US8987914B2 (en) 2013-02-07 2015-03-24 Macronix International Co., Ltd. Conductor structure and method
JP2014165457A (en) * 2013-02-27 2014-09-08 Toshiba Corp Manufacturing method of nonvolatile semiconductor memory device
US9112047B2 (en) 2013-02-28 2015-08-18 Freescale Semiconductor, Inc. Split gate non-volatile memory (NVM) cell and method therefor
US8987802B2 (en) 2013-02-28 2015-03-24 Sandisk Technologies Inc. Method for using nanoparticles to make uniform discrete floating gate layer
JP2014175348A (en) 2013-03-06 2014-09-22 Toshiba Corp Non-volatile semiconductor memory
US9029922B2 (en) 2013-03-09 2015-05-12 Zeno Semiconductor, Inc. Memory device comprising electrically floating body transistor
US9331181B2 (en) 2013-03-11 2016-05-03 Sandisk Technologies Inc. Nanodot enhanced hybrid floating gate for non-volatile memory devices
US8930866B2 (en) * 2013-03-11 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US8993429B2 (en) 2013-03-12 2015-03-31 Macronix International Co., Ltd. Interlayer conductor structure and method
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US8933457B2 (en) * 2013-03-13 2015-01-13 Macronix International Co., Ltd. 3D memory array including crystallized channels
US9379126B2 (en) 2013-03-14 2016-06-28 Macronix International Co., Ltd. Damascene conductor for a 3D device
US9184175B2 (en) 2013-03-15 2015-11-10 Micron Technology, Inc. Floating gate memory cells in vertical memory
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
US8901529B2 (en) * 2013-03-15 2014-12-02 International Business Machines Corporation Memory array with self-aligned epitaxially grown memory elements and annular FET
US9153650B2 (en) 2013-03-19 2015-10-06 Semiconductor Energy Laboratory Co., Ltd. Oxide semiconductor
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9275723B2 (en) 2013-04-10 2016-03-01 Zeno Semiconductor, Inc. Scalable floating body memory cell for memory compilers and method of using floating body memories with memory compilers
KR102002942B1 (en) * 2013-04-18 2019-07-24 에스케이하이닉스 주식회사 Nonvolatile memory device and method of fabricating the same
US9368625B2 (en) 2013-05-01 2016-06-14 Zeno Semiconductor, Inc. NAND string utilizing floating body memory cell
US9177808B2 (en) 2013-05-21 2015-11-03 Sandisk Technologies Inc. Memory device with control gate oxygen diffusion control and method of making thereof
KR102109462B1 (en) * 2013-06-13 2020-05-12 에스케이하이닉스 주식회사 Nonvolatile memory device and method of fabricating the same
US8981835B2 (en) 2013-06-18 2015-03-17 Sandisk Technologies Inc. Efficient voltage doubler
TWI652822B (en) 2013-06-19 2019-03-01 日商半導體能源研究所股份有限公司 Oxide semiconductor film and formation method thereof
US9024680B2 (en) 2013-06-24 2015-05-05 Sandisk Technologies Inc. Efficiency for charge pumps with low supply voltages
US9077238B2 (en) 2013-06-25 2015-07-07 SanDisk Technologies, Inc. Capacitive regulation of charge pumps without refresh operation interruption
US8969153B2 (en) 2013-07-01 2015-03-03 Sandisk Technologies Inc. NAND string containing self-aligned control gate sidewall cladding
GB2515750B (en) * 2013-07-01 2017-11-15 Flexenable Ltd Supressing Leakage Currents in a Multi - TFT Device
US9437606B2 (en) 2013-07-02 2016-09-06 Sandisk Technologies Llc Method of making a three-dimensional memory array with etch stop
US9076535B2 (en) 2013-07-08 2015-07-07 Macronix International Co., Ltd. Array arrangement including carrier source
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
US9117526B2 (en) 2013-07-08 2015-08-25 Macronix International Co., Ltd. Substrate connection of three dimensional NAND for improving erase performance
US9281022B2 (en) 2013-07-10 2016-03-08 Zeno Semiconductor, Inc. Systems and methods for reducing standby power in floating body memory devices
TWI608523B (en) 2013-07-19 2017-12-11 半導體能源研究所股份有限公司 Oxide semiconductor film, method of manufacturing oxide semiconductor film, and semiconductor device
KR20150020847A (en) * 2013-08-19 2015-02-27 에스케이하이닉스 주식회사 3-Dimension Semiconductor Device, Variable Resistive Memory Device Including the Same and Method of Manufacturing The Same
US9214234B2 (en) * 2013-09-05 2015-12-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9099538B2 (en) 2013-09-17 2015-08-04 Macronix International Co., Ltd. Conductor with a plurality of vertical extensions for a 3D device
US9460931B2 (en) 2013-09-17 2016-10-04 Sandisk Technologies Llc High aspect ratio memory hole channel contact formation
US9496274B2 (en) 2013-09-17 2016-11-15 Sandisk Technologies Llc Three-dimensional non-volatile memory device
US9070447B2 (en) 2013-09-26 2015-06-30 Macronix International Co., Ltd. Contact structure and forming method
US8970040B1 (en) 2013-09-26 2015-03-03 Macronix International Co., Ltd. Contact structure and forming method
US9083231B2 (en) 2013-09-30 2015-07-14 Sandisk Technologies Inc. Amplitude modulation for pass gate to improve charge pump efficiency
US9437604B2 (en) 2013-11-01 2016-09-06 Micron Technology, Inc. Methods and apparatuses having strings of memory cells including a metal source
US9202785B2 (en) * 2013-11-08 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit capacitor having vias
CN104638029A (en) * 2013-11-13 2015-05-20 上海华虹宏力半导体制造有限公司 Capacitor and manufacturing method thereof
US9628086B2 (en) * 2013-11-14 2017-04-18 Case Western Reserve University Nanoelectromechanical antifuse and related systems
US9154027B2 (en) 2013-12-09 2015-10-06 Sandisk Technologies Inc. Dynamic load matching charge pump for reduced current consumption
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
KR20150076764A (en) * 2013-12-27 2015-07-07 에스케이하이닉스 주식회사 Semiconductor apparatus
US9023701B1 (en) * 2013-12-31 2015-05-05 Macronix International Co., Ltd. Three-dimensional memory and method of forming the same
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
US9548119B2 (en) 2014-01-15 2017-01-17 Zeno Semiconductor, Inc Memory device comprising an electrically floating body transistor
US9343322B2 (en) 2014-01-17 2016-05-17 Macronix International Co., Ltd. Three dimensional stacking memory film structure
WO2015116118A1 (en) * 2014-01-30 2015-08-06 Hewlett-Packard Development Company, L.P. Charge trapping memristor
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
KR102154093B1 (en) * 2014-02-14 2020-09-10 삼성전자주식회사 Three-dimensional semiconductor devices
KR102225989B1 (en) * 2014-03-04 2021-03-10 삼성전자주식회사 Nonvolatile memory system and operation method thereof
US20150255510A1 (en) 2014-03-06 2015-09-10 Kabushiki Kaisha Toshiba Semiconductor device
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
JP2015195262A (en) * 2014-03-31 2015-11-05 マイクロン テクノロジー, インク. Semiconductor device and manufacturing method of the same
KR102188538B1 (en) 2014-04-21 2020-12-09 삼성전자주식회사 Semiconductor Memory Device And Method Of Fabricating The Same
US9887939B2 (en) 2015-03-11 2018-02-06 International Business Machines Corporation Transmitting multi-destination packets in overlay networks
US10062426B2 (en) 2014-04-24 2018-08-28 Micron Technology, Inc. Field effect transistor constructions with gate insulator having local regions radially there-through that have different capacitance at different circumferential locations relative to a channel core periphery
US9552991B2 (en) 2014-04-30 2017-01-24 Sandisk Technologies Llc Trench vertical NAND and method of making thereof
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9196628B1 (en) 2014-05-08 2015-11-24 Macronix International Co., Ltd. 3D stacked IC device with stepped substack interlayer connectors
US9548313B2 (en) 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
US9721964B2 (en) 2014-06-05 2017-08-01 Macronix International Co., Ltd. Low dielectric constant insulating material in 3D memory
US9553146B2 (en) 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
CN104022121B (en) * 2014-06-23 2017-05-03 中国科学院微电子研究所 Three-dimensional semiconductor device and manufacturing method thereof
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
US9373409B2 (en) 2014-07-08 2016-06-21 Macronix International Co., Ltd. Systems and methods for reduced program disturb for 3D NAND flash
US9484086B2 (en) 2014-07-10 2016-11-01 Sandisk Technologies Llc Determination of word line to local source line shorts
US9443612B2 (en) 2014-07-10 2016-09-13 Sandisk Technologies Llc Determination of bit line to low voltage signal shorts
US9514835B2 (en) 2014-07-10 2016-12-06 Sandisk Technologies Llc Determination of word line to word line shorts between adjacent blocks
US9425046B1 (en) * 2014-07-18 2016-08-23 Crossbar, Inc. Method for surface roughness reduction after silicon germanium thin film deposition
US9847233B2 (en) 2014-07-29 2017-12-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9281065B2 (en) * 2014-08-11 2016-03-08 Empire Technology Development Llc Low-power nonvolatile memory cells with select gates
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
US9640270B2 (en) * 2014-08-12 2017-05-02 Sandisk Technologies Llc System and method of using multiple read operations
US9496053B2 (en) 2014-08-15 2016-11-15 Zeno Semiconductor, Inc. Memory device comprising electrically floating body transistor
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9601502B2 (en) 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
TWI555120B (en) 2014-10-14 2016-10-21 力晶科技股份有限公司 Semiconductor device and method for fabricating the same
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9449981B2 (en) 2014-10-21 2016-09-20 Sandisk Technologies Llc Three dimensional NAND string memory devices and methods of fabrication thereof
US9934872B2 (en) 2014-10-30 2018-04-03 Sandisk Technologies Llc Erase stress and delta erase loop count methods for various fail modes in non-volatile memory
US9449980B2 (en) 2014-10-31 2016-09-20 Sandisk Technologies Llc Band gap tailoring for a tunneling dielectric for a three-dimensional memory structure
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9419135B2 (en) * 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9947682B2 (en) * 2014-11-18 2018-04-17 Sandisk Technologies Llc Three dimensional non-volatile memory with separate source lines
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
WO2016099580A2 (en) 2014-12-23 2016-06-23 Lupino James John Three dimensional integrated circuits employing thin film transistors
US9224502B1 (en) * 2015-01-14 2015-12-29 Sandisk Technologies Inc. Techniques for detection and treating memory hole to local interconnect marginality defects
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9356034B1 (en) 2015-02-05 2016-05-31 Sandisk Technologies Inc. Multilevel interconnect structure and methods of manufacturing the same
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9842847B2 (en) * 2015-02-11 2017-12-12 Micron Technology, Inc. Drain select gate formation methods and apparatus
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9379129B1 (en) 2015-04-13 2016-06-28 Macronix International Co., Ltd. Assist gate structures for three-dimensional (3D) vertical gate array memory structure
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
CN107592943B (en) 2015-04-29 2022-07-15 芝诺半导体有限公司 MOSFET and memory cell for improving drain current
US10553683B2 (en) 2015-04-29 2020-02-04 Zeno Semiconductor, Inc. MOSFET and memory cell having improved drain current through back bias application
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US9478259B1 (en) 2015-05-05 2016-10-25 Macronix International Co., Ltd. 3D voltage switching transistors for 3D vertical gate memory array
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
US10074661B2 (en) * 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
JP6901831B2 (en) 2015-05-26 2021-07-14 株式会社半導体エネルギー研究所 Memory system and information processing system
JP6773453B2 (en) 2015-05-26 2020-10-21 株式会社半導体エネルギー研究所 Storage devices and electronic devices
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9917507B2 (en) 2015-05-28 2018-03-13 Sandisk Technologies Llc Dynamic clock period modulation scheme for variable charge pump load currents
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
KR102408898B1 (en) * 2015-06-19 2022-06-16 엘지디스플레이 주식회사 Thin Film Transistor Substrate And Display Using The Same
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9647536B2 (en) 2015-07-28 2017-05-09 Sandisk Technologies Llc High voltage generation using low voltage devices
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9449987B1 (en) 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9520776B1 (en) 2015-09-18 2016-12-13 Sandisk Technologies Llc Selective body bias for charge pump transfer switches
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
DE112016004265T5 (en) * 2015-09-21 2018-06-07 Monolithic 3D Inc. 3D SEMICONDUCTOR DEVICE AND STRUCTURE
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
KR102424964B1 (en) * 2015-09-23 2022-07-25 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9842907B2 (en) 2015-09-29 2017-12-12 Sandisk Technologies Llc Memory device containing cobalt silicide control gate electrodes and method of making thereof
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US9876025B2 (en) 2015-10-19 2018-01-23 Sandisk Technologies Llc Methods for manufacturing ultrathin semiconductor channel three-dimensional memory devices
US9780108B2 (en) 2015-10-19 2017-10-03 Sandisk Technologies Llc Ultrathin semiconductor channel three-dimensional memory devices
US9704920B2 (en) * 2015-10-27 2017-07-11 Sandisk Technologies Llc Resistive random access memory containing a steering element and a tunneling dielectric element
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
CN108140643B (en) * 2015-11-20 2022-03-15 桑迪士克科技有限责任公司 Three-dimensional NAND device including support pedestal structure for buried source line and method of fabricating the same
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9530790B1 (en) 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
CN108540126A (en) * 2017-03-03 2018-09-14 成都海存艾匹科技有限公司 Programmable gate array based on three-dimensional writable memory
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9859338B2 (en) * 2016-03-21 2018-01-02 Winbond Electronics Corp. Three-dimensional resistive memory
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
CN110021622A (en) * 2018-01-10 2019-07-16 厦门海存艾匹科技有限公司 Address wire contains the longitudinal multiple programmable memory of three-dimensional of different metal material
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
KR102106664B1 (en) * 2016-06-22 2020-05-06 매그나칩 반도체 유한회사 OTP Cell and OTP Memory Array Using the Same
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US9911754B1 (en) * 2016-10-07 2018-03-06 Macronix International Co., Ltd. 3D memory structure
US9806256B1 (en) 2016-10-21 2017-10-31 Sandisk Technologies Llc Resistive memory device having sidewall spacer electrode and method of making thereof
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US10079301B2 (en) 2016-11-01 2018-09-18 Zeno Semiconductor, Inc. Memory device comprising an electrically floating body transistor and methods of using
US9985098B2 (en) 2016-11-03 2018-05-29 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
DE102016222213A1 (en) * 2016-11-11 2018-05-17 Robert Bosch Gmbh MOS device, electrical circuit and battery unit for a motor vehicle
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10853244B2 (en) 2016-12-07 2020-12-01 Sandisk Technologies Llc Randomly writable memory device and method of operating thereof
US9899410B1 (en) * 2016-12-13 2018-02-20 Sandisk Technologies Llc Charge storage region in non-volatile memory
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
JP2018157114A (en) * 2017-03-17 2018-10-04 東芝メモリ株式会社 Storage device
FR3064399B1 (en) * 2017-03-22 2019-05-03 Stmicroelectronics (Crolles 2) Sas VERTICAL QUANTUM TRANSISTOR
US11088146B2 (en) * 2017-04-04 2021-08-10 Intel Corporation Thin-film transistor embedded dynamic random-access memory
JP6876500B2 (en) * 2017-04-19 2021-05-26 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor devices
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US10157833B1 (en) 2017-05-23 2018-12-18 Globalfoundries Inc. Via and skip via structures
US10411695B2 (en) * 2017-05-26 2019-09-10 Indian Institute Of Science Programmable tunnel thermionic mode transistor
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
EP3642841A4 (en) 2017-06-20 2021-07-28 Sunrise Memory Corporation 3-dimensional nor memory array architecture and methods for fabrication thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
US10964683B2 (en) * 2017-08-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array circuit and method of manufacturing the same
US10332985B2 (en) * 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522686B2 (en) * 2017-09-26 2019-12-31 International Business Machines Corporation Vertical thin film transistor
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
US10644024B2 (en) 2017-10-20 2020-05-05 Chen-Chih WANG Transistor, semiconductor device, memory device and fabrication the same
US11239235B2 (en) 2017-10-20 2022-02-01 Chen-Chih WANG Transistor and logic gate
US10083877B1 (en) 2017-10-25 2018-09-25 Sandisk Technologies Llc Vertical field effect transistors including two-tier select gates and method of making the same
JP6956592B2 (en) * 2017-10-31 2021-11-02 東京エレクトロン株式会社 Methods and equipment for forming silicon oxide films
US10115897B1 (en) 2017-11-07 2018-10-30 Sandisk Technologies Llc Resistive memory cell containing a middle electrode and method of making the same
US10217746B1 (en) * 2017-11-30 2019-02-26 Sandisk Technologies Llc Three-dimensional memory device having L-shaped word lines and a support structure and methods of making the same
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US11201163B2 (en) * 2017-12-30 2021-12-14 Haibing Peng High-density NOR-type flash memory
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
US10615123B2 (en) 2018-03-14 2020-04-07 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
WO2019182261A1 (en) * 2018-03-23 2019-09-26 홍잉 Method for manufacturing single-grained nanowire and method for manufacturing semiconductor device employing same single-grained nanowire
KR102416099B1 (en) * 2018-03-28 2022-07-01 에스케이하이닉스 주식회사 Semiconductor memory device, method of driving the same and method of fabricating the same
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
DE102018109013A1 (en) * 2018-04-17 2019-10-17 Infineon Technologies Ag MOLDING AND SEMICONDUCTOR PACKAGE WITH MOLDING
US11404419B2 (en) 2018-04-18 2022-08-02 Zeno Semiconductor, Inc. Memory device comprising an electrically floating body transistor
US10700069B2 (en) 2018-04-19 2020-06-30 Tc Lab, Inc. Multi-layer thyristor random access memory with silicon-germanium bases
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10593692B2 (en) 2018-04-30 2020-03-17 Sandisk Technologies Llc Three-dimensional nor-type memory device and method of making the same
US10950786B2 (en) 2018-05-17 2021-03-16 Macronix International Co., Ltd. Layer cost scalable 3D phase change cross-point memory
US10756097B2 (en) 2018-06-29 2020-08-25 International Business Machines Corporation Stacked vertical transistor-based mask-programmable ROM
US11069696B2 (en) * 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
US10741581B2 (en) 2018-07-12 2020-08-11 Sunrise Memory Corporation Fabrication method for a 3-dimensional NOR memory array
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
JP6789576B2 (en) * 2018-08-02 2020-11-25 株式会社フローディア Multiply-accumulate arithmetic unit
US10615225B2 (en) 2018-08-22 2020-04-07 International Business Machines Corporation Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors
KR20210042153A (en) * 2018-09-05 2021-04-16 도쿄엘렉트론가부시키가이샤 Architecture design and process for manufacturing monolithic integrated 3D CMOS logic and memory
TWI713195B (en) 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 Wafer bonding in fabrication of 3-dimensional nor memory circuits and integrated circuit formed therefrom
KR102059896B1 (en) * 2018-10-24 2019-12-27 가천대학교 산학협력단 One-transistor dram cell device having quantum well structure
WO2020118301A1 (en) 2018-12-07 2020-06-11 Sunrise Memory Corporation Methods for forming multi-layer vertical nor-type memory string arrays
US10797061B2 (en) 2018-12-17 2020-10-06 Sandisk Technologies Llc Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same
CN111587489B (en) 2018-12-17 2023-09-29 桑迪士克科技有限责任公司 Three-dimensional memory device with stress vertical semiconductor channel and method of fabricating the same
US11721727B2 (en) 2018-12-17 2023-08-08 Sandisk Technologies Llc Three-dimensional memory device including a silicon-germanium source contact layer and method of making the same
US10797060B2 (en) 2018-12-17 2020-10-06 Sandisk Technologies Llc Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same
KR20200078048A (en) * 2018-12-21 2020-07-01 에스케이하이닉스 주식회사 Non-Volatile Memory Device Having Stacked Cell Transistors and Methods of Operating the Same
US11600663B2 (en) 2019-01-11 2023-03-07 Zeno Semiconductor, Inc. Memory cell and memory array select transistor
US10985172B2 (en) 2019-01-18 2021-04-20 Sandisk Technologies Llc Three-dimensional memory device with mobility-enhanced vertical channels and methods of forming the same
CN109817624B (en) * 2019-01-22 2020-09-25 上海华虹宏力半导体制造有限公司 Memory and operation method thereof
CN113383415A (en) 2019-01-30 2021-09-10 日升存储公司 Device with embedded high bandwidth, high capacity memory using wafer bonding
US11398492B2 (en) 2019-02-11 2022-07-26 Sunrise Memory Corporation Vertical thing-film transistor and application as bit-line connector for 3-dimensional memory arrays
US11610914B2 (en) 2019-02-11 2023-03-21 Sunrise Memory Corporation Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
US10790300B2 (en) * 2019-03-01 2020-09-29 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11424231B2 (en) * 2019-03-01 2022-08-23 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11239253B2 (en) * 2019-03-01 2022-02-01 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11398451B2 (en) * 2019-03-01 2022-07-26 Sandisk Technologies Llc Methods for reusing substrates during manufacture of a bonded assembly including a logic die and a memory die
US10950545B2 (en) 2019-03-08 2021-03-16 International Business Machines Corporation Circuit wiring techniques for stacked transistor structures
US11101290B2 (en) * 2019-04-29 2021-08-24 International Business Machines Corporation Cross-point multilayer stackable ferroelectric field-effect transistor random access memory
TWI743784B (en) * 2019-05-17 2021-10-21 美商森恩萊斯記憶體公司 Processes for forming 3-dimensional horizontal nor memory arrays
WO2020258209A1 (en) 2019-06-28 2020-12-30 Yangtze Memory Technologies Co., Ltd. Computation-in-memory in three-dimensional memory device
WO2020258197A1 (en) 2019-06-28 2020-12-30 Yangtze Memory Technologies Co., Ltd. Computation-in-memory in three-dimensional memory device
US10964811B2 (en) 2019-08-09 2021-03-30 Micron Technology, Inc. Transistor and methods of forming transistors
US11024736B2 (en) * 2019-08-09 2021-06-01 Micron Technology, Inc. Transistor and methods of forming integrated circuitry
US10950626B2 (en) * 2019-08-13 2021-03-16 Sandisk Technologies Llc Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
US11018153B2 (en) 2019-08-13 2021-05-25 Sandisk Technologies Llc Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
CN112885830B (en) * 2019-11-29 2023-05-26 芯恩(青岛)集成电路有限公司 Stacked neuron device structure and method of making the same
US11251199B2 (en) 2019-12-09 2022-02-15 Sandisk Technologies Llc Three-dimensional NOR array including active region pillars and method of making the same
US11515309B2 (en) 2019-12-19 2022-11-29 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor in a 3-dimensional thin-film transistor array
CN111146203A (en) * 2019-12-27 2020-05-12 上海华力微电子有限公司 Manufacturing method of 3D NOR flash memory and memory cell structure thereof
US11164890B2 (en) 2020-01-09 2021-11-02 Sandisk Technologies Llc Cross-point array of ferroelectric field effect transistors and method of making the same
KR20210095266A (en) * 2020-01-22 2021-08-02 삼성디스플레이 주식회사 Light emitting element and display device including the same
US11675500B2 (en) 2020-02-07 2023-06-13 Sunrise Memory Corporation High capacity memory circuit with low effective latency
US11507301B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation Memory module implementing memory centric architecture
US11561911B2 (en) 2020-02-24 2023-01-24 Sunrise Memory Corporation Channel controller for shared memory access
US11705496B2 (en) 2020-04-08 2023-07-18 Sunrise Memory Corporation Charge-trapping layer with optimized number of charge-trapping sites for fast program and erase of a memory cell in a 3-dimensional NOR memory string array
US11502128B2 (en) 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11545500B2 (en) * 2020-08-12 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11569215B2 (en) 2020-08-31 2023-01-31 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
WO2022047067A1 (en) 2020-08-31 2022-03-03 Sunrise Memory Corporation Thin-film storage transistors in a 3-dimensional array or nor memory strings and process for fabricating the same
US11296113B2 (en) 2020-08-31 2022-04-05 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11963352B2 (en) 2020-08-31 2024-04-16 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11646372B2 (en) 2020-09-19 2023-05-09 International Business Machines Corporation Vertical transistor floating body one transistor DRAM memory cell
WO2022108848A1 (en) 2020-11-17 2022-05-27 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
US11848056B2 (en) 2020-12-08 2023-12-19 Sunrise Memory Corporation Quasi-volatile memory with enhanced sense amplifier operation
US11637175B2 (en) 2020-12-09 2023-04-25 Micron Technology, Inc. Vertical transistors
JP2022108157A (en) * 2021-01-12 2022-07-25 キオクシア株式会社 Semiconductor device
US11515250B2 (en) 2021-02-03 2022-11-29 Sandisk Technologies Llc Three dimensional semiconductor device containing composite contact via structures and methods of making the same
US11468920B2 (en) 2021-02-05 2022-10-11 Winbond Electronics Corp. Semiconductor connection structure and method for manufacturing the same
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US20220262809A1 (en) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array and methods of forming same
CN112786614B (en) * 2021-03-22 2022-04-29 长江存储科技有限责任公司 Method for preparing three-dimensional memory
US11482490B1 (en) * 2021-04-12 2022-10-25 Nanya Technology Corporation Semiconductor device with branch type programmable structure and method for fabricating the same
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations
TW202310429A (en) 2021-07-16 2023-03-01 美商日升存儲公司 3-dimensional memory string array of thin-film ferroelectric transistors
CN113764531B (en) * 2021-08-31 2023-10-20 沈阳工业大学 Source-drain auxiliary programmable single-gate Schottky barrier transistor and manufacturing method thereof
CN113725301A (en) * 2021-08-31 2021-11-30 上海积塔半导体有限公司 Vertical memory device and method of fabricating the same
CN114035710B (en) * 2021-10-22 2024-04-12 上海交通大学 External trigger touch sensing array and preparation method thereof
KR102596333B1 (en) * 2021-11-16 2023-10-31 재단법인대구경북과학기술원 Monolithic 3-dimensional integration strucure, and method of manufacturing the same
CN116456718A (en) * 2022-01-07 2023-07-18 长鑫存储技术有限公司 Semiconductor structure and preparation method thereof

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3414892A (en) * 1967-12-26 1968-12-03 Lab For Electronics Inc Means interconnecting printed circuit memory planes
US3432827A (en) * 1964-09-04 1969-03-11 An Controls Inc Di Stacked magnetic memory system
US3571809A (en) * 1968-11-04 1971-03-23 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3573757A (en) * 1968-11-04 1971-04-06 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3576549A (en) * 1969-04-14 1971-04-27 Cogar Corp Semiconductor device, method, and memory array
US3629863A (en) * 1968-11-04 1971-12-21 Energy Conversion Devices Inc Film deposited circuits and devices therefor
US3634929A (en) * 1968-11-02 1972-01-18 Tokyo Shibaura Electric Co Method of manufacturing semiconductor integrated circuits
US3671948A (en) * 1970-09-25 1972-06-20 North American Rockwell Read-only memory
US3699543A (en) * 1968-11-04 1972-10-17 Energy Conversion Devices Inc Combination film deposited switch unit and integrated circuits
US3717852A (en) * 1971-09-17 1973-02-20 Ibm Electronically rewritable read-only memory using via connections
US3728695A (en) * 1971-10-06 1973-04-17 Intel Corp Random-access floating gate mos memory array
US3787822A (en) * 1971-04-23 1974-01-22 Philips Corp Method of providing internal connections in a semiconductor device
US3846767A (en) * 1973-10-24 1974-11-05 Energy Conversion Devices Inc Method and means for resetting filament-forming memory semiconductor device
US3863231A (en) * 1973-07-23 1975-01-28 Nat Res Dev Read only memory with annular fuse links
US3877049A (en) * 1973-11-28 1975-04-08 William D Buckley Electrodes for amorphous semiconductor switch devices and method of making the same
US3886577A (en) * 1973-09-12 1975-05-27 Energy Conversion Devices Inc Filament-type memory semiconductor device and method of making the same
US3922648A (en) * 1974-08-19 1975-11-25 Energy Conversion Devices Inc Method and means for preventing degradation of threshold voltage of filament-forming memory semiconductor device
US3980505A (en) * 1973-09-12 1976-09-14 Buckley William D Process of making a filament-type memory semiconductor device
US3990098A (en) * 1972-12-22 1976-11-02 E. I. Du Pont De Nemours And Co. Structure capable of forming a diode and associated conductive path
US4037243A (en) * 1974-07-01 1977-07-19 Motorola, Inc. Semi conductor memory cell utilizing sensing of variations in PN junction current conrolled by stored data
US4146902A (en) * 1975-12-03 1979-03-27 Nippon Telegraph And Telephone Public Corp. Irreversible semiconductor switching element and semiconductor memory device utilizing the same
US4177475A (en) * 1977-10-31 1979-12-04 Burroughs Corporation High temperature amorphous memory device for an electrically alterable read-only memory
US4203123A (en) * 1977-12-12 1980-05-13 Burroughs Corporation Thin film memory device employing amorphous semiconductor materials
US4419741A (en) * 1980-01-28 1983-12-06 Rca Corporation Read only memory (ROM) having high density memory array with on pitch decoder circuitry
US4420766A (en) * 1981-02-09 1983-12-13 Harris Corporation Reversibly programmable polycrystalline silicon memory element
US4442507A (en) * 1981-02-23 1984-04-10 Burroughs Corporation Electrically programmable read-only memory stacked above a semiconductor substrate
US4494135A (en) * 1976-04-06 1985-01-15 U.S. Philips Corporation Programmable read only memory cell having an electrically destructible programmation element integrally formed with a junction diode
US4498226A (en) * 1981-08-31 1985-02-12 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing three-dimensional semiconductor device by sequential beam epitaxy
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4500905A (en) * 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4507757A (en) * 1982-03-23 1985-03-26 Texas Instruments Incorporated Avalanche fuse element in programmable memory
US4535424A (en) * 1982-06-03 1985-08-13 Texas Instruments Incorporated Solid state three dimensional semiconductor memory array
US4543594A (en) * 1982-09-07 1985-09-24 Intel Corporation Fusible link employing capacitor structure
US4569121A (en) * 1983-03-07 1986-02-11 Signetics Corporation Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer
US4677742A (en) * 1983-01-18 1987-07-07 Energy Conversion Devices, Inc. Electronic matrix arrays and method for making the same
US4728626A (en) * 1985-11-18 1988-03-01 International Business Machines Corporation Method for making planar 3D heterepitaxial semiconductor structures with buried epitaxial silicides
US4729005A (en) * 1985-04-29 1988-03-01 General Electric Company Method and apparatus for improved metal-insulator-semiconductor device operation
US4774556A (en) * 1985-07-25 1988-09-27 Nippondenso Co., Ltd. Non-volatile semiconductor memory device
US4811114A (en) * 1986-02-24 1989-03-07 Konishiroku Photo Industry Co., Ltd. Image reading apparatus
US4811082A (en) * 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4820657A (en) * 1987-02-06 1989-04-11 Georgia Tech Research Corporation Method for altering characteristics of junction semiconductor devices
US4823181A (en) * 1986-05-09 1989-04-18 Actel Corporation Programmable low impedance anti-fuse element
US4867247A (en) * 1986-09-12 1989-09-19 Heckendorf David W Bedding plow
US4876220A (en) * 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US4881114A (en) * 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
US4899205A (en) * 1986-05-09 1990-02-06 Actel Corporation Electrically-programmable low-impedance anti-fuse element
US4922319A (en) * 1985-09-09 1990-05-01 Fujitsu Limited Semiconductor programmable memory device
US4943538A (en) * 1986-05-09 1990-07-24 Actel Corporation Programmable low impedance anti-fuse element
US5001539A (en) * 1988-07-08 1991-03-19 Mitsubishi Denki Kabushiki Kaisha Multiple layer static random access memory device
US5006909A (en) * 1989-10-30 1991-04-09 Motorola, Inc. Dram with a vertical capacitor and transistor
US5089862A (en) * 1986-05-12 1992-02-18 Warner Jr Raymond M Monocrystalline three-dimensional integrated circuit
US5091762A (en) * 1988-07-05 1992-02-25 Kabushiki Kaisha Toshiba Semiconductor memory device with a 3-dimensional structure
US5160987A (en) * 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5191405A (en) * 1988-12-23 1993-03-02 Matsushita Electric Industrial Co., Ltd. Three-dimensional stacked lsi
US5191551A (en) * 1990-11-30 1993-03-02 Nec Corporation Non-volatile semiconductor memory device with transistor paralleling floating gate transistor
US5202754A (en) * 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
US5266912A (en) * 1992-08-19 1993-11-30 Micron Technology, Inc. Inherently impedance matched multiple integrated circuit module
US5283458A (en) * 1992-03-30 1994-02-01 Trw Inc. Temperature stable semiconductor bulk acoustic resonator
US5397908A (en) * 1993-06-09 1995-03-14 Micron Technology, Inc. Arrays of memory integrated circuitry
US5559048A (en) * 1993-11-17 1996-09-24 Nec Corporation Method of making a double layered floating gate EPROM with trench isolation
US5745407A (en) * 1994-05-05 1998-04-28 California Institute Of Technology Transistorless, multistable current-mode memory cells and memory arrays and methods of reading and writing to the same
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
US5751037A (en) * 1995-07-27 1998-05-12 Sony Corporation Non-volatile memory cell having dual gate electrodes
US5768192A (en) * 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US5776810A (en) * 1992-01-14 1998-07-07 Sandisk Corporation Method for forming EEPROM with split gate source side injection
US5781031A (en) * 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
US5780925A (en) * 1992-10-28 1998-07-14 International Business Machines Corporation Lead frame package for electronic devices
US5801437A (en) * 1993-03-29 1998-09-01 Staktek Corporation Three-dimensional warp-resistant integrated circuit module method and apparatus
US5825046A (en) * 1996-10-28 1998-10-20 Energy Conversion Devices, Inc. Composite memory material comprising a mixture of phase-change memory material and dielectric material
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US5840589A (en) * 1985-11-19 1998-11-24 Warner, Jr.; Raymond M. Method for fabricating monolithic and monocrystalline all-semiconductor three-dimensional integrated circuits
US5883409A (en) * 1992-01-14 1999-03-16 Sandisk Corporation EEPROM with split gate source side injection
US5889302A (en) * 1997-04-21 1999-03-30 Advanced Micro Devices, Inc. Multilayer floating gate field effect transistor structure for use in integrated circuit devices
US5903492A (en) * 1996-06-10 1999-05-11 Kabushiki Kaisha Toshiba Semiconductor memory device and various systems mounting them
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6011725A (en) * 1997-08-01 2000-01-04 Saifun Semiconductors, Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6137718A (en) * 1996-08-01 2000-10-24 Siemens Aktiengesellschaft Method for operating a non-volatile memory cell arrangement
US6141241A (en) * 1998-06-23 2000-10-31 Energy Conversion Devices, Inc. Universal memory element with systems employing same and apparatus and method for reading, writing and programming same
US6185122B1 (en) * 1998-11-16 2001-02-06 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6191459B1 (en) * 1996-01-08 2001-02-20 Infineon Technologies Ag Electrically programmable memory cell array, using charge carrier traps and insulation trenches
USRE37259E1 (en) * 1996-04-19 2001-07-03 Energy Conversion Devices, Inc. Multibit single cell memory element having tapered contact
US6285055B1 (en) * 1998-01-26 2001-09-04 Sony Corporation Memory device and method of manufacturing the same, and integrated circuit and method of manufacturing semiconductor device
US6353265B1 (en) * 2001-02-06 2002-03-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020028541A1 (en) * 2000-08-14 2002-03-07 Lee Thomas H. Dense arrays and charge storage devices, and methods for making same
US20020030262A1 (en) * 1999-02-08 2002-03-14 Salman Akram Multiple die stack apparatus employing T-shaped interposer elements
US20020142546A1 (en) * 2001-03-28 2002-10-03 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6486027B1 (en) * 1998-02-27 2002-11-26 Micron Technology, Inc. Field programmable logic arrays with vertical transistors
US6587365B1 (en) * 2000-08-31 2003-07-01 Micron Technology, Inc. Array architecture for depletion mode ferroelectric memory devices
US20030218920A1 (en) * 1988-06-08 2003-11-27 Sandisk Corporation Highly compact Eprom and flash EEprom devices
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US7125763B1 (en) * 2000-09-29 2006-10-24 Spansion Llc Silicided buried bitline process for a non-volatile memory cell
US20070010100A1 (en) * 2005-07-11 2007-01-11 Matrix Semiconductor, Inc. Method of plasma etching transition metals and their compounds
US20070114509A1 (en) * 2005-11-23 2007-05-24 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US7486537B2 (en) * 2006-07-31 2009-02-03 Sandisk 3D Llc Method for using a mixed-use memory array with different data states
US20090168507A1 (en) * 2007-12-28 2009-07-02 Sandisk 3D Llc Method of programming cross-point diode memory array

Family Cites Families (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3563231A (en) * 1969-02-19 1971-02-16 Tracor Electronystagmograph control system
US3582908A (en) 1969-03-10 1971-06-01 Bell Telephone Labor Inc Writing a read-only memory while protecting nonselected elements
FR2048311A6 (en) * 1969-12-23 1971-03-19 Ruiz Jose
JPS568438B2 (en) * 1971-12-29 1981-02-24
US4203158A (en) 1978-02-24 1980-05-13 Intel Corporation Electrically programmable and erasable MOS floating gate memory device employing tunneling and method of fabricating same
JPS5563854A (en) 1978-11-08 1980-05-14 Nec Kyushu Ltd Method of manufacturing semiconductor device
US4272880A (en) 1979-04-20 1981-06-16 Intel Corporation MOS/SOS Process
USRE33510E (en) * 1979-07-26 1991-01-01 Hester Industries, Inc. High humidity steam cooker with continuously running conveyor
US4281397A (en) 1979-10-29 1981-07-28 Texas Instruments Incorporated Virtual ground MOS EPROM or ROM matrix
JPS5728364A (en) 1980-07-28 1982-02-16 Fujitsu Ltd Semiconductor memory device
JPS5837948A (en) 1981-08-31 1983-03-05 Toshiba Corp Laminated semiconductor memory device
US4489478A (en) 1981-09-29 1984-12-25 Fujitsu Limited Process for producing a three-dimensional semiconductor device
JPS5856456A (en) * 1981-09-30 1983-04-04 Toshiba Corp Manufacture of semiconductor device
JPS60100885A (en) 1983-11-08 1985-06-04 Toshiba Corp Adjusting device of color television camera
US4639893A (en) 1984-05-15 1987-01-27 Wafer Scale Integration, Inc. Self-aligned split gate EPROM
JPS60242676A (en) * 1984-05-17 1985-12-02 Seiko Epson Corp Nonvolatile memory device and manufacture thereof
US4630096A (en) 1984-05-30 1986-12-16 Motorola, Inc. High density IC module assembly
JPS613450A (en) 1984-06-18 1986-01-09 Hiroshima Daigaku Shared memory integrated device of three-dimensional photo coupling
US4686758A (en) 1984-06-27 1987-08-18 Honeywell Inc. Three-dimensional CMOS using selective epitaxial growth
US4646266A (en) 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
JPS61222216A (en) 1985-03-28 1986-10-02 Canon Inc Manufacture of superlattice semiconductor device
CA1226966A (en) 1985-09-10 1987-09-15 Gabriel Marcantonio Integrated circuit chip package
US4692994A (en) 1986-04-29 1987-09-15 Hitachi, Ltd. Process for manufacturing semiconductor devices containing microbridges
US4697120A (en) 1986-06-26 1987-09-29 Rca Corporation Color display system with electrostatic convergence means
JPS6352463A (en) 1986-08-22 1988-03-05 Hitachi Ltd Semiconductor integrated circuit
JPS6352463U (en) 1986-09-24 1988-04-08
JP2606857B2 (en) 1987-12-10 1997-05-07 株式会社日立製作所 Method for manufacturing semiconductor memory device
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5283468A (en) 1988-05-30 1994-02-01 Canon Kabushiki Kaisha Electric circuit apparatus
JPH0622352Y2 (en) 1988-07-14 1994-06-15 川澄化学工業株式会社 Body fluid treatment circuit member
JPH0271564A (en) * 1988-09-06 1990-03-12 Seiko Epson Corp Memory device
JPH0622352B2 (en) 1988-10-12 1994-03-23 勝敏 嶺 Noise removal method
US5306935A (en) 1988-12-21 1994-04-26 Texas Instruments Incorporated Method of forming a nonvolatile stacked memory
US5070383A (en) 1989-01-10 1991-12-03 Zoran Corporation Programmable memory matrix employing voltage-variable resistors
JP2778977B2 (en) 1989-03-14 1998-07-23 株式会社東芝 Semiconductor device and manufacturing method thereof
EP0395886A2 (en) 1989-04-03 1990-11-07 Olympus Optical Co., Ltd. Memory cell and multidimensinal memory device constituted by arranging the memory cells
US5057885A (en) * 1989-07-28 1991-10-15 Casio Computer Co., Ltd. Memory cell system with first and second gates
JP2893594B2 (en) * 1989-08-29 1999-05-24 カシオ計算機株式会社 Semiconductor memory
US5270562A (en) * 1989-09-07 1993-12-14 Sgs-Thomson Microelectronics S.A. Locking device with a never-programmable floating gate cell
JPH03104285A (en) * 1989-09-19 1991-05-01 Casio Comput Co Ltd Nonvolatile semiconductor memory
US5008909A (en) 1990-02-07 1991-04-16 The United States Of America As Represented By The Department Of Energy Diffractometer data collecting method and apparatus
US5070384A (en) 1990-04-12 1991-12-03 Actel Corporation Electrically programmable antifuse element incorporating a dielectric and amorphous silicon interlayer
US5311039A (en) 1990-04-24 1994-05-10 Seiko Epson Corporation PROM and ROM memory cells
JP2877462B2 (en) 1990-07-23 1999-03-31 株式会社東芝 Nonvolatile semiconductor memory device
US5206749A (en) * 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5930608A (en) * 1992-02-21 1999-07-27 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor in which the channel region of the transistor consists of two portions of differing crystallinity
KR950000103B1 (en) 1991-04-15 1995-01-09 금성일렉트론 주식회사 Manufacturing method of semiconductor device
JPH05102430A (en) 1991-04-23 1993-04-23 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5334880A (en) 1991-04-30 1994-08-02 International Business Machines Corporation Low voltage programmable storage element
EP0516866A1 (en) 1991-05-03 1992-12-09 International Business Machines Corporation Modular multilayer interwiring structure
JP3547146B2 (en) 1991-06-10 2004-07-28 日本特殊陶業株式会社 Package for integrated circuit
JPH0582787A (en) 1991-09-19 1993-04-02 Sony Corp Thin film transistor type nonvolatile semiconductor memory device
JPH0715969B2 (en) 1991-09-30 1995-02-22 インターナショナル・ビジネス・マシーンズ・コーポレイション Multi-chip integrated circuit package and system thereof
US5321286A (en) 1991-11-26 1994-06-14 Nec Corporation Non-volatile semiconductor memory device having thin film memory transistors stacked over associated selecting transistors
JP2817500B2 (en) 1992-02-07 1998-10-30 日本電気株式会社 Nonvolatile semiconductor memory device
US5398200A (en) 1992-03-02 1995-03-14 Motorola, Inc. Vertically formed semiconductor random access memory device
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5985693A (en) 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
JPH0637037Y2 (en) 1992-04-10 1994-09-28 裕一 大西 Folding small boat
US5422435A (en) 1992-05-22 1995-06-06 National Semiconductor Corporation Stacked multi-chip modules and method of manufacturing
JPH0622352A (en) 1992-06-30 1994-01-28 Fujitsu Ltd Outgoing line hunt system and outgoing line hunt method
JPH0677439A (en) * 1992-08-25 1994-03-18 Toshiba Corp Nonvolatile semiconductor memory device
JPH0677500A (en) * 1992-08-25 1994-03-18 Sony Corp Semiconductor storage device
HUT73312A (en) 1992-09-14 1996-07-29 Badehi Method and apparatus for producing integrated circuit devices, and integrated circuit device
DE69316134T2 (en) * 1992-09-22 1998-06-18 Nat Semiconductor Corp Method for producing a Schottky transistor with a retrograde n-well cathode
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JP3267409B2 (en) 1992-11-24 2002-03-18 株式会社日立製作所 Semiconductor integrated circuit device
US5379255A (en) 1992-12-14 1995-01-03 Texas Instruments Incorporated Three dimensional famos memory devices and methods of fabricating
US5536968A (en) 1992-12-18 1996-07-16 At&T Global Information Solutions Company Polysilicon fuse array structure for integrated circuits
EP0606653A1 (en) 1993-01-04 1994-07-20 Texas Instruments Incorporated Field programmable distributed processing memory
US5629863A (en) * 1993-03-02 1997-05-13 372103 Ontario Ltd. Additive blending controller
US5471090A (en) 1993-03-08 1995-11-28 International Business Machines Corporation Electronic structures having a joining geometry providing reduced capacitive loading
JP3535205B2 (en) * 1993-03-22 2004-06-07 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
US5455740A (en) 1994-03-07 1995-10-03 Staktek Corporation Bus communication system for stacked high density integrated circuit packages
EP0695494B1 (en) 1993-04-23 2001-02-14 Irvine Sensors Corporation Electronic module comprising a stack of ic chips
JP3651689B2 (en) 1993-05-28 2005-05-25 株式会社東芝 NAND type nonvolatile semiconductor memory device and manufacturing method thereof
US5334800A (en) * 1993-07-21 1994-08-02 Parlex Corporation Flexible shielded circuit board
DE69432634D1 (en) 1993-08-13 2003-06-12 Irvine Sensors Corp IC STACK AS REPLACEMENT FOR INDIVIDUAL IC
US5561622A (en) 1993-09-13 1996-10-01 International Business Machines Corporation Integrated memory cube structure
US5382540A (en) * 1993-09-20 1995-01-17 Motorola, Inc. Process for forming an electrically programmable read-only memory cell
US5391518A (en) 1993-09-24 1995-02-21 Vlsi Technology, Inc. Method of making a field programmable read only memory (ROM) cell using an amorphous silicon fuse with buried contact polysilicon and metal electrodes
EP1178530A2 (en) 1993-09-30 2002-02-06 Kopin Corporation Three-dimensional processor using transferred thin film circuits
US5427979A (en) 1993-10-18 1995-06-27 Vlsi Technology, Inc. Method for making multi-level antifuse structure
KR0141218B1 (en) * 1993-11-24 1998-07-15 윤종용 Fabrication method of semkonductor device
US5455445A (en) 1994-01-21 1995-10-03 Kulite Semiconductor Products, Inc. Multi-level semiconductor structures having environmentally isolated elements
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5489792A (en) 1994-04-07 1996-02-06 Regents Of The University Of California Silicon-on-insulator transistors having improved current characteristics and reduced electrostatic discharge susceptibility
US5585675A (en) 1994-05-11 1996-12-17 Harris Corporation Semiconductor die packaging tub having angularly offset pad-to-pad via structure configured to allow three-dimensional stacking and electrical interconnections among multiple identical tubs
US5463244A (en) 1994-05-26 1995-10-31 Symetrix Corporation Antifuse programmable element using ferroelectric material
US5432739A (en) * 1994-06-17 1995-07-11 Philips Electronics North America Corporation Non-volatile sidewall memory cell method of fabricating same
US5441907A (en) 1994-06-27 1995-08-15 Taiwan Semiconductor Manufacturing Company Process for manufacturing a plug-diode mask ROM
US5434745A (en) 1994-07-26 1995-07-18 White Microelectronics Div. Of Bowmar Instrument Corp. Stacked silicon die carrier assembly
US5523628A (en) 1994-08-05 1996-06-04 Hughes Aircraft Company Apparatus and method for protecting metal bumped integrated circuit chips during processing and for providing mechanical support to interconnected chips
JPH0878635A (en) * 1994-08-31 1996-03-22 Toshiba Corp Semiconductor memory device
JPH08162547A (en) * 1994-11-30 1996-06-21 Toshiba Corp Semiconductor memory
US5703747A (en) 1995-02-22 1997-12-30 Voldman; Steven Howard Multichip semiconductor structures with interchip electrostatic discharge protection, and fabrication methods therefore
US5852317A (en) 1995-03-31 1998-12-22 National Semiconductor Corporation Method to reduce gate oxide damage due to non-uniform plasmas in read only memory arrays
US6433382B1 (en) * 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5612570A (en) * 1995-04-13 1997-03-18 Dense-Pac Microsystems, Inc. Chip stack and method of making same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5675547A (en) 1995-06-01 1997-10-07 Sony Corporation One time programmable read only memory programmed by destruction of insulating layer
US6043562A (en) * 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100190016B1 (en) * 1996-01-31 1999-06-01 윤종용 Non-volatile memory device and fabrication method thereof
US5696031A (en) 1996-11-20 1997-12-09 Micron Technology, Inc. Device and method for stacking wire-bonded integrated circuit dice on flip-chip bonded integrated circuit dice
US6653733B1 (en) * 1996-02-23 2003-11-25 Micron Technology, Inc. Conductors in semiconductor devices
JP3081543B2 (en) 1996-03-29 2000-08-28 三洋電機株式会社 Split gate transistor, method of manufacturing split gate transistor, and nonvolatile semiconductor memory
US5936883A (en) 1996-03-29 1999-08-10 Sanyo Electric Co., Ltd. Split gate type transistor memory device
US5778422A (en) 1996-04-04 1998-07-07 International Business Machines Corporation Data processing system memory controller that selectively caches data associated with write requests
US5693552A (en) 1996-04-29 1997-12-02 United Microelectronics Corporation Method for fabricating read-only memory device with a three-dimensional memory cell structure
US5969380A (en) 1996-06-07 1999-10-19 Micron Technology, Inc. Three dimensional ferroelectric memory
KR0174688B1 (en) * 1996-06-26 1999-04-01 김광호 Developer Toner Supply
US5981974A (en) * 1996-09-30 1999-11-09 Sharp Kabushiki Kaisha Semiconductor device and method for fabricating the same
US5812441A (en) 1996-10-21 1998-09-22 Micron Technology, Inc. MOS diode for use in a non-volatile memory cell
US6087674A (en) * 1996-10-28 2000-07-11 Energy Conversion Devices, Inc. Memory element with memory material comprising phase-change material and dielectric material
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
TW306005B (en) * 1996-11-22 1997-05-21 United Microelectronics Corp Decoding method of diode-type read only memory array
TW307048B (en) * 1996-11-22 1997-06-01 United Microelectronics Corp High density read only memory structure and manufacturing method thereof
US5953588A (en) * 1996-12-21 1999-09-14 Irvine Sensors Corporation Stackable layers containing encapsulated IC chips
US5929477A (en) * 1997-01-22 1999-07-27 International Business Machines Corporation Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array
US6057598A (en) * 1997-01-31 2000-05-02 Vlsi Technology, Inc. Face on face flip chip integration
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5936280A (en) * 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices
JP3376247B2 (en) * 1997-05-30 2003-02-10 株式会社半導体エネルギー研究所 Thin film transistor and semiconductor device using thin film transistor
US5966603A (en) 1997-06-11 1999-10-12 Saifun Semiconductors Ltd. NROM fabrication method with a periphery portion
NO972803D0 (en) 1997-06-17 1997-06-17 Opticom As Electrically addressable logic device, method of electrically addressing the same and use of device and method
US6066547A (en) * 1997-06-20 2000-05-23 Sharp Laboratories Of America, Inc. Thin-film transistor polycrystalline film formation by nickel induced, rapid thermal annealing method
JP3070531B2 (en) 1997-06-27 2000-07-31 日本電気株式会社 Nonvolatile semiconductor memory device
JP4032454B2 (en) * 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US6072209A (en) * 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5973356A (en) * 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
JPH1154731A (en) * 1997-07-31 1999-02-26 Nec Corp Semiconductor device
US5973352A (en) * 1997-08-20 1999-10-26 Micron Technology, Inc. Ultra high density flash memory having vertically stacked devices
JP3980178B2 (en) 1997-08-29 2007-09-26 株式会社半導体エネルギー研究所 Nonvolatile memory and semiconductor device
JP3425853B2 (en) * 1997-08-29 2003-07-14 Necエレクトロニクス株式会社 Nonvolatile semiconductor memory device
JP3943245B2 (en) * 1997-09-20 2007-07-11 株式会社半導体エネルギー研究所 Semiconductor device
JPH1197705A (en) 1997-09-23 1999-04-09 Semiconductor Energy Lab Co Ltd Semiconductor integrated circuit
US6005270A (en) * 1997-11-10 1999-12-21 Sony Corporation Semiconductor nonvolatile memory device and method of production of same
US6344413B1 (en) * 1997-12-22 2002-02-05 Motorola Inc. Method for forming a semiconductor device
JPH11214640A (en) * 1998-01-28 1999-08-06 Hitachi Ltd Semiconductor memory element, semiconductor memory and control method thereof
JP4126747B2 (en) * 1998-02-27 2008-07-30 セイコーエプソン株式会社 Manufacturing method of three-dimensional device
TW412861B (en) 1998-02-27 2000-11-21 Sanyo Electric Co Non-volatile semiconductor memory
JP4085459B2 (en) 1998-03-02 2008-05-14 セイコーエプソン株式会社 Manufacturing method of three-dimensional device
US6153495A (en) * 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
KR20010041822A (en) * 1998-03-09 2001-05-25 스콧 티. 마이쿠엔 Devices formable by low temperature direct bonding
KR100277438B1 (en) * 1998-05-28 2001-02-01 윤종용 Multi Chip Package
KR100316060B1 (en) * 1998-06-16 2002-02-19 박종섭 Flash memory loy-out and method for manufacturing thereof
US6110278A (en) * 1998-08-10 2000-08-29 Saxena; Arjun N. Methods for and products of growth of single-crystal on arrayed nucleation sites (SCANS) defined in nucleation unfriendly substrates
US6197641B1 (en) * 1998-08-28 2001-03-06 Lucent Technologies Inc. Process for fabricating vertical transistors
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
KR100278661B1 (en) * 1998-11-13 2001-02-01 윤종용 Nonvolatile Memory Device and Manufacturing Method Thereof
US6122187A (en) 1998-11-23 2000-09-19 Micron Technology, Inc. Stacked integrated circuits
US6299338B1 (en) 1998-11-30 2001-10-09 General Electric Company Decorative lighting apparatus with light source and luminescent material
EP1014448A3 (en) * 1998-12-25 2000-10-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP3973819B2 (en) * 1999-03-08 2007-09-12 株式会社東芝 Semiconductor memory device and manufacturing method thereof
TW518650B (en) 1999-04-15 2003-01-21 Semiconductor Energy Lab Electro-optical device and electronic equipment
TW497376B (en) 1999-05-14 2002-08-01 Siliconware Precision Industries Co Ltd Dual-die semiconductor package using lead as die pad
US6075719A (en) * 1999-06-22 2000-06-13 Energy Conversion Devices, Inc. Method of programming phase-change memory element
JP3768744B2 (en) * 1999-09-22 2006-04-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6291858B1 (en) 2000-01-03 2001-09-18 International Business Machines Corporation Multistack 3-dimensional high density semiconductor device and method for fabrication
US6525962B1 (en) 2000-04-05 2003-02-25 Cypress Semiconductor Corporation High current and/or high speed electrically erasable memory cell for programmable logic devices
US6577531B2 (en) * 2000-04-27 2003-06-10 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and semiconductor device
JP3370646B2 (en) 2000-06-02 2003-01-27 株式会社新川 Semiconductor device
JP2002076250A (en) 2000-08-29 2002-03-15 Nec Corp Semiconductor device
JP3581086B2 (en) 2000-09-07 2004-10-27 松下電器産業株式会社 Semiconductor device
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
JP2002134752A (en) 2000-10-23 2002-05-10 Citizen Watch Co Ltd Semiconductor device
US6759707B2 (en) * 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6853049B2 (en) 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US7081377B2 (en) 2002-06-27 2006-07-25 Sandisk 3D Llc Three-dimensional memory
US6737675B2 (en) * 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US6834008B2 (en) 2002-08-02 2004-12-21 Unity Semiconductor Corporation Cross point memory array using multiple modes of operation
US7071008B2 (en) 2002-08-02 2006-07-04 Unity Semiconductor Corporation Multi-resistive state material that uses dopants
US7176064B2 (en) 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7800933B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance
US7800932B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US6713810B1 (en) * 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
US6873543B2 (en) 2003-05-30 2005-03-29 Hewlett-Packard Development Company, L.P. Memory device
KR100773537B1 (en) 2003-06-03 2007-11-07 삼성전자주식회사 Nonvolatile memory device composing one switching device and one resistant material and method of manufacturing the same
US8125003B2 (en) 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
US6847544B1 (en) 2003-10-20 2005-01-25 Hewlett-Packard Development Company, L.P. Magnetic memory which detects changes between first and second resistive states of memory cell
US6999366B2 (en) 2003-12-03 2006-02-14 Hewlett-Packard Development Company, Lp. Magnetic memory including a sense result category between logic states
US7172840B2 (en) 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7157782B1 (en) 2004-02-17 2007-01-02 Altera Corporation Electrically-programmable transistor antifuses
US20050221200A1 (en) 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
EP1766678A1 (en) 2004-06-30 2007-03-28 Koninklijke Philips Electronics N.V. Method for manufacturing an electric device with a layer of conductive material contacted by nanowire
US7307013B2 (en) 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7224013B2 (en) 2004-09-29 2007-05-29 Sandisk 3D Llc Junction diode comprising varying semiconductor compositions
JP5164053B2 (en) * 2005-02-03 2013-03-13 財団法人ソウル大学校産学協力財団 Charge trap memory cell having a plurality of doped layers, memory array using the same, and method of operating the same
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US20060250836A1 (en) 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US20060273298A1 (en) 2005-06-02 2006-12-07 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a transistor and resistance-switching material in series
US7575984B2 (en) 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US7800161B2 (en) * 2006-12-21 2010-09-21 Sandisk Corporation Flash NAND memory cell array with charge storage elements positioned in trenches
JP5082787B2 (en) 2007-01-09 2012-11-28 株式会社アドヴィックス Substrate housing case and hydraulic control device using the same
WO2009031052A2 (en) * 2007-03-29 2009-03-12 Innovative Silicon S.A. Zero-capacitor (floating body) random access memory circuits with polycide word lines and manufacturing methods therefor
US20090034355A1 (en) 2007-07-30 2009-02-05 Qimonda Ag Integrated circuit including memory cells with tunnel fet as selection transistor
US7764534B2 (en) 2007-12-28 2010-07-27 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
KR101539697B1 (en) * 2008-06-11 2015-07-27 삼성전자주식회사 Three Dimensional Memory Device Using Vertical Pillar As Active Region And Methods Of Fabricating And Operating The Same
US8223580B2 (en) * 2008-06-17 2012-07-17 Ovonyx, Inc. Method and apparatus for decoding memory

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3432827A (en) * 1964-09-04 1969-03-11 An Controls Inc Di Stacked magnetic memory system
US3414892A (en) * 1967-12-26 1968-12-03 Lab For Electronics Inc Means interconnecting printed circuit memory planes
US3634929A (en) * 1968-11-02 1972-01-18 Tokyo Shibaura Electric Co Method of manufacturing semiconductor integrated circuits
US3571809A (en) * 1968-11-04 1971-03-23 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3573757A (en) * 1968-11-04 1971-04-06 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3629863A (en) * 1968-11-04 1971-12-21 Energy Conversion Devices Inc Film deposited circuits and devices therefor
US3699543A (en) * 1968-11-04 1972-10-17 Energy Conversion Devices Inc Combination film deposited switch unit and integrated circuits
US3576549A (en) * 1969-04-14 1971-04-27 Cogar Corp Semiconductor device, method, and memory array
US3671948A (en) * 1970-09-25 1972-06-20 North American Rockwell Read-only memory
US3787822A (en) * 1971-04-23 1974-01-22 Philips Corp Method of providing internal connections in a semiconductor device
US3717852A (en) * 1971-09-17 1973-02-20 Ibm Electronically rewritable read-only memory using via connections
US3728695A (en) * 1971-10-06 1973-04-17 Intel Corp Random-access floating gate mos memory array
US3990098A (en) * 1972-12-22 1976-11-02 E. I. Du Pont De Nemours And Co. Structure capable of forming a diode and associated conductive path
US3863231A (en) * 1973-07-23 1975-01-28 Nat Res Dev Read only memory with annular fuse links
US3886577A (en) * 1973-09-12 1975-05-27 Energy Conversion Devices Inc Filament-type memory semiconductor device and method of making the same
US3980505A (en) * 1973-09-12 1976-09-14 Buckley William D Process of making a filament-type memory semiconductor device
US3846767A (en) * 1973-10-24 1974-11-05 Energy Conversion Devices Inc Method and means for resetting filament-forming memory semiconductor device
US3877049A (en) * 1973-11-28 1975-04-08 William D Buckley Electrodes for amorphous semiconductor switch devices and method of making the same
US4037243A (en) * 1974-07-01 1977-07-19 Motorola, Inc. Semi conductor memory cell utilizing sensing of variations in PN junction current conrolled by stored data
US3922648A (en) * 1974-08-19 1975-11-25 Energy Conversion Devices Inc Method and means for preventing degradation of threshold voltage of filament-forming memory semiconductor device
US4146902A (en) * 1975-12-03 1979-03-27 Nippon Telegraph And Telephone Public Corp. Irreversible semiconductor switching element and semiconductor memory device utilizing the same
US4494135A (en) * 1976-04-06 1985-01-15 U.S. Philips Corporation Programmable read only memory cell having an electrically destructible programmation element integrally formed with a junction diode
US4177475A (en) * 1977-10-31 1979-12-04 Burroughs Corporation High temperature amorphous memory device for an electrically alterable read-only memory
US4203123A (en) * 1977-12-12 1980-05-13 Burroughs Corporation Thin film memory device employing amorphous semiconductor materials
US4419741A (en) * 1980-01-28 1983-12-06 Rca Corporation Read only memory (ROM) having high density memory array with on pitch decoder circuitry
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4420766A (en) * 1981-02-09 1983-12-13 Harris Corporation Reversibly programmable polycrystalline silicon memory element
US4442507A (en) * 1981-02-23 1984-04-10 Burroughs Corporation Electrically programmable read-only memory stacked above a semiconductor substrate
US4498226A (en) * 1981-08-31 1985-02-12 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing three-dimensional semiconductor device by sequential beam epitaxy
US4500905A (en) * 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4507757A (en) * 1982-03-23 1985-03-26 Texas Instruments Incorporated Avalanche fuse element in programmable memory
US4535424A (en) * 1982-06-03 1985-08-13 Texas Instruments Incorporated Solid state three dimensional semiconductor memory array
US4543594A (en) * 1982-09-07 1985-09-24 Intel Corporation Fusible link employing capacitor structure
US4677742A (en) * 1983-01-18 1987-07-07 Energy Conversion Devices, Inc. Electronic matrix arrays and method for making the same
US4569121A (en) * 1983-03-07 1986-02-11 Signetics Corporation Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer
US4729005A (en) * 1985-04-29 1988-03-01 General Electric Company Method and apparatus for improved metal-insulator-semiconductor device operation
US4774556A (en) * 1985-07-25 1988-09-27 Nippondenso Co., Ltd. Non-volatile semiconductor memory device
US4922319A (en) * 1985-09-09 1990-05-01 Fujitsu Limited Semiconductor programmable memory device
US4728626A (en) * 1985-11-18 1988-03-01 International Business Machines Corporation Method for making planar 3D heterepitaxial semiconductor structures with buried epitaxial silicides
US5840589A (en) * 1985-11-19 1998-11-24 Warner, Jr.; Raymond M. Method for fabricating monolithic and monocrystalline all-semiconductor three-dimensional integrated circuits
US4811114A (en) * 1986-02-24 1989-03-07 Konishiroku Photo Industry Co., Ltd. Image reading apparatus
US4943538A (en) * 1986-05-09 1990-07-24 Actel Corporation Programmable low impedance anti-fuse element
US4899205A (en) * 1986-05-09 1990-02-06 Actel Corporation Electrically-programmable low-impedance anti-fuse element
US4823181A (en) * 1986-05-09 1989-04-18 Actel Corporation Programmable low impedance anti-fuse element
US5089862A (en) * 1986-05-12 1992-02-18 Warner Jr Raymond M Monocrystalline three-dimensional integrated circuit
US4876220A (en) * 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US4881114A (en) * 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
US4867247A (en) * 1986-09-12 1989-09-19 Heckendorf David W Bedding plow
US4811082A (en) * 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4820657A (en) * 1987-02-06 1989-04-11 Georgia Tech Research Corporation Method for altering characteristics of junction semiconductor devices
US20030218920A1 (en) * 1988-06-08 2003-11-27 Sandisk Corporation Highly compact Eprom and flash EEprom devices
US5091762A (en) * 1988-07-05 1992-02-25 Kabushiki Kaisha Toshiba Semiconductor memory device with a 3-dimensional structure
US5001539A (en) * 1988-07-08 1991-03-19 Mitsubishi Denki Kabushiki Kaisha Multiple layer static random access memory device
US5191405A (en) * 1988-12-23 1993-03-02 Matsushita Electric Industrial Co., Ltd. Three-dimensional stacked lsi
US5160987A (en) * 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5006909A (en) * 1989-10-30 1991-04-09 Motorola, Inc. Dram with a vertical capacitor and transistor
US5191551A (en) * 1990-11-30 1993-03-02 Nec Corporation Non-volatile semiconductor memory device with transistor paralleling floating gate transistor
US5202754A (en) * 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
US5883409A (en) * 1992-01-14 1999-03-16 Sandisk Corporation EEPROM with split gate source side injection
US5776810A (en) * 1992-01-14 1998-07-07 Sandisk Corporation Method for forming EEPROM with split gate source side injection
US5283458A (en) * 1992-03-30 1994-02-01 Trw Inc. Temperature stable semiconductor bulk acoustic resonator
US5266912A (en) * 1992-08-19 1993-11-30 Micron Technology, Inc. Inherently impedance matched multiple integrated circuit module
US5780925A (en) * 1992-10-28 1998-07-14 International Business Machines Corporation Lead frame package for electronic devices
US5801437A (en) * 1993-03-29 1998-09-01 Staktek Corporation Three-dimensional warp-resistant integrated circuit module method and apparatus
US5397908A (en) * 1993-06-09 1995-03-14 Micron Technology, Inc. Arrays of memory integrated circuitry
US5559048A (en) * 1993-11-17 1996-09-24 Nec Corporation Method of making a double layered floating gate EPROM with trench isolation
US5745407A (en) * 1994-05-05 1998-04-28 California Institute Of Technology Transistorless, multistable current-mode memory cells and memory arrays and methods of reading and writing to the same
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
US5751037A (en) * 1995-07-27 1998-05-12 Sony Corporation Non-volatile memory cell having dual gate electrodes
US5781031A (en) * 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
US6191459B1 (en) * 1996-01-08 2001-02-20 Infineon Technologies Ag Electrically programmable memory cell array, using charge carrier traps and insulation trenches
USRE37259E1 (en) * 1996-04-19 2001-07-03 Energy Conversion Devices, Inc. Multibit single cell memory element having tapered contact
US5903492A (en) * 1996-06-10 1999-05-11 Kabushiki Kaisha Toshiba Semiconductor memory device and various systems mounting them
US5768192A (en) * 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US6137718A (en) * 1996-08-01 2000-10-24 Siemens Aktiengesellschaft Method for operating a non-volatile memory cell arrangement
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US5825046A (en) * 1996-10-28 1998-10-20 Energy Conversion Devices, Inc. Composite memory material comprising a mixture of phase-change memory material and dielectric material
US6133640A (en) * 1997-04-04 2000-10-17 Elm Technology Corporation Three-dimensional structure memory
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5889302A (en) * 1997-04-21 1999-03-30 Advanced Micro Devices, Inc. Multilayer floating gate field effect transistor structure for use in integrated circuit devices
US6011725A (en) * 1997-08-01 2000-01-04 Saifun Semiconductors, Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6285055B1 (en) * 1998-01-26 2001-09-04 Sony Corporation Memory device and method of manufacturing the same, and integrated circuit and method of manufacturing semiconductor device
US6486027B1 (en) * 1998-02-27 2002-11-26 Micron Technology, Inc. Field programmable logic arrays with vertical transistors
US6141241A (en) * 1998-06-23 2000-10-31 Energy Conversion Devices, Inc. Universal memory element with systems employing same and apparatus and method for reading, writing and programming same
US6185122B1 (en) * 1998-11-16 2001-02-06 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US20020030262A1 (en) * 1999-02-08 2002-03-14 Salman Akram Multiple die stack apparatus employing T-shaped interposer elements
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US20020028541A1 (en) * 2000-08-14 2002-03-07 Lee Thomas H. Dense arrays and charge storage devices, and methods for making same
US6587365B1 (en) * 2000-08-31 2003-07-01 Micron Technology, Inc. Array architecture for depletion mode ferroelectric memory devices
US7125763B1 (en) * 2000-09-29 2006-10-24 Spansion Llc Silicided buried bitline process for a non-volatile memory cell
US6353265B1 (en) * 2001-02-06 2002-03-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020142546A1 (en) * 2001-03-28 2002-10-03 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US20070010100A1 (en) * 2005-07-11 2007-01-11 Matrix Semiconductor, Inc. Method of plasma etching transition metals and their compounds
US20070114509A1 (en) * 2005-11-23 2007-05-24 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US7486537B2 (en) * 2006-07-31 2009-02-03 Sandisk 3D Llc Method for using a mixed-use memory array with different data states
US20090168507A1 (en) * 2007-12-28 2009-07-02 Sandisk 3D Llc Method of programming cross-point diode memory array

Cited By (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8981457B2 (en) 2000-08-14 2015-03-17 Sandisk 3D Llc Dense arrays and charge storage devices
US9171857B2 (en) 2000-08-14 2015-10-27 Sandisk 3D Llc Dense arrays and charge storage devices
US8823076B2 (en) 2000-08-14 2014-09-02 Sandisk 3D Llc Dense arrays and charge storage devices
US9559110B2 (en) 2000-08-14 2017-01-31 Sandisk Technologies Llc Dense arrays and charge storage devices
US8853765B2 (en) 2000-08-14 2014-10-07 Sandisk 3D Llc Dense arrays and charge storage devices
US10644021B2 (en) 2000-08-14 2020-05-05 Sandisk Technologies Llc Dense arrays and charge storage devices
US10008511B2 (en) 2000-08-14 2018-06-26 Sandisk Technologies Llc Dense arrays and charge storage devices
US20060014329A1 (en) * 2004-07-16 2006-01-19 Samsung Electronics Co., Ltd., Nanodots formed on silicon oxide and method of manufacturing the same
US7560394B2 (en) 2004-07-16 2009-07-14 Samsung Electronics Co., Ltd. Nanodots formed on silicon oxide and method of manufacturing the same
US7728390B2 (en) * 2005-05-06 2010-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-level interconnection memory device
US8211755B2 (en) 2005-05-06 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for multi-level interconnection memory device
US20060249755A1 (en) * 2005-05-06 2006-11-09 Hsiu-Lan Kuo Method to prevent arcing during deep via plasma etching
US20100221874A1 (en) * 2005-05-06 2010-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Multi-Level Interconnection Memory Device
US8203868B2 (en) 2005-10-04 2012-06-19 Renesas Electronics Corporation Semiconductor memory device
US7639525B2 (en) * 2005-10-04 2009-12-29 Renesas Technology Corp. Semiconductor memory device
US20100065911A1 (en) * 2005-10-04 2010-03-18 Renesas Technology Corp. Semiconductor memory device
US7995377B2 (en) 2005-10-04 2011-08-09 Renesas Electronics Corporation Semiconductor memory device
US20110122681A1 (en) * 2005-10-04 2011-05-26 Renesas Electronics Corporation Semiconductor memory device
US20070076467A1 (en) * 2005-10-04 2007-04-05 Renesas Technology Corp. Semiconductor memory device
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080305594A1 (en) * 2007-06-07 2008-12-11 Promos Technologies Inc. Method for fabricating non-volatile memory
US20090003082A1 (en) * 2007-06-28 2009-01-01 Sandisk 3D Llc Method of making memory cell with voltage modulated sidewall poly resistor
US7701746B2 (en) * 2007-06-28 2010-04-20 Sandisk 3D, Llc Method of making memory cell with voltage modulated sidewall poly resistor
US7764534B2 (en) * 2007-12-28 2010-07-27 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
US20090168492A1 (en) * 2007-12-28 2009-07-02 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
US9431422B2 (en) 2008-01-15 2016-08-30 Micron Technology, Inc. Semiconductor constructions and NAND unit cells
US11205657B2 (en) 2008-01-15 2021-12-21 Micron Technology, Inc. Semiconductor constructions
US9230978B2 (en) 2008-01-15 2016-01-05 Micron Technology, Inc. Semiconductor constructions and NAND unit cells
US11094707B2 (en) 2008-01-15 2021-08-17 Micron Technology, Inc. NAND unit cells
US20090180324A1 (en) * 2008-01-15 2009-07-16 Ramaswamy D V Nirmal Semiconductor Constructions, NAND Unit Cells, Methods Of Forming Semiconductor Constructions, And Methods Of Forming NAND Unit Cells
US10079244B2 (en) 2008-01-15 2018-09-18 Micron Technology, Inc. Semiconductor constructions and NAND unit cells
US11094706B2 (en) 2008-01-15 2021-08-17 Micron Technology, Inc. NAND unit cells
US8394683B2 (en) 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
US8610193B2 (en) 2008-01-15 2013-12-17 Micron Technology Inc. Semiconductor constructions, NAND unit cells, methods of forming semiconductor constructions, and methods of forming NAND unit cells
US7977733B2 (en) * 2008-03-17 2011-07-12 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8314455B2 (en) * 2008-03-17 2012-11-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US20110233652A1 (en) * 2008-03-17 2011-09-29 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US20090230450A1 (en) * 2008-03-17 2009-09-17 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8674434B2 (en) * 2008-03-24 2014-03-18 Micron Technology, Inc. Impact ionization devices
US9373716B2 (en) 2008-03-24 2016-06-21 Micron Technology, Inc. Impact ionization devices, and methods of forming impact ionization devices
US20090236657A1 (en) * 2008-03-24 2009-09-24 Micron Technology, Inc. Impact ionization devices and methods of making the same
US7923328B2 (en) * 2008-04-15 2011-04-12 Freescale Semiconductor, Inc. Split gate non-volatile memory cell with improved endurance and method therefor
US7923769B2 (en) 2008-04-15 2011-04-12 Freescale Semiconductor, Inc. Split gate non-volatile memory cell with improved endurance and method therefor
US20110031548A1 (en) * 2008-04-15 2011-02-10 Freescale Semiconductor, Inc. Split gate non-volatile memory cell with improved endurance and method therefor
US20090256191A1 (en) * 2008-04-15 2009-10-15 White Ted R Split gate non-volatile memory cell with improved endurance and method therefor
US20110215407A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8859359B2 (en) 2010-03-02 2014-10-14 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US20110215436A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US10157769B2 (en) 2010-03-02 2018-12-18 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US10325926B2 (en) 2010-03-02 2019-06-18 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8980699B2 (en) 2010-03-02 2015-03-17 Micron Technology, Inc. Thyristor-based memory cells, devices and systems including the same and methods for forming the same
US8809145B2 (en) 2010-03-02 2014-08-19 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9343462B2 (en) 2010-03-02 2016-05-17 Micron Technology, Inc. Thyristor-based memory cells, devices and systems including the same and methods for forming the same
US8841715B2 (en) 2010-03-02 2014-09-23 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8866209B2 (en) 2010-03-02 2014-10-21 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8883589B2 (en) 2010-09-28 2014-11-11 Sandisk 3D Llc Counter doping compensation methods to improve diode performance
WO2012044473A1 (en) * 2010-09-28 2012-04-05 Sandisk 3D, Llc Counter doping compensation methods to improve diode performance
US9129983B2 (en) 2011-02-11 2015-09-08 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US10886273B2 (en) 2011-03-01 2021-01-05 Micron Technology, Inc. Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors
US10373956B2 (en) 2011-03-01 2019-08-06 Micron Technology, Inc. Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors
US9361966B2 (en) 2011-03-08 2016-06-07 Micron Technology, Inc. Thyristors
US9691465B2 (en) 2011-03-08 2017-06-27 Micron Technology, Inc. Thyristors, methods of programming thyristors, and methods of forming thyristors
US20120299056A1 (en) * 2011-05-27 2012-11-29 Renesas Electronics Corporation Method of manufacturing semiconductor device and semiconductor device
US9166017B2 (en) 2011-05-27 2015-10-20 Renesas Electronics Corporation Method of manufacturing semiconductor device and semiconductor device
US8741699B2 (en) * 2011-05-27 2014-06-03 Renesas Electronics Corporation Method of manufacturing semiconductor device and semiconductor device
US9269795B2 (en) 2011-07-26 2016-02-23 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US20140061577A1 (en) * 2012-08-31 2014-03-06 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
US9252358B2 (en) * 2012-08-31 2016-02-02 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
US20150138891A1 (en) * 2013-11-20 2015-05-21 International Business Machiness Corporation Embedded charge trap multi-time-programmable-read-only-memory for high performance logic technology
US9025386B1 (en) * 2013-11-20 2015-05-05 International Business Machines Corporation Embedded charge trap multi-time-programmable-read-only-memory for high performance logic technology
US9728546B2 (en) 2014-09-05 2017-08-08 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9620514B2 (en) 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9666594B2 (en) 2014-09-05 2017-05-30 Sandisk Technologies Llc Multi-charge region memory cells for a vertical NAND device
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US9837431B2 (en) 2015-11-20 2017-12-05 Sandisk Technologies Llc 3D semicircular vertical NAND string with recessed inactive semiconductor channel sections
US9935124B2 (en) 2015-11-25 2018-04-03 Sandisk Technologies Llc Split memory cells with unsplit select gates in a three-dimensional memory device
US9935123B2 (en) 2015-11-25 2018-04-03 Sandisk Technologies Llc Within array replacement openings for a three-dimensional memory device
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
TWI756392B (en) * 2017-07-17 2022-03-01 韓商愛思開海力士有限公司 Semiconductor device and manufacturing method thereof
US10381375B2 (en) * 2017-07-17 2019-08-13 SK Hynix Inc. Semiconductor device and manufacturing method thereof
US10199434B1 (en) 2018-02-05 2019-02-05 Sandisk Technologies Llc Three-dimensional cross rail phase change memory device and method of manufacturing the same
US10468596B2 (en) 2018-02-21 2019-11-05 Sandisk Technologies Llc Damascene process for forming three-dimensional cross rail phase change memory devices
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same
US11217671B2 (en) 2018-04-03 2022-01-04 SK Hynix Inc. Semiconductor device and manufacturing method thereof
US10950700B2 (en) 2018-04-03 2021-03-16 SK Hynix Inc. Semiconductor device and manufacturing method of semiconductor device
US11239333B2 (en) 2018-04-03 2022-02-01 SK Hynix Inc. Semiconductor device and manufacturing method of semiconductor device
US10629691B2 (en) 2018-04-03 2020-04-21 SK Hynix Inc. Semiconductor device and manufacturing method thereof
US11837639B2 (en) 2018-04-03 2023-12-05 SK Hynix Inc. Semiconductor device and manufacturing method of semiconductor device
US10868025B2 (en) 2018-11-26 2020-12-15 Sandisk Technologies Llc Three-dimensional memory device including replacement crystalline channels and methods of making the same
US11114534B2 (en) 2019-12-27 2021-09-07 Sandisk Technologies Llc Three-dimensional nor array including vertical word lines and discrete channels and methods of making the same
US11521984B2 (en) 2020-06-24 2022-12-06 Sandisk Technologies Llc Three-dimensional memory device containing low resistance source-level contact and method of making thereof
US11069410B1 (en) 2020-08-05 2021-07-20 Sandisk Technologies Llc Three-dimensional NOR-NAND combination memory device and method of making the same
US11482539B2 (en) 2020-10-28 2022-10-25 Sandisk Technologies Llc Three-dimensional memory device including metal silicide source regions and methods for forming the same
US11626415B2 (en) 2021-02-16 2023-04-11 Sandisk Technologies Llc Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same
US11882702B2 (en) 2021-02-16 2024-01-23 Sandisk Technologies Llc Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same

Also Published As

Publication number Publication date
EP2988331A1 (en) 2016-02-24
US20140217491A1 (en) 2014-08-07
EP2323164A3 (en) 2011-07-13
US8981457B2 (en) 2015-03-17
KR100819730B1 (en) 2008-04-07
US20170084627A1 (en) 2017-03-23
US20200251492A1 (en) 2020-08-06
EP1312120A1 (en) 2003-05-21
KR100821456B1 (en) 2008-04-11
US20150044833A1 (en) 2015-02-12
WO2002015277A8 (en) 2002-07-11
US9171857B2 (en) 2015-10-27
EP2323164A2 (en) 2011-05-18
AU2001286432A1 (en) 2002-02-25
US20110156044A1 (en) 2011-06-30
US6881994B2 (en) 2005-04-19
CN1401140A (en) 2003-03-05
US6992349B2 (en) 2006-01-31
US20160079258A1 (en) 2016-03-17
US10008511B2 (en) 2018-06-26
US8853765B2 (en) 2014-10-07
EP2323164B1 (en) 2015-11-25
US7129538B2 (en) 2006-10-31
US20180254286A1 (en) 2018-09-06
WO2002015277A2 (en) 2002-02-21
EP2988331B1 (en) 2019-01-09
US7825455B2 (en) 2010-11-02
WO2002015277A9 (en) 2002-09-19
US20140225180A1 (en) 2014-08-14
CN100358147C (en) 2007-12-26
US20040206996A1 (en) 2004-10-21
CN101179079B (en) 2010-11-03
US20120223380A1 (en) 2012-09-06
MY129228A (en) 2007-03-30
US9559110B2 (en) 2017-01-31
CN101179079A (en) 2008-05-14
US10644021B2 (en) 2020-05-05
KR20070091238A (en) 2007-09-07
JP5792918B2 (en) 2015-10-14
US8823076B2 (en) 2014-09-02
US20040214379A1 (en) 2004-10-28
US20090173985A1 (en) 2009-07-09
US20020028541A1 (en) 2002-03-07
JP2004507091A (en) 2004-03-04
KR20020047228A (en) 2002-06-21

Similar Documents

Publication Publication Date Title
US20200251492A1 (en) Dense arrays and charge storage devices
US6888750B2 (en) Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6593624B2 (en) Thin film transistors with vertically offset drain regions
US7250646B2 (en) TFT mask ROM and method for making same
US7132335B2 (en) Semiconductor device with localized charge storage dielectric and method of making same
US20060273404A1 (en) TFT charge storage memory cell having high-mobility corrugated channel
TW540086B (en) Dense arrays and charge storage devices, and methods for making same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SANDISK 3D LLC.;REEL/FRAME:038300/0665

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:SANDISK 3D LLC;REEL/FRAME:038520/0552

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES LLC, TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:SANDISK TECHNOLOGIES INC;REEL/FRAME:038807/0980

Effective date: 20160516

AS Assignment

Owner name: WODEN TECHNOLOGIES INC., DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SANDISK TECHNOLOGIES LLC;REEL/FRAME:058871/0928

Effective date: 20210729