US20060161452A1 - Computer-implemented methods, processors, and systems for creating a wafer fabrication process - Google Patents

Computer-implemented methods, processors, and systems for creating a wafer fabrication process Download PDF

Info

Publication number
US20060161452A1
US20060161452A1 US11/374,710 US37471006A US2006161452A1 US 20060161452 A1 US20060161452 A1 US 20060161452A1 US 37471006 A US37471006 A US 37471006A US 2006161452 A1 US2006161452 A1 US 2006161452A1
Authority
US
United States
Prior art keywords
wafer
reticle
design data
parameters
fabrication process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/374,710
Inventor
Carl Hess
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Tencor Technologies Corp
Original Assignee
KLA Tencor Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Technologies Corp filed Critical KLA Tencor Technologies Corp
Priority to US11/374,710 priority Critical patent/US20060161452A1/en
Assigned to KLA-TENCOR TECHNOLOGIES CORP. reassignment KLA-TENCOR TECHNOLOGIES CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HESS, CARL
Publication of US20060161452A1 publication Critical patent/US20060161452A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q30/00Commerce
    • G06Q30/02Marketing; Price estimation or determination; Fundraising
    • G06Q30/0201Market modelling; Market analysis; Collecting market data
    • G06Q30/0206Price or cost determination based on market factors

Definitions

  • the present invention generally relates to computer-implemented methods for detecting defects in reticle design data. Certain embodiments relate to a computer-implemented method that includes detecting defects in reticle design data using simulated images that illustrate how a reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process.
  • Fabricating semiconductor devices such as logic and memory devices typically includes processing a substrate such as a semiconductor wafer using a number of semiconductor fabrication processes to form various features and multiple levels of the semiconductor devices.
  • lithography is a semiconductor fabrication process that involves transferring a pattern from a reticle to a resist arranged on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing, etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated in an arrangement on a semiconductor wafer and then separated into individual semiconductor devices.
  • Lithography is typically one of the most important processes in integrated circuit manufacturing since this is the process in which features are patterned on the wafer.
  • the pattern printed in a resist by lithography is then utilized as a masking layer to transfer the pattern to additional layers on the wafer in subsequent processing steps. Therefore, the pattern that is formed on the wafer during lithography directly affects the features of the integrated circuits that are formed on the wafer. Consequently, defects that are formed on a wafer during lithography may be particularly problematic for the integrated circuit manufacturing process.
  • One of the many ways in which defects may be formed on the patterned wafer during lithography is by transfer of defects that are present on the reticle to the wafer. Therefore, detection and correction of defects on the reticle such as unwanted particulate or other matter is performed rather stringently to prevent as many defects on the reticle from being transferred to the wafer during lithography.
  • DRC design rule checking
  • conventional DRC operates only at the nominal process conditions, or at most, at a limited number of process conditions and/or at a limited number of points within the device.
  • Other software based methods for detecting design pattern defects prior to fabrication of the reticle have been proposed, and one such method is described in U.S. Patent Application Publication No. 2003/0119216A1 by Weed, which is incorporated by reference as if fully set forth herein. However, this method is designed to determine only the best focus and exposure settings and not to explore the full range of the process window conditions available for each design.
  • Another method described in U.S. Pat. No. 6,373,975 to Bula et al. which is incorporated by reference as if fully set forth herein, runs simulations only to test for specific design rule violations and does not compare full chip simulated images to a reference to detect arbitrary defects.
  • vPWQ virtual process window qualification
  • An embodiment of the invention relates to a computer-implemented method for detecting defects in reticle design data.
  • the method includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process.
  • the method also includes generating second simulated images using the first simulated image.
  • the second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. Therefore, the method includes performing a simulation in a two step approach: first, simulating from design to reticle (i.e., simulation of the mask making process); then, simulating the reticle to wafer pattern transfer (i.e., simulation of the wafer manufacturing process).
  • the method includes detecting defects in the reticle design data using the second simulated images.
  • the first and second simulated images include simulated images of a complete chip defined by the reticle design data.
  • the different values span a predetermined process window for the one or more parameters of the wafer printing process. Therefore, the method may include simulation of the full chip across the full process window to determine regions of the device that will fail first as the process conditions (such as focus and exposure) vary.
  • the reticle design data includes reticle design data modified by resolution enhancement technology (RET) feature data.
  • RET resolution enhancement technology
  • the method includes determining a process window for the wafer printing process based on results of the detecting step. In another embodiment, the method includes determining which of the different values at which at least one of the defects appears in the second simulated images. In a further embodiment, the method includes determining a region in the reticle design data in which the defects appear at the different values that are closer to nominal values for the one or more parameters of the wafer printing process than the different values at which the defects appear in other regions in the reticle design data.
  • the detecting step includes comparing the second simulated images to a reference image.
  • the method may involve identification of “defective” regions in the reticle design data by comparison to a reference image.
  • the reference image may include an additional simulated image illustrating how the reticle will be printed on the wafer at nominal values of the one or more parameters of the wafer printing process.
  • the reference image illustrates how the reticle design data would ideally be printed on the wafer.
  • the detecting step includes comparing one of the second simulated images to additional simulated images that illustrate how the reticle will be printed on the wafer at the different values that are closer to nominal values of the one or more parameters of the wafer printing process than the different values corresponding to the one second simulated image.
  • the method may include generating additional simulated images illustrating how the reticle design data will be printed on the reticle at different values of one or more parameters of the reticle manufacturing process.
  • One such embodiment includes selecting the different values of the one or more parameters of the reticle manufacturing process that produce a minimum number of design pattern defects on the reticle.
  • the method may include selecting the most appropriate mask making process for the reticle design data.
  • the method includes altering the reticle design data based on results of the detecting step. The altering step may include altering RET feature data of the reticle design data. In this manner, the method allows for optimal selection of resolution enhancements, optical proximity correction (OPC) rules, design layout, etc.
  • OPC optical proximity correction
  • the method may include generating an inspection process for the reticle based on results of the detecting step. In one such embodiment, the method may include linking vPWQ data to reticle inspection to drive selective sensitivity of the inspector. In an additional embodiment, the method may include generating an inspection process for the wafer based on results of the detecting step. In one such embodiment, the method may include linking vPWQ data to wafer inspection to drive selective sensitivity of the inspector. In a different embodiment, the method may include fabricating the reticle subsequent to the detecting step, inspecting the reticle, and generating an inspection process for the wafer based on results of the detecting step and the inspecting step.
  • the method may include linking the combination of vPWQ and reticle inspection data to wafer inspection to drive selective sensitivity of the wafer inspector.
  • the method may include fabricating the reticle subsequent to the detecting step, inspecting the reticle, and generating an inspection process for the wafer based on results of the detecting step, results of the inspecting step, critical feature data generated by a designer of the reticle design data, or some combination thereof.
  • the methods may include linking the combination of vPWQ, reticle inspection, and/or critical features identified by the designer to drive wafer inspection sensitivity, metrology sample plans and critical dimension (CD) control systems for optimal yield.
  • CD critical dimension
  • the method may include identifying first regions in the reticle design data that have a greater probability of being printed defectively than second regions in the reticle design data and generating a process control method for wafers that will be printed with the reticle based on results of the identifying step.
  • the method may include linking vPWQ to wafer CD metrology tools to drive the optimum sampling plan and to detect the earliest possible signs of process failure in critical regions identified by vPWQ.
  • the method may include identifying first regions in the reticle design data that have a greater probability of being printed defectively than second regions in the reticle design data and altering the reticle design data based on the identifying step.
  • the method may include feedback of vPWQ data to the designer and/or design process to enable optimization of device electrical parameters in the regions identified by vPWQ as most limited in terms of process window tolerance.
  • Each of the embodiments of the method described above may include any other step(s) described herein.
  • Another embodiment relates to a simulation engine configured to generate a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process.
  • the simulation engine is also configured to generate second simulated images using the first simulated image.
  • the second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process.
  • the second simulated images can be used to detect defects in the reticle design data.
  • the simulation engine may be further configured as described herein.
  • An additional embodiment relates to a system configured to detect defects in reticle design data.
  • the system includes a simulation engine configured to generate a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process.
  • the simulation engine is also configured to generate second simulated images using the first simulated image.
  • the second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process.
  • the system also includes a processor configured to detect defects in the reticle design data using the second simulated images.
  • the system may be further configured as described herein.
  • Another embodiment relates to a different method for detecting defects in reticle design data.
  • This method includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process.
  • the method also includes generating second simulated images using the first simulated image.
  • the second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process.
  • the method includes determining a rate of change in a characteristic of the second simulated images as a function of the different values.
  • This method further includes detecting defects in the reticle design data based on the rate of change.
  • the detecting step may include using the rate of change in combination with the second simulated images to detect the defects in the reticle design data.
  • Each of the embodiments of this method may also include any other step(s) described herein.
  • An additional embodiment relates to a method for detecting defects in reticle design data printed on a reticle.
  • This method includes printing images of the reticle on a wafer at different values of one or more parameters of a wafer printing process.
  • the method also includes determining a rate of change in a characteristic of the images as a function of the different values.
  • the method includes detecting defects in the reticle design data based on the rate of change. This method may also include any other step(s) described herein.
  • a further embodiment relates to a computer-implemented method for creating a wafer fabrication process.
  • the method includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters.
  • the method also includes creating the wafer fabrication process based on the overall error budget and the individual error budgets.
  • the wafer fabrication process includes a lithography process. In another embodiment, the wafer fabrication process includes an etch process. In an additional embodiment, the wafer fabrication process includes a device design process, a reticle manufacturing process, and a lithography process. In some embodiments, the wafer fabrication process includes a device design process, a reticle manufacturing process, a lithography process, and an etch process.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and the individual error budgets. In some embodiments, creating the wafer fabrication process includes modifying predetermined operating set points for the different parameters based on the overall error budget and the individual error budgets. In another embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and how variations in the individual error budgets affect how the reticle design data will be printed on the wafer.
  • the individual error budget for one of the different parameters is determined as a function of the individual error budget for another of the different parameters.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for at least two of the different parameters based on the overall error budget and a function describing an interrelated effect of the individual error budgets for the at least two of the different parameters on how the reticle design data will be printed on the wafer.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and controllability of the different parameters. In another embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and cost of implementing the levels of control.
  • the different parameters include all parameters of the wafer fabrication process that can alter how the reticle design data will be printed on the wafer.
  • the method includes generating the simulated images by generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process and generating the simulated images using the first simulated image.
  • the different values span a predetermined process window for the different parameters.
  • the method includes detecting defects in the simulated images.
  • determining the individual error budgets includes determining the individual error budgets based on the overall error budget and the defects in the simulated images.
  • the different parameters include different characteristics of the reticle design data.
  • the method includes creating a design process for the reticle design data based on the overall error budget and the individual error budgets for the different parameters.
  • the method includes altering the reticle design data based on the overall error budget and the individual error budgets for the different parameters.
  • Another embodiment relates to a processor configured to perform a method for creating a wafer fabrication process.
  • the method includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters.
  • the method also includes creating the wafer fabrication process based on the overall error budget and the individual error budgets.
  • the processor may be further configured as described herein.
  • An additional embodiment relates to a system configured to create a wafer fabrication process.
  • the system includes a simulation engine configured to generate simulated images illustrating how reticle design data will be printed on a wafer at different values of different parameters of the wafer fabrication process.
  • the system also includes a processor configured to determine individual error budgets for the different parameters based on an overall error budget for the wafer fabrication process and the simulated images.
  • the processor is also configured to create the wafer fabrication process based on the overall error budget and the individual error budgets.
  • the system may be further configured as described herein.
  • FIG. 1 is a flow chart illustrating a method for qualifying a reticle for production in integrated circuit manufacturing
  • FIG. 2 is a flow chart illustrating one embodiment of a computer-implemented method for detecting defects in reticle design data
  • FIG. 3 is a flow chart illustrating one embodiment of a method for data flow between a computer-implemented method for detecting defects in reticle design data and other process steps;
  • FIG. 4 is a schematic diagram illustrating one example of different areas in reticle design data having different levels and types of criticality
  • FIG. 5 is a schematic diagram illustrating one example of different values of parameters of a wafer printing process for which simulated images can be generated, which can be used to detect defects in reticle design data;
  • FIG. 6 is a schematic diagram illustrating one embodiment of different values of parameters of a wafer printing process for which simulated images can be generated, which can be used to detect defects in reticle design data;
  • FIG. 7 is a schematic diagram illustrating one arrangement of dies printed on a wafer at different values of parameters of a wafer printing process that can be used to detect defects in reticle design data;
  • FIG. 8 is a schematic diagram illustrating one embodiment of an arrangement of dies simulated or printed on a wafer at different values of parameters of a wafer printing process that can be used to detect defects in reticle design data;
  • FIGS. 9-12 are flow charts illustrating various embodiments of a computer-implemented method for detecting defects in reticle design data.
  • FIG. 13 is a block diagram illustrating one embodiment of a processor configured to perform a method for creating a wafer fabrication process and one embodiment of a system configured to create a wafer fabrication process.
  • wafer generally refers to a substrate formed of a semiconductor or non-semiconductor material.
  • a semiconductor or non-semiconductor material include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide.
  • Such substrates may be commonly found and/or processed in semiconductor fabrication facilities.
  • a wafer may include only the substrate. Such a wafer is commonly referred to as a “virgin wafer.” Alternatively, a wafer may include one or more layers formed upon a substrate. For example, such layers may include, but are not limited to, a resist, a dielectric material, and a conductive material.
  • a resist may include any material that may be patterned by an optical lithography technique, an e-beam lithography technique, or an X-ray lithography technique.
  • Examples of a dielectric material include, but are not limited to, silicon dioxide, silicon nitride, silicon oxynitride, and titanium nitride.
  • dielectric material examples include “low-k” dielectric materials such as Black DiamondTM which is commercially available from Applied Materials, Inc., Santa Clara, Calif., and CORALTM commercially available from Novellus Systems, Inc., San Jose, Calif., “ultra-low k” dielectric materials such as “xerogels,” and “high-k” dielectric materials such as tantalum pentoxide.
  • low-k dielectric materials such as Black DiamondTM which is commercially available from Applied Materials, Inc., Santa Clara, Calif., and CORALTM commercially available from Novellus Systems, Inc., San Jose, Calif.
  • ultra-low k dielectric materials such as “xerogels”
  • high-k dielectric materials such as tantalum pentoxide.
  • examples of a conductive material include, but are not limited to, aluminum, polysilicon, and copper.
  • One or more layers formed on a wafer may be patterned or unpatterned.
  • a wafer may include a plurality of dies having repeatable pattern features. Formation and processing of such layers of material may ultimately result in completed semiconductor devices.
  • a wafer may include a substrate on which not all layers of a complete semiconductor device have been formed or a substrate on which all layers of a complete semiconductor device have been formed.
  • semiconductor device is used interchangeably herein with the term “integrated circuit.”
  • other devices such as microelectromechanical system (MEMS) devices and the like may also be formed on a wafer.
  • MEMS microelectromechanical system
  • a “reticle” or a “mask” is generally defined as a substantially transparent substrate having substantially opaque regions formed thereon and configured in a pattern.
  • the substrate may include, for example, a glass material such as quartz.
  • the substantially opaque regions may be formed of a material such as chromium.
  • a reticle may be disposed above a resist-covered wafer during an exposure step of a lithography process such that the pattern on the reticle may be transferred to the resist.
  • substantially opaque regions of the reticle may protect underlying regions of the resist from exposure to an energy source.
  • Many different types of reticles are known in the art, and the term reticle as used herein is intended to encompass all types of reticles.
  • defects refers to marginalities in a reticle design that will cause the reticle design to print incorrectly on a wafer.
  • the defects may print on the wafer at only certain values of one or more parameters of a wafer printing process.
  • wafer printing process is used interchangeably herein with the term “lithography process.”
  • first and second are used herein only to distinguish between different simulated images, different regions on a reticle, etc. and are not to be construed in any other manner.
  • PWQ process window qualification
  • vPWQ virtual process window qualification
  • vPWQ defects are detected by comparing a reference image to different simulated images illustrating how the reticle design data would be printed on a wafer, which are generated for different values of focus and exposure and/or other parameters of the wafer printing process.
  • the reference image may represent how the reticle design data would be printed on the wafer at the best focus/best exposure conditions or nominal focus and exposure conditions.
  • the reference image may be the ideal image of the reticle design data, which can be generated from the design data prior to optical proximity correction (OPC) “decoration” (i.e., prior to modification of the reticle design data by the OPC data).
  • OPC optical proximity correction
  • vPWQ is a virtual wafer to database inspection method, where the virtual wafer is generated for different focus and exposure conditions, preferably across the entire process window that will be used for the reticle in a lithography process.
  • the complete design layout including OPC and other reticle enhancement techniques (RETs)
  • RETs reticle enhancement techniques
  • one embodiment of a computer-implemented method for detecting defects in reticle design data includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process.
  • vPWQ uses the design database, including all optical enhancements (OPC, phase shifting features, and other RET), and simulates how the mask will be manufactured.
  • OPC optical enhancements
  • RET phase shifting features
  • the reticle design data used in the methods described herein may include reticle design data modified by RET feature data.
  • the method also includes generating second simulated images using the first simulated image.
  • the second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process.
  • generating the second simulated images can be performed using one or more models such as a resist model (e.g., a model of the resist that will be printed with the reticle design data on the wafer) and a lithography model (e.g., a model of the optical parameters of an exposure tool that will be used to print the reticle on the wafer and a model of other process steps involved in the lithography process such as develop and post exposure bake).
  • a resist model e.g., a model of the resist that will be printed with the reticle design data on the wafer
  • a lithography model e.g., a model of the optical parameters of an exposure tool that will be used to print the reticle on the wafer and a model of other process steps involved in the lithography process such
  • the different values at which the second images are simulated may span a predetermined process window for the one or more parameters of the wafer printing process.
  • the predetermined process window may be a process window that is desired or available for the wafer printing process to be used with the reticle.
  • the actual process window that is determined for use with the reticle design data may be smaller than this predetermined process window.
  • the one or more parameters may include, but are not limited to, dose and focus.
  • the one or more parameters may also include different types of illumination that are available for use with the reticle (e.g., annular and quadrapole).
  • the second simulated images which are also referred to herein as “test images,” may illustrate how the actual mask will be printed at the wafer level across the full range of focus and exposure conditions.
  • the first and second simulated images may include simulated images of a complete chip defined by the reticle design data.
  • vPWQ therefore, involves breaking the simulation into 2 steps: 1) using a database description of the reticle design to render a simulated image of how the reticle will be written (simulating the reticle manufacturing process); and 2) using the simulated reticle pattern to render simulated wafer patterns.
  • This 2 step approach has many advantages. For example, this approach allows the user to simulate not just the reticle design, but the combination of reticle design data plus mask manufacturing process, thus enabling selection of the best mask making process for a given design and/or optimization of the design rules for the selected process. This approach also produces more accurate simulations by removing the design to mask offset.
  • the method includes detecting defects in the reticle design data using the second simulated images.
  • the test images may be compared to a reference image on a point by point basis, and defects are identified in the test images using, for example, algorithms.
  • the algorithms that are used for the methods described herein may be the same as, or substantially similar to, those used in typical reticle inspection.
  • the reference image that is compared to the second simulated images for defect detection includes a simulated image illustrating how the reticle will be printed on the wafer at nominal values of the one or more parameters of the wafer printing process.
  • the reference image that is compared to the second simulated images for defect detection illustrates how the reticle design data would ideally be printed on the wafer. In this manner, the reference image can either be the circuit layout as ideally conceived by the designer prior to RET decoration or the simulated wafer image under the best focus and exposure conditions.
  • the reference images used for defect detection may also be variations of the reference images described above.
  • the reference image can be the reticle pattern layout intended by the designer or the pattern simulated at best focus and exposure conditions.
  • This “designer intended” layout can be modified by simulating the expected mask to design bias so that the reference image illustrates the undecorated pattern that will be printed on a reticle under nominal mask making conditions.
  • the reference image can also be a simulated image illustrating how the reticle design data will be printed on a wafer under best focus and exposure conditions.
  • the usefulness of this simulated reference image could be improved by calibrating the simulation model using wafer print images and/or data of standard test cells that are also included in the design under test.
  • the reference simulated image may be modified by using wafer print images and/or data for sections of the new design that had been included in previous or test reticles, which is thereby available at the wafer level.
  • the reference image simulation can also be calibrated using aerial image data collected from prior designs or test reticles.
  • the reference aerial image data may be generated by inserting an existing reticle in a scanner and monitoring the aerial image at the wafer plane.
  • the reference aerial image may be generated by inserting one or more existing reticles in an aerial image defect detection and/or review tool with optical conditions set up to emulate the performance of a physical exposure system (scanner). Examples of aerial image defect detection and/or review tools are illustrated in U.S. patent application Ser. No. 09/679,617 by Stokowski et al. filed on Oct. 6, 2003, which is incorporated by reference as if fully set forth herein.
  • the second simulated images may also be compared to different types of reference images.
  • a reference simulated image illustrating how the reticle will be printed on the wafer at nominal values of the one or more parameters of a wafer printing process is particularly useful for detecting defects in the reticle design data that will vary depending on the one or more parameters.
  • such a reference image will be particularly useful for detecting defects in the reticle design data that may reduce the process window that can be used with the reticle.
  • certain defects may not appear at the nominal parameters, but may appear in the second simulated images, particularly as the parameters move farther away from nominal.
  • vPWQ may include determining which of the different values at which at least one of the defects appears in the second image.
  • the process window that can be used for the reticle in a wafer printing process may be less than the predetermined process window.
  • the method may include determining a process window for the wafer printing process based on results of the detecting step.
  • the examination of the expected performance of the wafer printing process within the process window of the reticle is a particular strength of PWQ and vPWQ methods.
  • this comparison may not detect defects in the reticle design data such as features that will not print on a wafer at all.
  • defects in the reticle design data such as features that will not print on a wafer at all.
  • a reference image that represents the ideal image of the reticle design data will include both printable and non-printable features.
  • comparison between such an “ideal” reference image and the second simulated images may be used to detect missing feature type defects.
  • multiple comparisons can be made between the second simulated images and different reference images to detect different kinds of defects thereby increasing the defect detection capability of the vPWQ methods.
  • defect detection may be performed by comparing test and reference images. Any region in the test image that varies from the corresponding region in the reference image by more than a threshold value is flagged as a defect. All of the algorithmic tools used in physical reticle inspection systems can be used in this defect detection step including varying the defect threshold based on local geometry, applying multiple algorithmic detectors based on different smoothing filters applied to varying numbers of nearest neighbor pixels, and detecting single edge misplacements (CD errors) and/or dual edge misplacements (registration errors).
  • CD errors single edge misplacements
  • registration errors registration errors
  • the defect detection algorithms may have the same tuning capability as those used for physical reticle inspection tools.
  • the algorithms that are used for the methods described herein may be configured such that the sensitivity of the algorithms can be optimized to detect defects which will impact device performance and yield, but not be overwhelmed by small differences between ideal and actual imaging performance.
  • the sensitivity of the algorithms may be altered from region to region in the reticle design data based on one or more characteristics of the reticle design data (e.g., dimensions, criticality, etc.).
  • the sensitivity of the algorithms may be altered dynamically or in real time based on the results of the detecting step.
  • the sensitivity of the algorithm(s) may be altered in any manner known in the art (e.g., by altering the threshold used in the algorithm).
  • the methods described herein may be configured to perform defect detection with selective sensitivity, which is referred to herein as “SmartInspection.”
  • defects may be identified by vPWQ by comparing simulated wafer images at different process conditions to a nominal or reference image. The sensitivity of this comparison directly affects the defect detection results of the vPWQ process. If the sensitivity is too high, every reticle design will fail since vPWQ will detect excessive numbers of defects. On the other hand, if the sensitivity is too low, potentially critical defects could escape detection. Examples of methods that can be used to implement SmartInspection are described in U.S.
  • the method may include determining a process window for the reticle design data.
  • the process window may include those values of the one or more parameters for which the second simulated images illustrate an acceptable level of defectivity.
  • the methods described herein may include determining the sensitivity of different regions of the reticle design data to changes in the values of the one or more parameters.
  • the method may include determining a region in the reticle design data in which the defects appear at the different values of the one or more parameters of the wafer printing process that are closer to nominal values for the one or more parameters of the wafer printing process than the different values at which the defects appear in other regions in the reticle design data.
  • different regions may be identified in the reticle design data based on how quickly the regions exhibit defects as the parameter(s) move away from the nominal values.
  • Such information may be used in a number of embodiments described herein. For example, this information may be used to tailor reticle inspection, wafer inspection, process control methods, etc. for a particular reticle design.
  • the methods described herein may also include generating an inspection process for the reticle based on results of the detecting step.
  • another extension of the SmartInspection concept is to use the output of the vPWQ inspection to vary the sensitivity of the inspection of the fabricated reticle.
  • the sensitivity of the reticle inspection can be higher in regions of the reticle design data where vPWQ results indicate the tightest process window, while the sensitivity can be lower in regions where vPWQ results indicate a more comfortable process window.
  • Such reticle inspection methods are also described in the patent application by Hess et al., which is incorporated by reference above.
  • the methods described herein may include generating an inspection process for the wafer based on results of the detecting step.
  • the methods described herein may use the SmartInspection concept by using the output of the vPWQ methods to vary the sensitivity of the inspection of the printed wafer as a function of position on the wafer.
  • the sensitivity of the wafer inspection can be higher in regions where vPWQ results indicate the tightest process window, while the sensitivity can be lower in regions where vPWQ results identify a more comfortable process window.
  • the method may include fabricating the reticle subsequent to the detecting step (e.g., if the results of the detecting step indicate no significant or catastrophic defects in the reticle design data).
  • This embodiment of the method may also include inspecting the reticle and generating an inspection process for the wafer based on results of the detecting step and the inspection of the reticle.
  • the methods described herein may use the SmartInspection concept with the combined results of vPWQ and inspection of the fabricated reticle to determine the sensitivity of the inspection of the printed wafer as a function of position on the wafer.
  • the wafer inspection sensitivity can be relaxed. Sensitivity could be increased in regions where either vPWQ detected a restricted process window or reticle inspection found a less than optimal pattern where the departure from nominal is not large enough to be considered a defect, but may limit the process window (“subspec region”). The highest sensitivity could be reserved for locations where both vPWQ and reticle inspection identified potential constraints on the process window.
  • the method may include generating an inspection process for the wafer based on results of the detecting step of the vPWQ method, results of the reticle inspection, critical feature data generated by a designer of the reticle design data, or some combination thereof.
  • the method may include identifying first regions in the reticle design data that have a greater probability of being printed defectively than second or other regions in the reticle design data.
  • One such embodiment may also include generating a process control method for wafers that will be printed with the reticle based on results of the identifying step.
  • the method may include determining the best wafer metrology sample plans for a particular reticle design. For example, extra care could be taken to measure locations on a wafer corresponding to the regions where vPWQ and/or reticle inspection identified limited process windows, and critical dimension (CD) measurements could be performed at those locations to insure that the device meets specifications. Extra care may also be taken in any other manner such as increasing the number and/or the sensitivity of the measurements performed in these locations.
  • CD critical dimension
  • identification of regions in the reticle design data with the most limited process windows as determined by vPWQ, reticle inspection, and/or physical wafer PWQ can also be used to improve the CD control method or other metrology or process control method used in the fab. For example, instead of tracking and adjusting dose and focus to obtain the most stable CDs on test structures or other features, identification of the regions having the most limited process windows allows the control loops (statistical process control (SPC) and/or automatic process control (APC)) to be optimized to keep these locations from drifting outside of the allowed specification limits.
  • SPC statistical process control
  • API automatic process control
  • the optimization of the control loops may include either a) shifting the metrology sample plan to measure specific features with the most limited process windows or b) measuring other features, determining the focus and exposure conditions, which led to the printing of these test structure dimensions, and applying simulation to predict the expected results of the process window limited features at the determined values of focus and exposure.
  • This optimization technique is an extension of the CD control technique described in U.S. patent application Ser. No. 10/778,752 by Preil et al. filed on Feb. 13, 2004, which is incorporated by reference as if fully set forth herein.
  • the methods described herein may include altering the reticle design data based on the identifying step described above.
  • vPWQ output can be fed back up to the designer or the design process.
  • the results can be used to determine the circuit timing, parasitics and electrical performance of a circuit that will be formed on a wafer that is printed with the reticle design data.
  • the results can also be used to determine if the defects detected by vPWQ have characteristics such as size that will impact circuit performance.
  • this physical (or electrical) verification is performed assuming that the ideal design as drawn by the layout tools is what will appear on the wafer.
  • the vPWQ methods described herein can be used to identify the departures to be expected from this nominal case and to locate the regions of the circuit which will have the largest departure from nominal.
  • vPWQ methods described herein can reduce the number of points in the reticle design data that are varied and tested to a manageable number. The designer or the design process or tool can use this data and knowledge of which portions of the circuit are most critical to device performance to run a limited number of additional verifications prior to committing the design to a mask set.
  • vPWQ is the ability to flexibly change the simulation conditions during the virtual inspection.
  • PWQ relies on physical wafers printed under preset conditions of focus and exposure. Since the number of such conditions for which images can be printed on a wafer is relatively limited, the data is highly granular (e.g., increments of focus of 0.1 ⁇ m) thereby possibly producing large changes in the number of defects from one setting to the next.
  • vPWQ can change settings as it inspects (e.g., in real time as vPWQ is being performed).
  • vPWQ can, therefore, create far more precise maps of the allowed process window for each critical feature.
  • the vPWQ methods described herein can be used to detect defects in reticle design data for binary masks (chrome on glass (COG) masks) or masks with any of a number of RETs such as OPC, phase shifting masks (alternate aperture or embedded PSM (EPSM)), sub-resolution assist features (SRAFs) such as scattering bars, serifs, and hammerheads, chromeless phase shift masks (CPL), and gray scaled images.
  • RETs chrome on glass (COG) masks
  • PSM embedded PSM
  • SRAFs sub-resolution assist features
  • CPL chromeless phase shift masks
  • gray scaled images gray scaled images.
  • the vPWQ methods described herein can also be applied to complementary masks where the desired pattern is decomposed into multiple patterns, which are each imaged under different illumination conditions.
  • the vPWQ methods include generating simulated images, each illustrating how the different, multiple patterns will be printed separately on a wafer by the different reticles.
  • the vPWQ method may then use each of the simulated images in combination to generated additional simulated images (the test images) that illustrate how the final reticle design pattern will be printed on a wafer using a multiple exposure wafer printing process on the scanner.
  • the vPWQ methods described herein are equally applicable to optical lithography processes using visible, ultraviolet, and deep ultraviolet illumination (e.g., 248 nm, 193 nm, and 157 nm light sources), electron beam lithography, or extreme ultraviolet lithography using reflective masks and an exposure wavelength near 13 nm.
  • the vPWQ methods may also be applied to maskless lithography systems where reticle inspection is not possible. In this case, the vPWQ inspection has the added advantage of minimizing the need for difficult die-to-database inspection at the wafer level.
  • the methods described herein have, therefore, a number of advantages over other reticle design data inspection methods.
  • the methods described herein can be used for detecting and correcting process window marginalities in the reticle design data prior to manufacturing the masks and printing wafers.
  • the reticle design data inspection methods described herein are substantially less expensive than currently available methods.
  • the mask making process and the wafer pattern transfer process are simulated. Therefore, the methods described herein account for how the reticle design data will be altered by the reticle manufacturing process and the wafer printing process. For the designer, vPWQ offers the ability to test multiple variations of a design without buying multiple variations of expensive masks.
  • vPWQ also provides the ability to generate reticle inspection, wafer inspection, and CD metrology and process control methods having selective sensitivity (sample plans, process window centering, and maximizing the available process window for production). Furthermore, the vPWQ method results may be used for optimization of the circuit design (e.g., optimization of one or more characteristics of the circuit design such as timing, parasitics, other electrical parameters, or some combination thereof).
  • One preferred embodiment of the wafer level simulation includes simulation of the aerial image (reticle manufacturing process), resist process (wafer printing process), and etch process to determine the final physical pattern that would be formed on the wafer.
  • Alternative methods include aerial image simulation only; aerial image simulation with an adjustable threshold model calibrated to emulate the real photoresist performance as a function of CD, pitch and local environment; and aerial image simulation with an adjustable threshold calibrated to emulate the pattern transfer after resist processing and etch as a function of CD, pitch, and local environment.
  • the simulation at the wafer level can include, therefore, not just the lithography (wafer printing) process, but the full pattern transfer process, including any, some combination, or all of the following: etch, polishing, film deposition or growth, and any other steps that affect the final structure and topography of the device.
  • Lithography parameters for which simulated images may be generated at different values can also include degree of partial coherence, illumination mode, numerical aperture, lens aberrations (e.g., Zernike coefficients), resist parameters (e.g., thickness, development rate model, lumped parameter model, Dill coefficients, and thermal diffusion coefficients) and/or film parameters (e.g., substrate reflectivity, thickness, anti-reflection coating properties, etc.).
  • the simulation at the wafer level can also include electrical simulation of device performance in addition to physical simulation of the patterned structures.
  • the electrical performance of the device can be used as the pass/fail criteria for the design and/or to select critical regions for further inspection, metrology, and/or process control.
  • the method may include altering the reticle design data based on results of the vPWQ method.
  • the reticle design data may be altered by altering RET feature data of the reticle design data.
  • the simulation of the reticle design data printed on a wafer can be performed using parameters of multiple, different reticle manufacturing processes, and the simulation of the wafer printing can be performed using parameters for multiple, different exposure tools, etch tools, or other processes. Examination of the reticle design data for different processes and tools may be valuable since each tool or process will have different aberrations that impact the pattern transfer in different ways.
  • the vPWQ simulations can then be used to determine the optimum combination of design, optical enhancements (e.g., OPC, RET, etc.), mask making process, and wafer manufacturing process.
  • the method may include generating additional simulated images illustrating how the reticle design data will be printed on the reticle at different values of one or more parameters of the reticle manufacturing process. Such embodiments may also include selecting the different values of the one or more parameters of the reticle manufacturing process that produce a minimum number of design pattern defects on the reticle.
  • vPWQ concept and data linkages to reticle and wafer inspection, CD control, and design optimization can also be performed using printed wafers based on die-to-database inspection. Although performing such printed wafer inspection involves making a reticle and printing wafers, such an embodiment of the vPWQ methods would still add value to the integrated circuit manufacturing process.
  • a system configured to perform the vPWQ methods described herein may appear to be similar to a state of the art KLA-Tencor reticle inspection system, but optionally without the reticle handling and optical systems.
  • a system may include a user interface that can be used to define the inspection parameters and a computer system to handle the incoming reticle design data and render the simulated mask level from the data (i.e., to generate the first simulated image as described above).
  • the system may also include a massively parallel computer system to simulate the mask to wafer pattern transfer under a range of focus and/or exposure conditions (i.e., to generate the second simulated images as described above).
  • one or more of the computer systems described above may be configured to compare reference and test images for the full chip for each focus-exposure (F-E) combination and to identify locations that are defects under the conditions set in the inspection recipe. These defect locations may be compared between F-E settings, and defects that occur at the same location under multiple F-E conditions can be concatenated to a single defect. Each defect may be tagged with the range of focus and exposure conditions under which it prints as a defect in the simulated images. The defects may then be automatically prioritized for review and analysis. The highest priority would be assigned to those defects which occur closest to the nominal F-E conditions and/or those with the most limited range of non-defectiveness. A defect map may be presented to the user for review and classification, and an inspection report may be generated and stored for later review and/or additional analysis, either on-line (linked to the simulation engine) or off-line.
  • F-E focus-exposure
  • the methods described herein may be performed using hardware that is similar to that used in currently available reticle inspection systems such as the TeraScan system, which is commercially available from KLA-Tencor, San Jose, Calif. In this manner, the methods described herein can be implemented without substantial development costs and may be made commercially available on currently available reticle inspection systems.
  • the methods described herein may be performed on hardware that is linked to reticle inspection, wafer inspection, metrology and analysis tools such that data may be easily shared between systems.
  • FIG. 1 is a flow chart illustrating one example of a method for detecting defects in reticle design data.
  • This method includes designing the circuit, as shown in step 10 of FIG. 1 .
  • the circuit may be designed using any method or system known in the art.
  • the method also includes verifying the design, as shown in step 12 . Verifying the design may include verifying the physical characteristics of the design.
  • the physical verification can be performed using any method or system known in the art.
  • the method includes determining if the circuit design passes or fails the physical verification. If the design fails the physical verification, the method includes re-designing the circuit and repeating the physical verification, which may include repeating steps 10 , 12 , and 14 .
  • the method includes generating a layout for the circuit, as shown in step 16 .
  • the layout of the circuit may be generated using any method or system known in the art.
  • the method also includes verifying the layout of the circuit, as shown in step 18 .
  • the verification of the layout may include logical verification and/or design rule checking (DRC).
  • DRC design rule checking
  • the layout verification can be performed using any method or system known in the art.
  • the method includes determining if the layout passes or fails verification. If the layout fails verification, the method includes changing the layout of the circuit and re-verifying the revised layout of the circuit, which may include repeating steps 16 , 18 , and 20 .
  • the method includes adding RETs to the circuit layout, as shown in step 22 .
  • This step is commonly referred to as “decorating” the circuit layout. Adding the RETs to the circuit layout may be performed in any manner known in the art.
  • the RETs may include any RET known in the art.
  • the method includes verifying the decoration. Verifying the decoration may include optical rule checking (ORC).
  • the method includes determining if the decorated layout passes or fails verification. If the decorated design fails verification, the method includes changing the RETs in the decorated design and re-verifying the decorated design, which may include repeating steps 22 , 24 , and 26 .
  • the method includes making the mask, as shown in step 28 .
  • the method includes verifying the mask using mask inspection.
  • the mask may be inspected using any system and method known in the art.
  • the method includes determining if the mask passes or fails verification. If the mask fails inspection, the method includes determining if the mask is repairable, as shown in step 34 . If the mask is determined to be repairable, the method includes repairing the mask, as shown in step 36 , and then re-verifying the repaired mask, which may include repeating steps 30 and 32 . If the mask is determined to not be repairable, the method includes scrapping the mask and optionally re-spinning the process, as shown in step 38 .
  • the method includes printing wafers, as shown in step 40 .
  • the method includes verifying the printed wafers. In one example, verifying the printed wafers may include PWQ wafer inspection, which may be performed as described above.
  • the method includes determining if the printed wafers pass or fail verification. If the wafers fail inspection, the method includes determining if the defects in the reticle that caused the wafers to fail inspection can be repaired, as shown in step 46 . If the defects of the reticle are repairable, the method includes repairing the mask, as shown in step 36 , and the method may be continued after step 36 as shown in FIG. 1 .
  • the method includes scrapping the mask and optionally re-spinning the process, as shown in step 38 . If the wafers are determined to pass verification, the method includes releasing the reticle to production, as shown in step 48 .
  • FIG. 2 is a flow chart illustrating one embodiment of a computer-implemented method for detecting defects in reticle design data. This method may, or may not, include many of the steps described and shown in FIG. 1 . Those steps that may be included in both FIGS. 1 and 2 have been indicated using the same reference numerals and will not be described further herein. However, the method shown in FIG. 2 includes one important step that is not included in FIG. 1 , which imparts significant advantages to the method shown in FIG. 2 .
  • the method shown in FIG. 2 includes verifying the decoration of the reticle design, as shown in step 50 , which unlike step 24 of the method shown in FIG. 1 includes vPWQ defect detection.
  • vPWQ in step 50 may be performed as described herein.
  • the vPWQ method performed in step 50 may be performed to detect defects in the reticle design data across a full predetermined process window for the wafer printing process that is to be used with the reticle.
  • any marginalities that are present in the reticle design can be advantageously detected before the mask is fabricated.
  • the method shown in FIG. 2 may include any other steps described herein.
  • the method shown in FIG. 2 may include generating an inspection process for the reticle and/or generating an inspection process for the wafers. These inspection processes may be generated as described above and may be used in steps 30 and 42 to verify the reticle and wafers, respectively.
  • FIG. 3 is a flow chart illustrating examples of data flow between vPWQ and one or more of wafer inspection, reticle inspection, wafer metrology, and APC control in the fab.
  • history 52 which includes prior designs and models may be provided to synthesis step 54 in which the design may be created in an appropriate format such as RTL code or netlist format.
  • Characteristics 56 of the integrated circuit such as timing, power, and signal integrity may be provided to the synthesis step to verify the design.
  • Critical paths 58 in the design determined by verification can be provided to data “bus” 60 .
  • Creation of the reticle design data may be performed in step 62 .
  • Creation of the reticle design data may include, for example, converting the netlist to GDS format. History 64 including, for example, prior designs and/or models may be provided to the creation step.
  • logical verification step (LVS) 66 may be used to verify the reticle design data.
  • Critical features 68 in the reticle design determined by LVS may be provided to data “bus” 60 .
  • OPC decoration of the reticle design may be performed in step 70 using calibration data 72 such as calibrated lithography models, critical dimensions, and/or other data. Decoration may include adding any RETs to the reticle design data.
  • Physical verification step 74 may include verifying the decorated reticle design using a technique such as ORC.
  • Critical OPC 76 or other critical RET determined by the physical verification step may be provided to data “bus” 60 .
  • physical verification step 74 may be performed using edge placement error (EPE) tolerance data 78 provided by data “bus” 60
  • vPWQ defect detection may be performed in step 80 .
  • vPWQ may be performed in step 80 according to any of the embodiments described herein.
  • One or more parameters for “Smart vPWQ” 82 may be provided to the vPWQ method by data “bus” 60 .
  • vPWQ may generate critical OPC information 84 , which is provided to data “bus” 60 .
  • mask making step 86 may be performed using calibration data 88 such as PSC, BKMs, aerial imaging measurement system (AIMS) calibration data, etc.
  • mask verification step 90 may be performed on the mask fabricated in step 86 .
  • Mask verification step 90 may use SmartInspection data 92 , which may be provided by data “bus” 60 . This SmartInspection data may be generated by the vPWQ method performed in step 80 . In addition, critical mask data 94 generated by the mask verification step may be provided to data “bus” 60 .
  • a second vPWQ method may be performed, as shown in step 96 .
  • vPWQ2 shown in FIG. 3 is an alternate embodiment of vPWQ that can be performed after mask inspection on real mask images (i.e., images of the fabricated reticle).
  • vPWQ2 may be performed as described herein except with real mask images instead of simulated images of the reticle.
  • vPWQ2 may be performed using one or more parameters for “Smart vPWQ” 98 from data “bus” 60 .
  • Smart vPWQ” parameters 98 may or may not be the same as “Smart vPWQ” parameters 82 .
  • Critical OPC 100 or other critical RET generated by vPWQ2 may be provided to data “bus” 60 .
  • Wafer fabrication step 102 may be performed after vPWQ2.
  • Verification of the wafer fabrication step 104 may be performed using calibrated metrology tools 106 , which may include any metrology tools known in the art.
  • Verification of the wafer fabrication step 102 may be performed using “Smart Sampling” parameters 108 for the wafer inspection provided by data “bus” 60 , which may be determined from, for example, critical paths 58 , critical features 68 , mask location data, critical OPC 76 and 100 , etc.
  • verification of the wafer fabrication step may be performed using automatic process control (APC) 110 information provided by data “bus” 60 .
  • APC information may be generated from the results of vPWQ and/or vPWQ2 as described herein.
  • FIG. 4 illustrates how multiple types of criticality (design, RET, mask making) may overlap in reticle design data such that patterns may suffer most at margins of the process window, which may limit the available process margin.
  • design critical areas 112 are shown mapped in space 114 , which represents the area of the reticle design data.
  • Design critical areas 112 may be created or “tagged,” for example, by a designer based on electronic design automation (EDA) electrical simulation.
  • EDA electronic design automation
  • mask making critical areas 116 are shown separately in space 114 .
  • the mask making critical areas include regions with limited process window for the reticle manufacturing process. These critical areas may be created or “tagged” by the reticle inspection system used to inspect a fabricated reticle. More preferably, these critical areas may be determined by the vPWQ methods described herein.
  • Lithographic critical areas 118 are also shown separately mapped in space 114 .
  • the lithographic critical areas may include regions with limited process window for the wafer printing process.
  • the lithographic critical areas may be identified and “tagged” by vPWQ simulation of the patterning process, which may be performed as described herein. It is to be understood that critical areas 112 , 116 , and 118 shown in FIG. 4 are merely presented as examples of the different critical areas. Obviously, the critical areas will vary depending on the reticle design data, the reticle manufacturing process, the wafer printing process, or some combination thereof.
  • Each of the critical areas described above may be combined in a single map as shown by space 120 to illustrate which areas in the reticle design data have multiple types of criticality. Areas that are critical for multiple reasons may be selected for intensive metrology and/or wafer level inspection to ensure yield. In particular, an inspection process for a reticle or wafer may be generated as described above, and the sensitivity of the inspection processes may vary from region to region in the reticle design data depending on the one or more reasons that each region qualifies as critical. For example, areas that exhibit two different types of criticality may be inspected with greater sensitivity than areas that exhibit only one type of criticality. In this manner, regions in the reticle design data with multiple types of criticality can be prioritized for wafer inspection, metrology, and CD control.
  • Additional methods described further herein may be used in the vPWQ methods described above and possibly in other reticle design defect detection methods.
  • the methods described further herein provide improved methods for performing defect detection and other calculations described herein to reduce the number of detected defects which are not critical or important to the user to prevent the detection of these “nuisance” defects from obscuring the important defects.
  • the methods described further herein can be used to prioritize the order in which defects are reviewed and/or corrections are made to the design to improve the process window.
  • vPWQ was conceived to work just like PWQ, which is a wafer based inspection method disclosed in the patent application by Peterson et al., which is incorporated by reference above.
  • PWQ a wafer based inspection method disclosed in the patent application by Peterson et al., which is incorporated by reference above.
  • full fields are exposed at different focus and/or exposure conditions, and these test fields are compared to reference fields, which are exposed at best focus and exposure conditions.
  • the farther removed the test fields are from the reference fields the more defects will be detected as printed features begin to fail to image properly.
  • the focus and exposure conditions at which printed features image incorrectly thus define the limits of the usable process window for the device.
  • vPWQ does essentially the same test to reference comparison, but on simulated images without making a mask and printing wafers. Therefore, the vPWQ method allows weak points in the reticle design to be identified and corrected before expensive masks are made and wafers are printed with the masks.
  • test-to-reference comparison In performing a highly sensitive test-to-reference comparison, even small changes in the dimensions of the features may be interpreted as defects. As the focus and/or exposure conditions move away from the nominal best settings, the number of defects detected may become excessive. This problem can be mitigated by reducing the sensitivity of the inspection (test-to-reference comparison), but in that case some important defects may be missed.
  • CD critical dimension
  • the important information may not be which features have changed by a few nanometers (nm) in CD. Instead, the important information is which features will change by an unacceptably large number of nm if there is a small change in focus and/or exposure and/or other process conditions.
  • two features may have exactly the same absolute CD error, but one feature may be far more important than the other if it is susceptible to large additional changes with additional process variation. Therefore, wafer based PWQ and vPWQ described above may be modified as described further herein to discriminate between potential defects based on rate of change.
  • one embodiment of a computer-implemented method for detecting defects in reticle design data includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. This generating step may be performed as in the vPWQ methods described above. This embodiment also includes generating second simulated images using the first simulated image, which may also be performed as in the vPWQ methods described above. In particular, the second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process.
  • this embodiment includes determining a rate of change in a characteristic of the second simulated images as a function of the different values.
  • the method includes detecting defects in the reticle design data based on the rate of change.
  • the detecting step may include using the rate of change in combination with the second simulated images to detect the defects in the reticle design data.
  • defect detection may be performed using rate of change in combination with the vPWQ results described above.
  • Another embodiment of a method for detecting defects in reticle design data printed on a reticle is a modified version of PWQ that includes printing images of the reticle on a wafer at different values of one or more parameters of a wafer printing process. The method also includes determining a rate of change in a characteristic of the images as a function of the different values. In addition, the method includes detecting defects in the reticle design data based on the rate of change. This embodiment of the method may include any other step(s) described herein.
  • the methods described herein may include using not just the difference between the test CD and the reference CD to detect defects in the reticle design data as in vPWQ and PWQ, but also the rate of change of the test CD.
  • defect detection can be accomplished in several ways, and the results can be used in several different ways, which are outlined below.
  • the different methods of computing and using rate of change information can be used separately or in combination with each other as outlined below.
  • the rate of change information may be the rate of change in any measurable parameter of the test images (e.g., feature profile).
  • One method of increasing vPWQ and PWQ sensitivity to rate of CD change is to change the reference used in defect detection. For example, instead of using the reference image simulated for nominal best focus and exposure conditions (E 0 , F 0 ) as the reference for all comparisons with test images, each test image (E n , F n ) can be compared to its nearest neighbors (e.g., other test and/or reference images that are closer to the nominal values at (E 0 , F 0 ) than the test image).
  • the detecting step of vPWQ may be performed by comparing one of the second or test simulated images to additional simulated images that illustrate how the reticle will be printed on the wafer at the different values that are closer to nominal values for the one or more parameters of the wafer printing process than the different values corresponding to the one second simulated image.
  • FIG. 5 shows one example of a layout that is currently used for defect detection in which each test image (E n , F n ) is compared to the same nominal reference image (E 0 , F 0 ).
  • test images 120 are each compared to reference image 122 .
  • Such comparisons may be used for PWQ with images actually printed on a wafer.
  • such comparisons may be used for vPWQ with simulated test and reference images. It is to be understood that although four test images are shown in FIG. 5 , the defect detection method may use any number of test images.
  • FIG. 6 shows a new arrangement that can be used for reticle design defect detection in which each test image (E n , F n ) 124 is compared to its 3 nearest neighbors (NN) 126 , 128 , and 130 , all of which are simulated or printed for at least one parameter that is closer to the nominal values (E 0 , F 0 ) than the test image.
  • Such comparison of test and reference images is referred to herein as “cascading” test to reference defect detection.
  • Such comparisons can be easily performed for vPWQ using images simulated for the different values of exposure dose and focus.
  • This method can also be applied to wafer based PWQ by printing the wafer in an appropriate manner (e.g., by adding columns with offset focus and exposure conditions to be used as reference sites instead of the current configuration where all reference columns are exposed at nominal conditions).
  • the 3 nearest neighbors are each used for comparison with the test image.
  • the number of neighbors used for comparison with the test image could vary from 1 to 8.
  • the user may select the nearest neighbor in terms of focus or exposure with the other parameter fixed or may select the diagonal nearest neighbor for which both focus and exposure changed.
  • the test images that are farther removed from the nominal best focus and exposure conditions may be compared to the test image being examined thereby sampling the rate of change moving away from the preferred operating conditions.
  • one or more of the comparisons are redundant (since a comparison would be repeated for the inspection of the outward neighbor), different weighting factors could be applied in the defect detection and/or prioritization algorithms.
  • 4 test images are shown in FIG. 6 , it is to be understood that the methods described herein may use any number of test images for defect detection.
  • FIG. 7 illustrates one layout for dies printed on a wafer that can be used for PWQ defect detection.
  • each test location is compared to a nominal reference image corresponding to best focus and exposure values for the wafer printing process, which are indicated in FIG. 7 by a 0.
  • Each set of test conditions is checked 4 times in this arrangement.
  • a test condition can vary in focus, exposure, or both.
  • FIG. 8 illustrates one layout of die images that can be printed on a wafer for different values of focus and exposure for PWQ defect detection or that can be simulated for the different values of focus and exposure for vPWQ defect detection.
  • each test image is compared to another test image that is printed or simulated for value(s) of the wafer printing process parameter(s) that are closer to the nominal values than those of the test image being examined.
  • Each test image may still be compared 4 times, as in FIG. 7 , but far more values of the parameters can be tested with more sensitivity to the rate of change between settings.
  • Some replication of the nominal conditions (0) may be printed on the wafer for calibration and background noise checks.
  • the defect detection algorithms will detect areas that are the most different from the neighboring exposure conditions (focus and/or exposure) thereby increasing the sensitivity of the inspection to the local rate of change in the CDs. Even if two test images have the exact same change in CD relative to the nominal conditions, if the CD of one of the test images changed slowly over multiple values of the parameters while the CD of another test image changed quickly between the most recent reference focus and the current test focus, the sensitivity of the inspection can be tuned to detect the CD of the test image that changed the quickest, and which is therefore likely to be most important to the user. For cases where the test image is compared to multiple reference images, weighting factors can be applied to the multiple comparisons to arrive at a final defect score. The final defect score can be used to determine if a test image is defective or not and to prioritize the effective size of the defect.
  • vPWQ can be used to more accurately determine the rate of change of the critical dimensions as a function of focus and/or exposure (the “exposure conditions”).
  • the determination of the rate of change can be performed in several ways. The most accurate method would be to compute the true derivative of the CD, dCD/dE and dCD/dF, for each feature at each combination of exposure conditions.
  • Another method is to compute the slope of the aerial image, dE/dx, or the normalized image log slope (NILS) at the threshold energy for each feature and combination of exposure conditions (E th ). The smaller the slope or NILS, the more rapidly the CD will change with a change in exposure or process conditions. Therefore, this slope can be taken as an indication of the rate of change of the CD.
  • the slope or derivative data can be used independently as the sole criteria for determining if a feature is defective or not, or the slope and derivative can be used together with the test to reference comparison to prioritize the importance of the defects detected by the comparison algorithms.
  • the cascading test to reference comparison can be used independently, or in combination with, the test to nominal reference comparisons described above. These possible combinations allow the defects to be detected and/or prioritized based on the size of the defect alone, the rate of change of the defect alone, or a combination of size and rate of change.
  • Weighting factors can be applied to the multiple terms used in this comparison to filter and/or prioritize defects.
  • the weighting factors can be linear or non-linear, and the weighting algorithm can include cross-terms or higher power coefficients of the inputs. For example, the weighting for a 2 nm CD error could vary depending on whether the rate of change of the CD is high or low, while on the other hand, the weighting for a 10 nm CD error can be set to be high regardless of the rate of change.
  • Option 2 Compute derivatives of the CD with respect to dose and/or focus
  • Option 3 Compute the spatial derivative of the aerial image and/or NILS
  • Option 1 alone is essentially the same as the comparison that may be performed in the defect detection step of vPWQ and PWQ.
  • Options 2, 3, or 4 could each be used independently in place of Option 1. The interesting combinations are then:
  • the different options can have a greater or lesser influence on the defect filtering or prioritization depending on the weighting factors that are applied.
  • CD rate of change information in addition to absolute CD error data as described above allows improved detection of regions of the design and/or reticle that will be most prone to process window limiting failures and pattern dependent yield loss.
  • the rate of change data allows for higher sensitivity to be applied to the inspection (either physical or virtual), without flooding the detection system with an impractically large number of defect detections, thus enhancing the usable sensitivity of the system.
  • Derivatives generally cannot be readily computed from physical wafers used for wafer based PWQ, but the normal test to reference and cascading test to reference comparisons can also be combined for enhanced PWQ.
  • a cascading approach would have a layout with an ABCDEFGH pattern, where each exposure would have it's settings offset slightly from the previous field, making this layout more sensitive to small changes in pattern fidelity vs. process conditions.
  • This layout also provides more possible test conditions on a wafer. For arbitration purposes, the defect would be assigned to the field with settings farthest from nominal. Any random errors caused by this rule would simply be removed when stacking the multiple replications of each set of test conditions. The same concept can be applied to the BBA type arrangement.
  • FIG. 9 is a flow chart of another embodiment of a computer-implemented method for detecting defects in reticle design data.
  • this embodiment includes system design and verification, as shown in step 132 .
  • System design and verification can be performed using design data 134 .
  • the system design that is verified in step 132 may be provided to design data 134 .
  • System design and verification may be performed using any system and method known in the art.
  • the method also includes logic design and verification, as shown in step 136 .
  • Logic design and verification 136 may be performed using design data 134 that was generated by system design and verification step 132 .
  • the logic design that is verified in step 136 may be provided to design data 134 .
  • Logic design and verification may be performed using any method and system known in the art.
  • the method includes physical design and verification, as shown in step 138 .
  • Physical design and verification may be performed in step 138 using design data 134 that was verified in step 136 .
  • Physical design and verification may be performed using any method and system known in the art such as DRC and LVS.
  • the physical design that is verified in step 138 may be provided to design data 134 .
  • the method includes mask data preparation.
  • Mask data preparation may be performed using design data 134 and lithography model 142 .
  • Mask data preparation may be performed using any method or system known in the art.
  • the mask data may be provided to geometry data 144 .
  • the method includes vPWQ, as shown in step 146 .
  • vPWQ is performed using the mask data generated in step 140 (the results of which may be obtained from geometry data 144 ) and lithography model 142 .
  • vPWQ may also be performed according to any of the embodiments described herein.
  • vPWQ may use the mask database as input and perform a full-die F-E matrix calculation. This calculation may be performed relatively quickly using the system described above. For instance, for 5 different focus values and 7 different exposure values, the calculation may be performed in about 10 hours for a 90 nm generation reticle design.
  • the version of the vPWQ method that was used to perform this calculation detected a relatively large portion of CD defects (about 30% of all CD defects) and detected all catastrophic events such as shorts, opens, and printing SRAF. Obviously, these results were generated by only one version of the vPWQ method, and the defect detection results may be tailored and/or optimized as described above.
  • Output from the vPWQ method may be provided to the mask write, which is performed in step 148 .
  • the mask write process may also use geometry data 144 to perform all steps involved in printing the reticle design data on the reticle.
  • the method includes performing mask metrology on the fabricated mask, as shown in step 150 .
  • Mask metrology may be performed using any system and method known in the art.
  • the method also includes inspection of the fabricated mask, as shown in step 152 .
  • Mask inspection may be performed using geometry data 144 .
  • Mask inspection may be performed using any method and system known in the art.
  • the method includes printing the mask on a wafer, as shown in step 154 .
  • Printing the mask on the wafer may include using any system and method known in the art.
  • the method also includes wafer metrology, as shown in step 156 .
  • Wafer metrology of the printed wafers may include any method or system known in the art.
  • Results of wafer metrology may also be provided to lithography model 142 .
  • the results of the wafer metrology may be used to calibrate and/or update the lithography model.
  • the method includes wafer inspection. Wafer inspection may be performed using any system and method known in the art.
  • the method shown in FIG. 9 may also include any other step(s) described herein.
  • FIG. 10 is a flow chart of a different embodiment of a computer-implemented method for detecting defects in reticle design data.
  • FIG. 10 illustrates one embodiment of a vPWQ method that may be used in any of the methods described herein.
  • reticle design and RET decoration data 160 may be used to generate reticle data 162 .
  • reticle data 162 may include the decorated reticle design data.
  • fab model data 164 may be generated using lithography data 166 such as scanner parameters, resist parameters, and predetermined process window (such as focus and dose range) that can be used to determine which different values of one or more parameters of the wafer printing process for which simulated images will be generated.
  • vPWQ module 168 may include any hardware and/or software that can be used to generate the simulated images as described above using reticle data 162 and fab model data 164 .
  • the vPWQ module may include a simulation engine such as that included in the PROLITH software. The simulation engine may be configured to generate the first and second simulated images as described herein.
  • the vPWQ module may be configured as a system that includes a simulation engine as described above coupled to a processor. The processor may be configured to detect defects in the reticle design data using the second simulated images according to any of the embodiments described herein.
  • the vPWQ method performed by vPWQ module 168 may include any other step(s) described herein.
  • the vPWQ module may be further configured as described herein.
  • vPWQ module 168 generates output 170 that includes an error list.
  • the error list may include all potential defects that were detected by the vPWQ module.
  • One or more additional functions may be performed on output 170 by either the vPWQ module or another software module or other hardware. For example, potential defects in the error list may be examined to determine if the potential defects are actual defects, what kind of defects the potential defects are, etc.
  • the output may be used to determine the process window that can be used with the reticle design data. Determining the process window for a wafer printing process to be used with the reticle may be performed as described above.
  • the output may be formatted for presentation to a user, use by another software module, storage in one or more modules such as a fab database, etc.
  • the method shown in FIG. 10 may include any other step(s) described herein.
  • FIG. 11 is a flow chart of an additional embodiment of a computer-implemented method for detecting defects in reticle design data.
  • mask layer data 172 is modified by RET decoration 174 and data fracture 178 .
  • the modified mask layer data is used to generate mask writer data 178 .
  • Mask writer data 178 is provided to vPWQ module 180 .
  • mask writer data 178 may be provided to input database 182 of vPWQ module 180 .
  • the vPWQ module may also include a model describing the reticle manufacturing process. This model may include mask writer model 184 .
  • the vPWQ module may use mask writer model 184 with mask writer data 178 in input database 182 to generate a first simulated image illustrating how the mask writer data will be printed on a reticle using the reticle manufacturing process.
  • the vPWQ module may also include one or more models describing the wafer printing process. These models may include scanner model 186 and resist model 188 . In addition, different values 190 of one or more parameters of a wafer printing process may be provided to input database 182 . These one or more parameters may include, for example, focus F and exposure E. In addition, the different values of the one or more parameters provided to input database 182 may include nominal values (e.g., F 0 , E 0 ) for the parameter(s). The different values of the one or more parameters provided to input database 182 may also include test values (e.g., F n , E n ). These test values may include values that are within a predetermined process window for the reticle. In some embodiments, these test values may span the predetermined process window.
  • test values e.g., F n , E n
  • the vPWQ module uses scanner model 186 , resist model 188 , the first simulated image, and different values 190 to generate second simulated images 192 .
  • the second simulated images illustrate how the mask writer data printed on the reticle will print on a wafer at the different values of the one or more parameters of the wafer printing process.
  • the second simulated images may also illustrate how the entire chip will be printed on the wafer at the different values of the one or more parameters of the wafer printing process.
  • vPWQ module 180 may also generate reference simulated image 194 using scanner model 186 , resist model 188 , the first simulated image, and the nominal vales for the parameters(s) of the wafer printing process.
  • Reference simulated image 194 is compared to second simulated images 192 to determine differences 196 between the simulated images.
  • the vPWQ module may use tolerance specifications 198 to determine what qualifies as a difference.
  • the differences between the compared simulated images may be used to detect defects in the reticle design data as described further herein.
  • vPWQ module 180 may include etch model 200 .
  • Etch model 200 describes an etch process that will be performed on the wafer after the reticle design data is printed on the wafer by the lithography process.
  • vPWQ module 180 may use etch model 200 in combination with the second simulated images to generate additional simulated images that illustrate how the reticle design data will be printed on the wafer by the etch process.
  • These additional simulated images may be compared to a reference simulated image to detect differences between the simulated images as described above.
  • the differences between the simulated images may be used to detect defects in the reticle design data.
  • Similar image simulation and defect detection may also or alternatively be performed by the vPWQ module for any other processes that will be performed on the wafer and that may affect the pattern printed on the wafer. Such processes may include, for example, deposition and chemical-mechanical polishing.
  • vPWQ module 180 generates output 202 based on differences 196 between simulated images 192 and reference simulated image 194 .
  • Output 202 may include coordinates of the differences between the simulated images, portions of the simulated images (test and/or reference) corresponding to the positions of the differences, a database clip, a process window determined for the reticle design data, and/or the severity (e.g., the magnitude) of the differences detected between the different simulated images.
  • vPWQ module 180 may also be configured to allow one or more user actions 204 to be performed based on output 202 .
  • the user actions may include, for example, rejection of the reticle design data, selection of additional simulation to be performed by the vPWQ module, adjustment of one or more parameters of the vPWQ module, and/or alteration of the rules used by the vPWQ module.
  • the method illustrated in FIG. 11 may include any other step(s) described herein.
  • FIG. 12 is a flow chart illustrating yet another embodiment of a computer-implemented method for detecting defects in reticle design data.
  • this method may include performing vPWQ 206 on mask layer database 205 .
  • vPWQ 208 may be performed on the decorated mask layer data.
  • the decorated mask layer data may be used to generate reticle layout 212 .
  • Reticle layout 212 is used to perform data fracture 214 .
  • vPWQ 216 may be performed on the fractured data.
  • vPWQ 206 , 208 , and 216 may be performed as described herein.
  • the mask may be manufactured as shown in step 218 .
  • the method may include inspecting the fabricated mask, as shown in step 220 . Inspecting the fabricated mask may include vPWQ 222 using an image of the fabricated mask. In other words, vPWQ 222 may be performed as described herein with the exception that instead of using first simulated images to generate the test simulated images, in vPWQ 222 , an image of the actual mask may be used to generate the test simulated images.
  • the mask is received by the production facility, as shown in step 224 .
  • vPWQ 226 may again be performed using an image of the fabricated mask as described above.
  • the method also includes printing wafers using the fabricated mask, as shown in step 228 .
  • vPWQ 230 may be performed using images of the actual printed wafers.
  • vPWQ 230 may be performed as described herein with the exception that the second simulated images may be replaced with images of the printed wafers.
  • the method may include etching the printed wafers, as shown in step 232 .
  • vPWQ 234 may be performed using images of the actual etched wafers.
  • vPWQ 234 may be performed as described herein with the exception that the second simulated images may be replaced with images of the etched wafers.
  • vPWQ may also be performed after other steps of the semiconductor manufacturing process.
  • the vPWQ methods described herein have application at many different points throughout a semiconductor manufacturing process, as shown in FIG. 12 .
  • the method shown in FIG. 12 may include any other step(s) described herein.
  • a system configured to perform one or more of the computer-implemented methods described herein includes a computer system.
  • the computer system may be configured as described above.
  • the system also includes a carrier medium.
  • the carrier medium may be coupled to, or included in, the computer system using any method or device known in the art.
  • Program instructions implementing methods such as those described herein may be transmitted over or stored on the carrier medium.
  • the carrier medium may be a transmission medium such as a wire, cable, or wireless transmission link.
  • the carrier medium may also be a storage medium such as a read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.
  • the computer system may be configured to execute the program instructions to perform a computer-implemented method according to any of the above embodiments.
  • the term “computer system” may be broadly defined as any device having one or more processors, which executes instructions from a memory medium.
  • the program instructions may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others.
  • the program instructions may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired.
  • MFC Microsoft Foundation Classes
  • the system may be further configured as described herein.
  • the methods and systems described above can be used to perform a process window inspection to determine regions of reticle design data (e.g., a semiconductor chip design) that are most prone to fail at different values of one or more parameters of a wafer printing process (e.g., different values of stepper focus and exposure) around the nominal values for the one or more parameters.
  • reticle design data e.g., a semiconductor chip design
  • a PWQ experiment would be performed to understand the tradeoffs between the different values of the one or more parameters.
  • PWQ experiments generally involve printing wafers at different focus and exposure values and measuring one or more characteristics such as CD of various patterned features formed on the wafers and inspecting the wafers for defects.
  • the concepts described above may be implemented much more broadly. For instance, there are many more parameters than those described above that can impact the printing of reticle design data on wafers, and all such parameters can be considered by simulation and for control. With an understanding of the tradeoffs in the individual error budgets for the various parameters that affect the printing of the reticle design data on wafers, which can be determined as described further herein, the most efficient process choices can be made, both for the wafer fabrication process and the reticle design data.
  • One embodiment for creating a wafer fabrication process includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters.
  • the simulated images may be generated according to any of the embodiments described herein.
  • the method includes generating the simulated images by generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process and generating the simulated images using the first simulated image. Therefore, the simulated images used in the methods described further herein may be the second simulated images described above and generated according to any of the embodiments described herein.
  • the different values for which the simulated images are generated span a predetermined process window for the different parameters.
  • the predetermined process window may be determined as described above.
  • the different values for which simulated images are generated may be determined arbitrarily or based on knowledge of the process tools and/or materials that will be used for the wafer fabrication process.
  • the overall error budget for the wafer fabrication process may be predetermined based on the design of the device being fabricated on the wafer. For instance, predetermined characteristics of the device (e.g., electrical characteristics) and selected process yield (e.g., bin yield) may be used to determine the overall error budget.
  • the overall error budget may be defined in a number of manners such as the acceptable variation in the physical characteristics of the design printed on the wafer. For example, the overall error budget may be defined for CD as 150 nm+/ ⁇ 5 nm. Different CD error budgets may be defined for different patterned features in reticle design data printed on the wafer at the same time. In another example, the overall error budget for feature placement on the wafer may defined as the selected location +/ ⁇ 10 ⁇ m.
  • the overall error budget may be determined based on the predetermined characteristics of the device and the selected process yield.
  • the overall error budget may be determined by the device designer or the tool used to create the device design based on characteristics of the device design, the amount of tolerance of the device design to variations in the wafer fabrication process, and the amount of tolerance of the device to variations in the reticle design data printed on the wafer.
  • the overall error budget may also be defined differently for different processes (e.g., lithography and etch). However, the overall error budget may often be defined with respect to the physical characteristics of the reticle design data printed in a device material on the wafer (e.g., as opposed to a resist material on the wafer). For instance, instead of defining the overall error budget for a wafer fabrication process based on post-lithography characteristics of the wafer such as dimensions of resist features formed on the wafer, the overall error budget for the wafer fabrication process may be defined by post-etch characteristics of the wafer such as the characteristics of the features in the reticle design data etched into a device material such as an insulating layer or a conductive layer.
  • One of the significant challenges for wafer and device manufacturers is determining a wafer fabrication process that has an overall error budget for a particular device design that is equal to or less than the predetermined overall error budget.
  • Creating a wafer fabrication process is not trivial for a number of reasons. For example, several different processes are used to print reticle design data on a wafer such as device design, reticle manufacturing, lithography, and etch processes. The performance of each of these processes will affect the performance of all subsequently performed processes. For example, the performance of the device design, reticle manufacturing, and lithography processes affects the performance of the etch process. In addition, within each process, different parameters of the processes may have interrelated effects on characteristics of the fabricated wafer.
  • exposure dose and post exposure bake (PEB) temperature both affect the width of features in the reticle design data printed on a wafer after the lithography process. Therefore, since these parameters have an interrelated effect on the dimensions of the features printed on a wafer by the lithography process, the individual error budgets of these parameters have a combined effect on the overall error budget of the lithography process.
  • PEB post exposure bake
  • the individual error budget for one or more parameters of the wafer fabrication process may be determined independently. For instance, simulated images that illustrate how the reticle design data will be printed on the wafer at different values of a parameter of the wafer fabrication process and at constant values of other parameters of the wafer fabrication process may be generated as described herein.
  • the constant values may be selected to be, for example, nominal or best known values.
  • the simulated images may be evaluated (e.g., by quantifying one or more characteristics of the reticle design data in the simulated images) to determine which values of the parameter resulted in reticle design data that falls within the overall error budget for the wafer fabrication process.
  • the range of those values that resulted in simulated images that include reticle design data within the overall error budget may be determined as the full individual error budget for the parameter.
  • Quantifying one or more characteristics such as CD of the reticle design data illustrated in the simulated images may result in identification of “defects” in the reticle design data.
  • features of the reticle design data having characteristic(s) that fall outside of the overall error budget may be considered defective. Therefore, the detection of such defects is analogous to defect detection based on metrology-type analysis of a physical wafer.
  • the method may include detecting defects in the simulated images, which may be performed in a manner that is analogous to defect detection based on inspection-type analysis of a physical wafer. Such defects may include defects that may not be detected by the analysis described above.
  • the method may include comparing the simulated images to a reference image such as a simulated image at the best known value of the parameter or an image representing how the reticle design data would ideally be printed on the wafer.
  • a reference image such as a simulated image at the best known value of the parameter or an image representing how the reticle design data would ideally be printed on the wafer.
  • Such a comparison for defect detection on physical wafers is often referred to as “die-to-reference die” defect detection.
  • the method embodiments described herein may include using any other suitable methods and/or algorithms for defect detection using the simulated images.
  • determining the individual error budget for the parameter may include determining the individual error budget based on the overall error budget and the defects in the simulated images. For example, characteristics of the defects such as the type of defects (e.g., bridging between two features, missing features, etc.), size of the defects (e.g., size of the bridging between two features), shape (e.g., shape of the bridging between two features), severity of the defects (e.g., a partial bridging or a complete bridging, estimated kill ratio or kill probability, estimated impact on device characteristics, estimated impact on yield, etc.), context of the defects (e.g., portion of the reticle design data in which the defects are located), and the like may be determined from the defect detection results.
  • characteristics of the defects such as the type of defects (e.g., bridging between two features, missing features, etc.), size of the defects (e.g., size of the bridging between two features), shape (e.g., shape of the bridging between two features), severity of
  • One or more of these characteristics and values of the parameter corresponding to the simulated images in which the defects appear may be evaluated to determine at which values of the parameter unacceptable defects appear.
  • This information about the defects and the overall error budget may be used to determine the individual error budget of the parameter.
  • the individual error budgets for two or more parameters of a wafer fabrication process may be determined collectively. For instance, the different values of each of the two or more parameters that are selected for evaluation may be used to define all possible combinations of values of the two or more parameters.
  • a simulated image that illustrates how the reticle design data will be printed on the wafer at each of the possible combinations of values of the two or more parameters may be generated as described herein.
  • the simulated images may be evaluated (e.g., by quantifying one or more characteristics of the reticle design data in the simulated images and/or by detecting defects in the simulated images) to determine which of the possible combinations of values resulted in reticle design data that falls within the overall error budget for the wafer fabrication process.
  • the range of values corresponding to the combinations that resulted in simulated images that include reticle design data within the overall error budget may be used to determine the individual error budgets for each of the parameters.
  • Such embodiments may also include detecting defects in the simulated images and determining the individual error budgets based on the overall error budget and the defects in the simulated images, which may be performed as described further above.
  • the evaluation described above may be used in combination with defect detection results to determine the individual error budgets for two or more parameters of a wafer fabrication process collectively.
  • the individual error budgets for some of the parameters of the wafer fabrication process may be determined independently, and the individual error budgets for other parameters of the process may be determined collectively. Whether the individual error budget for each parameter is determined independently or collectively may be determined based on which parameters have interrelated effects on how the reticle design data will be printed on a wafer. Parameters that have interrelated effects on the printing of the reticle design data may be identified based on prior knowledge about the parameters of the wafer fabrication process, simulation results, or experimental results.
  • the wafer fabrication process for which the individual error budgets are determined includes a lithography process. In another embodiment, the wafer fabrication process includes an etch process. In addition, individual error budgets for different parameters of a single process (e.g., a lithography process or an etch process) may be determined as described herein. Furthermore, the wafer fabrication process for which the individual error budgets are determined may include any other process involved in the wafer fabrication process or that has an effect on the reticle design data printed on a wafer such as, but not limited to, a device design process and a reticle manufacturing process.
  • the term “device design process” is generally defined herein as the process (which may include a number of sub-processes) that creates the reticle design data.
  • the performance of any particular wafer fabrication process may be dependent upon the wafer fabrication processes that are performed prior to the particular process. Therefore, in some embodiments, the methods described herein may be performed for a combination of individual processes that have some effect on the characteristics of the reticle design data printed on the wafer.
  • the wafer fabrication process for which individual error budgets are determined as described herein includes a device design process, a reticle manufacturing process, and a lithography process.
  • the individual error budgets are determined for different parameters of the device design, reticle manufacturing, and lithography processes based on the overall error budget for the wafer fabrication process and simulated images that illustrate how the reticle design data will be printed in a resist material on the wafer at different values of the different parameters.
  • Such an embodiment may be particularly suitable for instances when the overall error budget is defined by post-lithography characteristics of the reticle design data printed on the wafer or the performance of the lithography process is of interest.
  • the overall error budget is defined by the characteristics of the reticle design data as printed in a device material post-etch.
  • the wafer fabrication process for which individual error budgets are determined as described herein includes a device design process, a reticle manufacturing process, a lithography process, and an etch process.
  • the individual error budgets are determined for different parameters of each of these processes based on the overall error budget for the wafer fabrication process and simulated images that illustrate how the reticle design data will be printed in a device material on the wafer at different values of the different parameters.
  • the different parameters of the wafer fabrication process for which individual error budgets are determined in the method embodiments described herein include all parameters of the wafer fabrication process that can alter how the reticle design data will be printed on the wafer. For example, every parameter of the wafer fabrication process has some error associated with it, and how the individual error budgets for each of the parameters affect the reticle design data printed on a wafer can be determined as described herein. Therefore, the methods described herein are advantageous over other methods in which only a subset of parameters (e.g., stepper focus and exposure) are considered. In particular, the use of simulation to model the variations of reticle design data printability across stepper focus and exposure values is already proving its value.
  • a subset of parameters e.g., stepper focus and exposure
  • parameters can be varied by a simulation engine in addition to those described above. These other parameters can be included in the simulation either through a physical understanding (e.g., an empirical model based on scientific theory) of the effects of the parameters on how the reticle design data will be printed on a wafer or through calibration of the model using analysis results for actual wafers printed with a variety of reticle design data and for different values of the different parameters.
  • all parameters of the wafer fabrication process that have at least some effect on how the reticle design data will be printed on a wafer and that can be included in a model that can be used for generating the simulated images can be evaluated as described herein.
  • Parameters that can impact the printability of reticle design data on wafers and that may be evaluated as described herein include, for example, resist parameter variations (errors) such as thickness, chemistry, processing, indices of refraction (n), and absorption (k), reticle parameter variations (errors) such as bias (including non-linear line-width-dependent bias), corner rounding, figure offset (which may be determined using bias), phase (for phase shifting masks), and attenuation (for attenuating phase shift masks), optical parameters such as aberrations (in addition to focus and exposure dose), and any combination thereof.
  • a more extensive list of parameters that can impact the printability of reticle design data on wafers and that may be evaluated as described herein include the following list of parameters and the units in which they may be expressed taken from the PROLITH full physical model parameters. Additional information about the parameters listed herein can be found in literature related to the PROLITH family of products, which are commercially available from KLA-Tencor, or in literature relating to wafer fabrication processes (Carl: any specific reference (publicly available) that you want to mention here?).
  • the wafer fabrication process that is created by the method embodiments described herein may include a device design process and a reticle manufacturing process. Therefore, the parameters for which individual error budgets are determined in the method embodiments described herein may include any parameters of the device design process and/or the reticle manufacturing process. However, instead of determining individual error budgets for the device design process and the reticle manufacturing process, the characteristics of the reticle used to generate the simulated images may be selected arbitrarily or based upon expected characteristics of the reticle. Examples of such characteristics are listed above as reticle parameter variations (errors). Therefore, in some embodiments, the different parameters for which individual error budgets are determined include different characteristics of the reticle design data.
  • the method embodiments described herein may also include determining individual error budgets for all of the different parameters listed above or only some of the parameters listed above. For example, some of the values of the parameters such as resist type and resist material may be fixed in the steps described herein.
  • the method embodiments described herein can be used to create a wafer fabrication process by determining individual error budgets for a relatively large number of different parameters of the wafer fabrication process, particularly in comparison to the number of different parameters of the process that can reasonably be evaluated experimentally.
  • the method embodiments described herein can determine individual error budgets for a relatively large number of different parameters of the wafer fabrication process substantially quicker and at substantially lower cost compared to experimental evaluation of the same number of different parameters.
  • the method embodiments described herein also include creating the wafer fabrication process based on the overall error budget and the individual error budgets.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and the individual error budgets. For example, based on the overall error budget and the individual error budgets, for a parameter of the wafer fabrication process such as temperature of the PEB step, the method may include selecting an operating set point of 130° C. and a level of control of +/ ⁇ 0.5° C. Obviously, these are merely examples of an operating set point and a level of control for one parameter of a wafer fabrication process, and the selected operating set points and levels of control will vary depending on the overall error budget and the individual error budgets.
  • the operating set points and levels of control for independent parameters of the wafer fabrication process may be selected based on the individual error budget values of the independent parameters that add in quadrature to an overall error budget value that is less than or equal to the predetermined overall error budget.
  • the individual error budget values used to create the wafer fabrication process may be less than or different than the full individual error budget values determined as described above.
  • the operating set points and levels of control may be selected based on these individual error budget values. For instance, the median values of the parameters corresponding to these individual error budget values may be selected as the operating set points for the parameters, and the range of the values on either side of the median value within the independent error budget values may be used to select the level of control for the parameters.
  • creating the wafer fabrication process includes modifying predetermined (i.e., pre-selected or initial) operating set points for the different parameters based on the overall error budget and the individual error budgets.
  • the methods described herein may modify nominal set points of some parameters to make the design more robust based on the analysis.
  • the method may determine from the error budget analysis that the interaction between two parameters makes a nominal set point close to one edge of the process window. The interaction may be, for example, the interaction of exposure and mask bias that leads to CDs that are larger than the desired value.
  • the method can modify the set point of one (or both) of the parameters to better center the nominal condition or operating set point within the process window. For example, the mask bias or the exposure set point may be decreased in the previous example.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and how variations in the individual error budgets affect how the reticle design data will be printed on the wafer.
  • the wafer fabrication process may be created based on how the individual error budgets of each of the different parameters impact the overall error budget or performance of the wafer fabrication process. For example, relatively small errors in some parameters of a wafer fabrication process may cause relatively dramatic changes in how the reticle design data will be printed on a wafer, which may indicate that relatively tight control of such parameters is relatively important to successful printing of the reticle design data on wafers.
  • the mask error enhancement factor can be generally defined as the ratio of the wafer error to the reticle error.
  • MEEF mask error enhancement factor
  • regions of high MEEF in the design can be determined from the simulated images as described above.
  • the rate of change in one or more characteristics of the reticle design data in the simulated images may be determined as a function of the rate of change in a parameter of the wafer fabrication process. Such information may be used to determine how the individual error budgets will affect the reticle design data printed on a wafer and to select how tightly the parameters should be controlled.
  • one or more characteristics of the reticle design data in the simulated images may be determined to change much more quickly at the outer limits of the individual error budgets. For instance, at the outer limits of an individual error budget for a parameter such as focus of an exposure step of a lithography process, the dimensions and/or shape of the reticle design data in the simulated images may change relatively rapidly across a relatively small range of values of focus compared to the change in those characteristics across values of focus located more centrally within the individual error budget.
  • the level of control selected for the focus may be smaller than that which corresponds to the full individual error budget determined for focus such that the focus is more tightly controlled. In this manner, the characteristic(s) of the reticle design data may be more uniform from wafer-to-wafer.
  • the full range of parameters that can impact the printing of reticle design data on a wafer allows the full individual error budget for each of the parameters to be determined by considering their mutual interaction.
  • the individual error budget for one of the different parameters is determined as a function of the individual error budget for another of the different parameters.
  • the function may describe the tradeoff in the individual error budgets for these parameters.
  • parameters of the wafer fabrication process that have an interrelated effect on how the reticle design data will be printed on the wafer may be analyzed collectively as described above, and the results of the analysis may be a function describing how the individual error budgets for two or more parameters affect the overall error budget.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for at least two of the different parameters based on the overall error budget and a function describing an interrelated effect of the individual error budgets for the at least two of the different parameters on how the reticle design data will be printed on the wafer.
  • the function may describe a tradeoff between the individual error budgets for two or more parameters of the wafer fabrication process.
  • tradeoffs can be made between different parameters of the wafer fabrication process, an understanding of those tradeoffs can obtained as described herein and used to make the most efficient process development decisions.
  • Those decisions can be made manually, semi-automatically (e.g., user-assisted by the computer-implemented method embodiments described herein), or automatically by the computer-implemented methods described herein.
  • the bias term in a reticle manufacturing process can lead to features of the reticle design data being formed on the reticle with a width that is larger than desired.
  • This reticle bias parameter, as well as the stepper dose can have a direct impact on the width of the features that print on the wafer. Since these parameters interact, their individual error budgets are related. For example, if the dose is more carefully controlled, control of the reticle bias and CD specifications may be relatively relaxed.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and controllability of the different parameters. For example, some parameters of the wafer fabrication process may be more easy to control than others. In one such example, the focus of a lithography process may be more difficult to control than the dose of the lithography process due to relatively larger changes in the focus over time. Therefore, when selecting the operating set points and the levels of control for one or more parameters of the wafer fabrication process, tighter levels of control may be selected for parameters that are easier to control (or parameters that have errors that are easier to fix), and more relaxed levels of control may be selected for parameters that are more difficult to control.
  • the wafer fabrication process may require less monitoring since relatively small drifts in the “difficult to control” parameters will not cause these parameters to be outside of their selected control levels and will not cause the overall process to exceed the overall error budget.
  • the controllability of the different parameters may be determined based on prior knowledge about the parameters or experimental results.
  • the methods described herein can automatically or semi-automatically create the wafer fabrication process using the controllability of the different parameters, for example, as a variable in an algorithm, as a weighting factor in the various decisions involved in the process creation, or in rules used to select the operating set points and levels of control for the different parameters.
  • the wafer fabrication process can be created taking into account the cost of implementing various levels of control for various parameters of the wafer fabrication process.
  • creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and cost of implementing the levels of control.
  • a parameter that is known (e.g., based on prior knowledge or experimental results) to drift significantly over time may require relatively frequent monitoring if a relatively tight level of control is selected for the parameter.
  • Such frequent monitoring of the parameter results in increased costs to perform the monitoring, loss in production time and profits due to the monitoring, and possibly loss in yield if drifts in the parameter are undetected during production.
  • the tradeoff between the level of control selected for such a parameter and cost may be used in the methods described herein to select the operating set points and levels of control for the different parameters of the wafer fabrication process.
  • the methods described herein can automatically or semi-automatically create the wafer fabrication process using the cost associated with different levels of control for different parameters, for example, as a variable in an algorithm, as a weighting factor in the various decisions involved in the process creation, or in rules used to select the operating set points and levels of control for the different parameters.
  • the embodiments described herein can be used to examine a relatively broad range of parameters of a wafer fabrication process.
  • the use of such a range of parameters in the embodiments described herein allows for the development of full individual error budgets for the parameters for which appropriate tradeoffs can be made for optimal efficiency.
  • the embodiments described herein therefore, have a number of advantages over other methods and systems for creating a wafer fabrication process. For instance, the embodiments described herein can be used to make appropriate tradeoffs between the individual error budgets for the various parameters of a wafer fabrication process in a least-cost method.
  • the methods described herein can be performed without fabricating a reticle, without fabricating wafers with the reticle, and without analysis of the wafers by metrology and/or inspection.
  • experimentation can be used to determine how the various parameters interact to yield properly printed reticle design data, this experimentation is expensive. In addition, such experimentation is significantly time consuming.
  • the embodiments described herein use simulation to generate images that illustrate how the reticle design data will be printed on a wafer at different values of one or more, and possibly a relatively large number of, different parameters of the wafer fabrication process that can be analyzed by image and/or data processing (e.g., by a processor as described herein), the embodiments described herein provide fast, inexpensive, and efficient methods for evaluating or studying the effects of individual error budgets of each of the different parameters on the printing of the reticle design data on a wafer and for creating a wafer fabrication process. Since the methods are much quicker than those involving the experimentation described above, the time-to-market for devices produced by wafer fabrication processes created as described herein will be quicker.
  • the method embodiments described herein can be used to meet these needs since not only the primary parameters such as dose and focus but all relevant parameters of the wafer fabrication processes can be evaluated.
  • the method embodiments described herein allow lithography engineers to more optimally determine the individual error budgets of the various parameters that affect the printing of reticle design data on wafers. With an understanding of the individual error budget tradeoffs, the most effective process choices can be made.
  • the individual error budget tradeoffs that can be determined using the method embodiments described herein can also be used to drive design choices that will result in larger overall error budgets.
  • the different parameters for which individual error budgets are determined in the methods described herein include different characteristics of the reticle design data.
  • the method includes creating a design process for the reticle design data based on the overall error budget and the individual error budgets for the different parameters.
  • the methods described herein can be used to determine the tradeoffs between the different parameters of a wafer fabrication process from the device design process through the etch process (and possibly processes performed subsequent to etch).
  • the design process e.g., rules used in the design process
  • the design process may be altered, for example, to improve the manufacturability of other device designs created by the design process.
  • device designs can be created that are potentially easier and less costly to manufacture by requiring fewer, more controllable, or less expensive tradeoffs in individual error budgets for the parameters of the wafer fabrication process.
  • the method includes altering the reticle design data based on the overall error budget and the individual error budgets for the different parameters.
  • the embodiments described herein are not limited to making decisions about process parameters. Instead, the embodiments described herein may involve decision making about process parameters of the wafer fabrication process in addition to decision making about the characteristics of the reticle design data.
  • the methods described herein may be used to alter the reticle design data in a feedback manner based on the evaluation of the different parameters of the wafer fabrication process and the tradeoffs in the individual error budgets for the different parameters made to create the wafer fabrication process. In this manner, the most efficient process and design choices can be made to optimize the yield of the wafer fabrication process in a relatively fast, inexpensive, and efficient manner.
  • Another embodiment relates to a processor that is configured to perform a method for creating a wafer fabrication process.
  • the method includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters. Determining the individual error budgets may be performed by the processor according to any of the embodiments described further herein.
  • the method also includes creating the wafer fabrication process based on the overall error budget and the individual error budgets. Creating the wafer fabrication process may be performed by the processor according to any of the embodiments described further herein.
  • the method that the processor is configured to perform may include any other step(s) described herein.
  • the processor may be further configured as described herein.
  • the processor has all of the advantages of the method embodiments described herein.
  • processor 236 is included in system 238 , which also includes simulation engine 240 .
  • System 238 is configured to create a wafer fabrication process.
  • Simulation engine 240 is configured to generate simulated images illustrating how reticle design data will be printed on a wafer at different values of different parameters of the wafer fabrication process.
  • Simulation engine 240 may be configured to generate the simulated images according to any embodiments described herein.
  • the simulation engine may be configured to generate any other simulated images described herein.
  • Simulation engine 240 may be further configured as described herein.
  • Processor 236 is configured to determine individual error budgets for the different parameters based on an overall error budget for the wafer fabrication process and the simulated images generated by simulation engine 240 . Therefore, processor 236 may be coupled to simulation engine 240 (e.g., via a transmission medium or data link) such that processor 236 can receive the simulated images from the simulation engine.
  • processor 236 and simulation engine 240 may appear to be physically located in proximity to each other within system 238 in FIG. 13 , it is to be understood that the processor and the simulation engine may be housed physically separately and possibly in remote locations. In such instances, the processor and the simulation engine may be coupled by a transmission medium that includes “wired” and/or “wireless” portions.
  • the transmission medium may include any suitable transmission medium known in the art.
  • Processor 236 may be configured to determine the individual error budgets according to any of the embodiments described herein. Processor 236 is also configured to create the wafer fabrication process based on the overall error budget and the individual error budgets.
  • the processor may be configured to create the wafer fabrication process according to any of the embodiments described herein.
  • the processor may be configured to perform any other step(s) of any other embodiments described herein.
  • the system may be further configured as described herein. In addition, the system has all of the advantages of the method embodiments described herein.

Abstract

Computer-implemented methods, processors, and systems for creating a wafer fabrication process are provided. One computer-implemented method includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters. The method also includes creating the wafer fabrication process based on the overall error budget and the individual error budgets.

Description

    PRIORITY CLAIM
  • This application is a continuation-in-part of U.S. patent application Ser. No. 11/048,630 entitled “Computer-Implemented Methods for Detecting Defects in Reticle Design Data,” filed Jan. 31, 2005, which claims priority to U.S. Provisional Application No. 60/540,031 entitled “Method and System of Qualifying Integrated Circuit Design for Manufacturability and Application to Improving Critical Dimension Control in Integrated Circuit Manufacturing,” filed Jan. 29, 2004.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to computer-implemented methods for detecting defects in reticle design data. Certain embodiments relate to a computer-implemented method that includes detecting defects in reticle design data using simulated images that illustrate how a reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process.
  • 2. Description of the Related Art
  • The following descriptions and examples are not admitted to be prior art by virtue of their inclusion within this section.
  • Fabricating semiconductor devices such as logic and memory devices typically includes processing a substrate such as a semiconductor wafer using a number of semiconductor fabrication processes to form various features and multiple levels of the semiconductor devices. For example, lithography is a semiconductor fabrication process that involves transferring a pattern from a reticle to a resist arranged on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing, etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated in an arrangement on a semiconductor wafer and then separated into individual semiconductor devices.
  • Lithography is typically one of the most important processes in integrated circuit manufacturing since this is the process in which features are patterned on the wafer. The pattern printed in a resist by lithography is then utilized as a masking layer to transfer the pattern to additional layers on the wafer in subsequent processing steps. Therefore, the pattern that is formed on the wafer during lithography directly affects the features of the integrated circuits that are formed on the wafer. Consequently, defects that are formed on a wafer during lithography may be particularly problematic for the integrated circuit manufacturing process. One of the many ways in which defects may be formed on the patterned wafer during lithography is by transfer of defects that are present on the reticle to the wafer. Therefore, detection and correction of defects on the reticle such as unwanted particulate or other matter is performed rather stringently to prevent as many defects on the reticle from being transferred to the wafer during lithography.
  • However, as the dimensions of integrated circuits decrease and the patterns being transferred from the reticle to the wafer become more complex, defects or marginalities in the features formed on the reticle become increasingly important. In particular, if the pattern is not formed accurately on the reticle, such discrepancies increasingly produce defects on the wafer as the dimensions of the pattern decrease and the complexity of the pattern increases. In addition, marginalities in the reticle design may cause the design to print incorrectly on the wafer. Therefore, significant efforts have been devoted to methods and systems that can be used to detect problems in the pattern on the reticle or in the design that will cause problems on the wafer. These efforts are relatively complex and difficult due, at least in part, to the fact that not all discrepancies or marginalities in the pattern formed on the reticle (as compared to the ideal pattern) will cause errors on the wafer that will adversely affect the integrated circuit. In other words, some error in the pattern formed on the reticle may not produce defects on the wafer at all or may produce defects on the wafer that will not reduce the performance characteristics of the integrated circuit. Therefore, one challenge of many in developing adequate methods and systems for qualifying a reticle pattern is to discriminate between pattern defects or marginalities that “matter” and those that do not.
  • One way to check a reticle pattern before the reticle is fabricated is design rule checking (DRC). However, conventional DRC operates only at the nominal process conditions, or at most, at a limited number of process conditions and/or at a limited number of points within the device. Other software based methods for detecting design pattern defects prior to fabrication of the reticle have been proposed, and one such method is described in U.S. Patent Application Publication No. 2003/0119216A1 by Weed, which is incorporated by reference as if fully set forth herein. However, this method is designed to determine only the best focus and exposure settings and not to explore the full range of the process window conditions available for each design. Another method described in U.S. Pat. No. 6,373,975 to Bula et al., which is incorporated by reference as if fully set forth herein, runs simulations only to test for specific design rule violations and does not compare full chip simulated images to a reference to detect arbitrary defects.
  • Therefore, such software methods have several disadvantages. In particular, these software methods do not examine the full range of process window conditions thereby failing to detect process window marginalities and missing potential defects. In addition, these methods do not determine the exact focus and exposure conditions under which defects will occur thereby preventing the complete optimization of the design. The lack of complete process window information also limits the ability to implement advanced process control techniques for critical dimension control across all critical features on the device.
  • Accordingly, it would be desirable to develop methods and systems that can detect reticle design defects or marginalities within an entire chip and across a range of process conditions such as focus and exposure before the reticle is manufactured to reduce the cost of fabricating a reticle that is qualified for use in integrated circuit manufacturing and to reduce the time involved in fabricating a reticle that passes qualification for integrated circuit manufacturing.
  • SUMMARY OF THE INVENTION
  • The following description of various embodiments of computer-implemented methods for detecting defects in reticle design data is not to be construed in any way as limiting the subject matter of the appended claims. The methods described herein are generally referred to as virtual process window qualification (vPWQ) methods.
  • An embodiment of the invention relates to a computer-implemented method for detecting defects in reticle design data. The method includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. The method also includes generating second simulated images using the first simulated image. The second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. Therefore, the method includes performing a simulation in a two step approach: first, simulating from design to reticle (i.e., simulation of the mask making process); then, simulating the reticle to wafer pattern transfer (i.e., simulation of the wafer manufacturing process). In addition, the method includes detecting defects in the reticle design data using the second simulated images.
  • In one embodiment, the first and second simulated images include simulated images of a complete chip defined by the reticle design data. In some embodiments, the different values span a predetermined process window for the one or more parameters of the wafer printing process. Therefore, the method may include simulation of the full chip across the full process window to determine regions of the device that will fail first as the process conditions (such as focus and exposure) vary. In another embodiment, the reticle design data includes reticle design data modified by resolution enhancement technology (RET) feature data.
  • In additional embodiments, the method includes determining a process window for the wafer printing process based on results of the detecting step. In another embodiment, the method includes determining which of the different values at which at least one of the defects appears in the second simulated images. In a further embodiment, the method includes determining a region in the reticle design data in which the defects appear at the different values that are closer to nominal values for the one or more parameters of the wafer printing process than the different values at which the defects appear in other regions in the reticle design data.
  • In one embodiment, the detecting step includes comparing the second simulated images to a reference image. In this manner, the method may involve identification of “defective” regions in the reticle design data by comparison to a reference image. The reference image may include an additional simulated image illustrating how the reticle will be printed on the wafer at nominal values of the one or more parameters of the wafer printing process. In another such embodiment, the reference image illustrates how the reticle design data would ideally be printed on the wafer. In other embodiments, the detecting step includes comparing one of the second simulated images to additional simulated images that illustrate how the reticle will be printed on the wafer at the different values that are closer to nominal values of the one or more parameters of the wafer printing process than the different values corresponding to the one second simulated image.
  • In some embodiments, the method may include generating additional simulated images illustrating how the reticle design data will be printed on the reticle at different values of one or more parameters of the reticle manufacturing process. One such embodiment includes selecting the different values of the one or more parameters of the reticle manufacturing process that produce a minimum number of design pattern defects on the reticle. As such, the method may include selecting the most appropriate mask making process for the reticle design data. In another embodiment, the method includes altering the reticle design data based on results of the detecting step. The altering step may include altering RET feature data of the reticle design data. In this manner, the method allows for optimal selection of resolution enhancements, optical proximity correction (OPC) rules, design layout, etc.
  • In one embodiment, the method may include generating an inspection process for the reticle based on results of the detecting step. In one such embodiment, the method may include linking vPWQ data to reticle inspection to drive selective sensitivity of the inspector. In an additional embodiment, the method may include generating an inspection process for the wafer based on results of the detecting step. In one such embodiment, the method may include linking vPWQ data to wafer inspection to drive selective sensitivity of the inspector. In a different embodiment, the method may include fabricating the reticle subsequent to the detecting step, inspecting the reticle, and generating an inspection process for the wafer based on results of the detecting step and the inspecting step. In this manner, the method may include linking the combination of vPWQ and reticle inspection data to wafer inspection to drive selective sensitivity of the wafer inspector. In another embodiment, the method may include fabricating the reticle subsequent to the detecting step, inspecting the reticle, and generating an inspection process for the wafer based on results of the detecting step, results of the inspecting step, critical feature data generated by a designer of the reticle design data, or some combination thereof. As such, the methods may include linking the combination of vPWQ, reticle inspection, and/or critical features identified by the designer to drive wafer inspection sensitivity, metrology sample plans and critical dimension (CD) control systems for optimal yield.
  • In a further embodiment, the method may include identifying first regions in the reticle design data that have a greater probability of being printed defectively than second regions in the reticle design data and generating a process control method for wafers that will be printed with the reticle based on results of the identifying step. In one such embodiment, the method may include linking vPWQ to wafer CD metrology tools to drive the optimum sampling plan and to detect the earliest possible signs of process failure in critical regions identified by vPWQ. In yet another embodiment, the method may include identifying first regions in the reticle design data that have a greater probability of being printed defectively than second regions in the reticle design data and altering the reticle design data based on the identifying step. In this manner, the method may include feedback of vPWQ data to the designer and/or design process to enable optimization of device electrical parameters in the regions identified by vPWQ as most limited in terms of process window tolerance. Each of the embodiments of the method described above may include any other step(s) described herein.
  • Another embodiment relates to a simulation engine configured to generate a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. The simulation engine is also configured to generate second simulated images using the first simulated image. The second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. The second simulated images can be used to detect defects in the reticle design data. The simulation engine may be further configured as described herein.
  • An additional embodiment relates to a system configured to detect defects in reticle design data. The system includes a simulation engine configured to generate a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. The simulation engine is also configured to generate second simulated images using the first simulated image. The second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. The system also includes a processor configured to detect defects in the reticle design data using the second simulated images. The system may be further configured as described herein.
  • Another embodiment relates to a different method for detecting defects in reticle design data. This method includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. The method also includes generating second simulated images using the first simulated image. The second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. In addition, the method includes determining a rate of change in a characteristic of the second simulated images as a function of the different values. This method further includes detecting defects in the reticle design data based on the rate of change. In one embodiment, the detecting step may include using the rate of change in combination with the second simulated images to detect the defects in the reticle design data. Each of the embodiments of this method may also include any other step(s) described herein.
  • An additional embodiment relates to a method for detecting defects in reticle design data printed on a reticle. This method includes printing images of the reticle on a wafer at different values of one or more parameters of a wafer printing process. The method also includes determining a rate of change in a characteristic of the images as a function of the different values. In addition, the method includes detecting defects in the reticle design data based on the rate of change. This method may also include any other step(s) described herein.
  • A further embodiment relates to a computer-implemented method for creating a wafer fabrication process. The method includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters. The method also includes creating the wafer fabrication process based on the overall error budget and the individual error budgets.
  • In one embodiment, the wafer fabrication process includes a lithography process. In another embodiment, the wafer fabrication process includes an etch process. In an additional embodiment, the wafer fabrication process includes a device design process, a reticle manufacturing process, and a lithography process. In some embodiments, the wafer fabrication process includes a device design process, a reticle manufacturing process, a lithography process, and an etch process.
  • In one embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and the individual error budgets. In some embodiments, creating the wafer fabrication process includes modifying predetermined operating set points for the different parameters based on the overall error budget and the individual error budgets. In another embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and how variations in the individual error budgets affect how the reticle design data will be printed on the wafer.
  • In an additional embodiment, the individual error budget for one of the different parameters is determined as a function of the individual error budget for another of the different parameters. In some embodiments, creating the wafer fabrication process includes selecting operating set points and levels of control for at least two of the different parameters based on the overall error budget and a function describing an interrelated effect of the individual error budgets for the at least two of the different parameters on how the reticle design data will be printed on the wafer.
  • In a further embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and controllability of the different parameters. In another embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and cost of implementing the levels of control.
  • In one embodiment, the different parameters include all parameters of the wafer fabrication process that can alter how the reticle design data will be printed on the wafer. In another embodiment, the method includes generating the simulated images by generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process and generating the simulated images using the first simulated image. In an additional embodiment, the different values span a predetermined process window for the different parameters. In a further embodiment, the method includes detecting defects in the simulated images. In one such embodiment, determining the individual error budgets includes determining the individual error budgets based on the overall error budget and the defects in the simulated images.
  • In some embodiments, the different parameters include different characteristics of the reticle design data. In one such embodiment, the method includes creating a design process for the reticle design data based on the overall error budget and the individual error budgets for the different parameters. In another such embodiment, the method includes altering the reticle design data based on the overall error budget and the individual error budgets for the different parameters. Each of the embodiments of the method described above may include any other step(s) of any other method(s) described herein.
  • Another embodiment relates to a processor configured to perform a method for creating a wafer fabrication process. The method includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters. The method also includes creating the wafer fabrication process based on the overall error budget and the individual error budgets. The processor may be further configured as described herein.
  • An additional embodiment relates to a system configured to create a wafer fabrication process. The system includes a simulation engine configured to generate simulated images illustrating how reticle design data will be printed on a wafer at different values of different parameters of the wafer fabrication process. The system also includes a processor configured to determine individual error budgets for the different parameters based on an overall error budget for the wafer fabrication process and the simulated images. The processor is also configured to create the wafer fabrication process based on the overall error budget and the individual error budgets. The system may be further configured as described herein.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Further advantages of the present invention may become apparent to those skilled in the art with the benefit of the following detailed description of the preferred embodiments and upon reference to the accompanying drawings in which:
  • FIG. 1 is a flow chart illustrating a method for qualifying a reticle for production in integrated circuit manufacturing;
  • FIG. 2 is a flow chart illustrating one embodiment of a computer-implemented method for detecting defects in reticle design data;
  • FIG. 3 is a flow chart illustrating one embodiment of a method for data flow between a computer-implemented method for detecting defects in reticle design data and other process steps;
  • FIG. 4 is a schematic diagram illustrating one example of different areas in reticle design data having different levels and types of criticality;
  • FIG. 5 is a schematic diagram illustrating one example of different values of parameters of a wafer printing process for which simulated images can be generated, which can be used to detect defects in reticle design data;
  • FIG. 6 is a schematic diagram illustrating one embodiment of different values of parameters of a wafer printing process for which simulated images can be generated, which can be used to detect defects in reticle design data;
  • FIG. 7 is a schematic diagram illustrating one arrangement of dies printed on a wafer at different values of parameters of a wafer printing process that can be used to detect defects in reticle design data;
  • FIG. 8 is a schematic diagram illustrating one embodiment of an arrangement of dies simulated or printed on a wafer at different values of parameters of a wafer printing process that can be used to detect defects in reticle design data;
  • FIGS. 9-12 are flow charts illustrating various embodiments of a computer-implemented method for detecting defects in reticle design data; and
  • FIG. 13 is a block diagram illustrating one embodiment of a processor configured to perform a method for creating a wafer fabrication process and one embodiment of a system configured to create a wafer fabrication process.
  • While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and may herein be described in detail. The drawings may not be to scale. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • As used herein, the term “wafer” generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples of such a semiconductor or non-semiconductor material include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and/or processed in semiconductor fabrication facilities.
  • A wafer may include only the substrate. Such a wafer is commonly referred to as a “virgin wafer.” Alternatively, a wafer may include one or more layers formed upon a substrate. For example, such layers may include, but are not limited to, a resist, a dielectric material, and a conductive material. A resist may include any material that may be patterned by an optical lithography technique, an e-beam lithography technique, or an X-ray lithography technique. Examples of a dielectric material include, but are not limited to, silicon dioxide, silicon nitride, silicon oxynitride, and titanium nitride. Additional examples of a dielectric material include “low-k” dielectric materials such as Black Diamond™ which is commercially available from Applied Materials, Inc., Santa Clara, Calif., and CORAL™ commercially available from Novellus Systems, Inc., San Jose, Calif., “ultra-low k” dielectric materials such as “xerogels,” and “high-k” dielectric materials such as tantalum pentoxide. In addition, examples of a conductive material include, but are not limited to, aluminum, polysilicon, and copper.
  • One or more layers formed on a wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies having repeatable pattern features. Formation and processing of such layers of material may ultimately result in completed semiconductor devices. As such, a wafer may include a substrate on which not all layers of a complete semiconductor device have been formed or a substrate on which all layers of a complete semiconductor device have been formed. The term “semiconductor device” is used interchangeably herein with the term “integrated circuit.” In addition, other devices such as microelectromechanical system (MEMS) devices and the like may also be formed on a wafer.
  • A “reticle” or a “mask” is generally defined as a substantially transparent substrate having substantially opaque regions formed thereon and configured in a pattern. The substrate may include, for example, a glass material such as quartz. The substantially opaque regions may be formed of a material such as chromium. A reticle may be disposed above a resist-covered wafer during an exposure step of a lithography process such that the pattern on the reticle may be transferred to the resist. For example, substantially opaque regions of the reticle may protect underlying regions of the resist from exposure to an energy source. Many different types of reticles are known in the art, and the term reticle as used herein is intended to encompass all types of reticles.
  • As used herein, the term “defects” refers to marginalities in a reticle design that will cause the reticle design to print incorrectly on a wafer. In addition, the defects may print on the wafer at only certain values of one or more parameters of a wafer printing process. The term “wafer printing process” is used interchangeably herein with the term “lithography process.”
  • The terms “first” and “second” are used herein only to distinguish between different simulated images, different regions on a reticle, etc. and are not to be construed in any other manner.
  • One particularly successful method for qualifying a reticle for integrated circuit manufacturing is the process window qualification (PWQ) method that is described in U.S. patent application Ser. No. 09/211,156 by Peterson et al., filed Aug. 2, 2002, issued as U.S. Pat. No. 6,902,855 on Jun. 7, 2005, which is incorporated by reference as if fully set forth herein. This method generally involves printing a fabricated photomask on a wafer and inspecting the wafer in a specified manner to detect design marginalities, which will cause failures and low yield on production wafers. While effective for design defect detection, PWQ cannot detect the design defects until after the mask or reticle has been fabricated, often at very high cost. In addition, correcting the reticle design and fabricating a new mask with the corrected design for additional design defect detection are also both expensive and time consuming.
  • To detect marginalities in reticle design data before a reticle is even made, computer-implemented methods have been developed and are described herein, which are generally referred to herein as “virtual process window qualification” (vPWQ). Instead of fabricating an actual mask and printing actual wafers with the mask, vPWQ uses the reticle design data to simulate what the mask and wafer would look like if the reticle design data was printed on the mask and wafer, respectively. The simulated images of what the reticle design data would look like on the wafer may be generated across the full range of focus and exposure conditions expected to be used in the production environment.
  • In vPWQ, defects are detected by comparing a reference image to different simulated images illustrating how the reticle design data would be printed on a wafer, which are generated for different values of focus and exposure and/or other parameters of the wafer printing process. The reference image may represent how the reticle design data would be printed on the wafer at the best focus/best exposure conditions or nominal focus and exposure conditions. Alternatively, the reference image may be the ideal image of the reticle design data, which can be generated from the design data prior to optical proximity correction (OPC) “decoration” (i.e., prior to modification of the reticle design data by the OPC data). Therefore, in essence, vPWQ is a virtual wafer to database inspection method, where the virtual wafer is generated for different focus and exposure conditions, preferably across the entire process window that will be used for the reticle in a lithography process. In this manner, the complete design layout (including OPC and other reticle enhancement techniques (RETs)) can be optimized for the best possible range of valid focus and exposure conditions (i.e., the largest process window) before the design is committed to the mask.
  • In general, therefore, one embodiment of a computer-implemented method for detecting defects in reticle design data includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. In one embodiment, vPWQ uses the design database, including all optical enhancements (OPC, phase shifting features, and other RET), and simulates how the mask will be manufactured. In this manner, the reticle design data used in the methods described herein may include reticle design data modified by RET feature data.
  • The method also includes generating second simulated images using the first simulated image. The second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. For instance, generating the second simulated images can be performed using one or more models such as a resist model (e.g., a model of the resist that will be printed with the reticle design data on the wafer) and a lithography model (e.g., a model of the optical parameters of an exposure tool that will be used to print the reticle on the wafer and a model of other process steps involved in the lithography process such as develop and post exposure bake). Such models and/or parameters for such models may be acquired from one or more sources such as the PROLITH software, which is commercially available from KLA-Tencor.
  • The different values at which the second images are simulated may span a predetermined process window for the one or more parameters of the wafer printing process. For example, the predetermined process window may be a process window that is desired or available for the wafer printing process to be used with the reticle. However, as described further herein, the actual process window that is determined for use with the reticle design data may be smaller than this predetermined process window. The one or more parameters may include, but are not limited to, dose and focus. For example, the one or more parameters may also include different types of illumination that are available for use with the reticle (e.g., annular and quadrapole). In one embodiment, the second simulated images, which are also referred to herein as “test images,” may illustrate how the actual mask will be printed at the wafer level across the full range of focus and exposure conditions. The first and second simulated images may include simulated images of a complete chip defined by the reticle design data.
  • vPWQ, therefore, involves breaking the simulation into 2 steps: 1) using a database description of the reticle design to render a simulated image of how the reticle will be written (simulating the reticle manufacturing process); and 2) using the simulated reticle pattern to render simulated wafer patterns. This 2 step approach has many advantages. For example, this approach allows the user to simulate not just the reticle design, but the combination of reticle design data plus mask manufacturing process, thus enabling selection of the best mask making process for a given design and/or optimization of the design rules for the selected process. This approach also produces more accurate simulations by removing the design to mask offset.
  • In addition, the method includes detecting defects in the reticle design data using the second simulated images. For example, the test images may be compared to a reference image on a point by point basis, and defects are identified in the test images using, for example, algorithms. The algorithms that are used for the methods described herein may be the same as, or substantially similar to, those used in typical reticle inspection. In one embodiment, the reference image that is compared to the second simulated images for defect detection includes a simulated image illustrating how the reticle will be printed on the wafer at nominal values of the one or more parameters of the wafer printing process. In a different embodiment, the reference image that is compared to the second simulated images for defect detection illustrates how the reticle design data would ideally be printed on the wafer. In this manner, the reference image can either be the circuit layout as ideally conceived by the designer prior to RET decoration or the simulated wafer image under the best focus and exposure conditions.
  • The reference images used for defect detection may also be variations of the reference images described above. For example, as described above, the reference image can be the reticle pattern layout intended by the designer or the pattern simulated at best focus and exposure conditions. This “designer intended” layout can be modified by simulating the expected mask to design bias so that the reference image illustrates the undecorated pattern that will be printed on a reticle under nominal mask making conditions.
  • The reference image can also be a simulated image illustrating how the reticle design data will be printed on a wafer under best focus and exposure conditions. The usefulness of this simulated reference image could be improved by calibrating the simulation model using wafer print images and/or data of standard test cells that are also included in the design under test. Alternatively, the reference simulated image may be modified by using wafer print images and/or data for sections of the new design that had been included in previous or test reticles, which is thereby available at the wafer level.
  • The reference image simulation can also be calibrated using aerial image data collected from prior designs or test reticles. The reference aerial image data may be generated by inserting an existing reticle in a scanner and monitoring the aerial image at the wafer plane. Alternatively, the reference aerial image may be generated by inserting one or more existing reticles in an aerial image defect detection and/or review tool with optical conditions set up to emulate the performance of a physical exposure system (scanner). Examples of aerial image defect detection and/or review tools are illustrated in U.S. patent application Ser. No. 09/679,617 by Stokowski et al. filed on Oct. 6, 2003, which is incorporated by reference as if fully set forth herein.
  • The second simulated images may also be compared to different types of reference images. For example, a reference simulated image illustrating how the reticle will be printed on the wafer at nominal values of the one or more parameters of a wafer printing process is particularly useful for detecting defects in the reticle design data that will vary depending on the one or more parameters. In other words, such a reference image will be particularly useful for detecting defects in the reticle design data that may reduce the process window that can be used with the reticle. In particular, certain defects may not appear at the nominal parameters, but may appear in the second simulated images, particularly as the parameters move farther away from nominal. vPWQ may include determining which of the different values at which at least one of the defects appears in the second image. Depending on the characteristics of these defects (e.g., whether the defects are “killer” defects or not) and the values of the parameters at which these defects appear, the process window that can be used for the reticle in a wafer printing process may be less than the predetermined process window. In one embodiment, therefore, the method may include determining a process window for the wafer printing process based on results of the detecting step. The examination of the expected performance of the wafer printing process within the process window of the reticle is a particular strength of PWQ and vPWQ methods.
  • However, since such a reference image illustrates how the reticle design data would be printed on a wafer at nominal parameters, this comparison may not detect defects in the reticle design data such as features that will not print on a wafer at all. In particular, if there are features in the reticle design data that simply will not print, these features will be missing from both the reference image simulated for nominal parameters and the second simulated images. Therefore, the missing features will not be detected by such comparisons. A reference image that represents the ideal image of the reticle design data, however, will include both printable and non-printable features. In this manner, comparison between such an “ideal” reference image and the second simulated images may be used to detect missing feature type defects. As such, multiple comparisons can be made between the second simulated images and different reference images to detect different kinds of defects thereby increasing the defect detection capability of the vPWQ methods.
  • As described above, defect detection may be performed by comparing test and reference images. Any region in the test image that varies from the corresponding region in the reference image by more than a threshold value is flagged as a defect. All of the algorithmic tools used in physical reticle inspection systems can be used in this defect detection step including varying the defect threshold based on local geometry, applying multiple algorithmic detectors based on different smoothing filters applied to varying numbers of nearest neighbor pixels, and detecting single edge misplacements (CD errors) and/or dual edge misplacements (registration errors).
  • The defect detection algorithms may have the same tuning capability as those used for physical reticle inspection tools. In particular, the algorithms that are used for the methods described herein may be configured such that the sensitivity of the algorithms can be optimized to detect defects which will impact device performance and yield, but not be overwhelmed by small differences between ideal and actual imaging performance. In one such embodiment, the sensitivity of the algorithms may be altered from region to region in the reticle design data based on one or more characteristics of the reticle design data (e.g., dimensions, criticality, etc.). In another such embodiment, the sensitivity of the algorithms may be altered dynamically or in real time based on the results of the detecting step. The sensitivity of the algorithm(s) may be altered in any manner known in the art (e.g., by altering the threshold used in the algorithm).
  • In one such embodiment, the methods described herein may be configured to perform defect detection with selective sensitivity, which is referred to herein as “SmartInspection.” For example, defects may be identified by vPWQ by comparing simulated wafer images at different process conditions to a nominal or reference image. The sensitivity of this comparison directly affects the defect detection results of the vPWQ process. If the sensitivity is too high, every reticle design will fail since vPWQ will detect excessive numbers of defects. On the other hand, if the sensitivity is too low, potentially critical defects could escape detection. Examples of methods that can be used to implement SmartInspection are described in U.S. patent application Ser. No. 10/883,372 to Marella et al. filed on Jul. 1, 2004, which is incorporated by reference as if fully set forth herein. As described in this patent application, not all areas of a design are equally critical to device performance. In addition, if the designer tags individual portions of the reticle design data to indicate the most critical areas and/or the areas that do not matter to the device performance (dummy fill regions, redundant contacts, unconnected lines, etc.), the sensitivity of vPWQ can be varied on a localized basis to apply the maximum sensitivity to the most critical regions and reduced sensitivity to non-critical areas. Examples of such defect detection methods are also illustrated in U.S. patent application Ser. No. 11/003,291 by Hess et al., filed on Dec. 3, 2004, which is incorporated by reference as if fully set forth herein.
  • As described herein, the method may include determining a process window for the reticle design data. The process window may include those values of the one or more parameters for which the second simulated images illustrate an acceptable level of defectivity. In addition, the methods described herein may include determining the sensitivity of different regions of the reticle design data to changes in the values of the one or more parameters. For example, in one embodiment, the method may include determining a region in the reticle design data in which the defects appear at the different values of the one or more parameters of the wafer printing process that are closer to nominal values for the one or more parameters of the wafer printing process than the different values at which the defects appear in other regions in the reticle design data. In other words, different regions may be identified in the reticle design data based on how quickly the regions exhibit defects as the parameter(s) move away from the nominal values. Such information may be used in a number of embodiments described herein. For example, this information may be used to tailor reticle inspection, wafer inspection, process control methods, etc. for a particular reticle design.
  • The methods described herein may also include generating an inspection process for the reticle based on results of the detecting step. In one such embodiment, another extension of the SmartInspection concept is to use the output of the vPWQ inspection to vary the sensitivity of the inspection of the fabricated reticle. In particular, the sensitivity of the reticle inspection can be higher in regions of the reticle design data where vPWQ results indicate the tightest process window, while the sensitivity can be lower in regions where vPWQ results indicate a more comfortable process window. Such reticle inspection methods are also described in the patent application by Hess et al., which is incorporated by reference above.
  • In another embodiment, the methods described herein may include generating an inspection process for the wafer based on results of the detecting step. In one such embodiment, the methods described herein may use the SmartInspection concept by using the output of the vPWQ methods to vary the sensitivity of the inspection of the printed wafer as a function of position on the wafer. In particular, the sensitivity of the wafer inspection can be higher in regions where vPWQ results indicate the tightest process window, while the sensitivity can be lower in regions where vPWQ results identify a more comfortable process window.
  • In an additional embodiment, the method may include fabricating the reticle subsequent to the detecting step (e.g., if the results of the detecting step indicate no significant or catastrophic defects in the reticle design data). This embodiment of the method may also include inspecting the reticle and generating an inspection process for the wafer based on results of the detecting step and the inspection of the reticle. In one such embodiment, the methods described herein may use the SmartInspection concept with the combined results of vPWQ and inspection of the fabricated reticle to determine the sensitivity of the inspection of the printed wafer as a function of position on the wafer. Thus, in regions of the reticle design data where the physical reticle inspection shows no problems and the vPWQ simulation predicts a relatively comfortable process window, the wafer inspection sensitivity can be relaxed. Sensitivity could be increased in regions where either vPWQ detected a restricted process window or reticle inspection found a less than optimal pattern where the departure from nominal is not large enough to be considered a defect, but may limit the process window (“subspec region”). The highest sensitivity could be reserved for locations where both vPWQ and reticle inspection identified potential constraints on the process window. In some embodiments, the method may include generating an inspection process for the wafer based on results of the detecting step of the vPWQ method, results of the reticle inspection, critical feature data generated by a designer of the reticle design data, or some combination thereof.
  • In additional embodiments, the method may include identifying first regions in the reticle design data that have a greater probability of being printed defectively than second or other regions in the reticle design data. One such embodiment may also include generating a process control method for wafers that will be printed with the reticle based on results of the identifying step. In this manner, the method may include determining the best wafer metrology sample plans for a particular reticle design. For example, extra care could be taken to measure locations on a wafer corresponding to the regions where vPWQ and/or reticle inspection identified limited process windows, and critical dimension (CD) measurements could be performed at those locations to insure that the device meets specifications. Extra care may also be taken in any other manner such as increasing the number and/or the sensitivity of the measurements performed in these locations.
  • In another example, identification of regions in the reticle design data with the most limited process windows as determined by vPWQ, reticle inspection, and/or physical wafer PWQ can also be used to improve the CD control method or other metrology or process control method used in the fab. For example, instead of tracking and adjusting dose and focus to obtain the most stable CDs on test structures or other features, identification of the regions having the most limited process windows allows the control loops (statistical process control (SPC) and/or automatic process control (APC)) to be optimized to keep these locations from drifting outside of the allowed specification limits. The optimization of the control loops may include either a) shifting the metrology sample plan to measure specific features with the most limited process windows or b) measuring other features, determining the focus and exposure conditions, which led to the printing of these test structure dimensions, and applying simulation to predict the expected results of the process window limited features at the determined values of focus and exposure. This optimization technique is an extension of the CD control technique described in U.S. patent application Ser. No. 10/778,752 by Preil et al. filed on Feb. 13, 2004, which is incorporated by reference as if fully set forth herein.
  • In additional embodiments, the methods described herein may include altering the reticle design data based on the identifying step described above. For example, vPWQ output can be fed back up to the designer or the design process. The results can be used to determine the circuit timing, parasitics and electrical performance of a circuit that will be formed on a wafer that is printed with the reticle design data. The results can also be used to determine if the defects detected by vPWQ have characteristics such as size that will impact circuit performance. Currently, this physical (or electrical) verification is performed assuming that the ideal design as drawn by the layout tools is what will appear on the wafer. However, the vPWQ methods described herein can be used to identify the departures to be expected from this nominal case and to locate the regions of the circuit which will have the largest departure from nominal.
  • Obviously, using currently available hardware, it would not be practical to attempt to model the electrical performance of a circuit with millions of transistors while varying every single feature of the transistors across the full range of possible sizes. The vPWQ methods described herein, however, can reduce the number of points in the reticle design data that are varied and tested to a manageable number. The designer or the design process or tool can use this data and knowledge of which portions of the circuit are most critical to device performance to run a limited number of additional verifications prior to committing the design to a mask set.
  • Another aspect of vPWQ that differs from physical PWQ is the ability to flexibly change the simulation conditions during the virtual inspection. In particular, PWQ relies on physical wafers printed under preset conditions of focus and exposure. Since the number of such conditions for which images can be printed on a wafer is relatively limited, the data is highly granular (e.g., increments of focus of 0.1 μm) thereby possibly producing large changes in the number of defects from one setting to the next. However, vPWQ can change settings as it inspects (e.g., in real time as vPWQ is being performed). For example, if too many defects occur in one preset focus or exposure increment, additional simulations can be run automatically to fill in the gap and determine the precise focus and/or exposure settings at which the defect began to print. vPWQ can, therefore, create far more precise maps of the allowed process window for each critical feature.
  • The vPWQ methods described herein can be used to detect defects in reticle design data for binary masks (chrome on glass (COG) masks) or masks with any of a number of RETs such as OPC, phase shifting masks (alternate aperture or embedded PSM (EPSM)), sub-resolution assist features (SRAFs) such as scattering bars, serifs, and hammerheads, chromeless phase shift masks (CPL), and gray scaled images. The vPWQ methods described herein can also be applied to complementary masks where the desired pattern is decomposed into multiple patterns, which are each imaged under different illumination conditions. For a complementary mask, the vPWQ methods include generating simulated images, each illustrating how the different, multiple patterns will be printed separately on a wafer by the different reticles. The vPWQ method may then use each of the simulated images in combination to generated additional simulated images (the test images) that illustrate how the final reticle design pattern will be printed on a wafer using a multiple exposure wafer printing process on the scanner.
  • The vPWQ methods described herein are equally applicable to optical lithography processes using visible, ultraviolet, and deep ultraviolet illumination (e.g., 248 nm, 193 nm, and 157 nm light sources), electron beam lithography, or extreme ultraviolet lithography using reflective masks and an exposure wavelength near 13 nm. The vPWQ methods may also be applied to maskless lithography systems where reticle inspection is not possible. In this case, the vPWQ inspection has the added advantage of minimizing the need for difficult die-to-database inspection at the wafer level.
  • The methods described herein have, therefore, a number of advantages over other reticle design data inspection methods. For instance, the methods described herein can be used for detecting and correcting process window marginalities in the reticle design data prior to manufacturing the masks and printing wafers. As such, the reticle design data inspection methods described herein are substantially less expensive than currently available methods. In addition, the mask making process and the wafer pattern transfer process are simulated. Therefore, the methods described herein account for how the reticle design data will be altered by the reticle manufacturing process and the wafer printing process. For the designer, vPWQ offers the ability to test multiple variations of a design without buying multiple variations of expensive masks. The designer may, therefore, select the reticle design implementation with the broadest process window to maximize device yield in production. vPWQ also provides the ability to generate reticle inspection, wafer inspection, and CD metrology and process control methods having selective sensitivity (sample plans, process window centering, and maximizing the available process window for production). Furthermore, the vPWQ method results may be used for optimization of the circuit design (e.g., optimization of one or more characteristics of the circuit design such as timing, parasitics, other electrical parameters, or some combination thereof).
  • One preferred embodiment of the wafer level simulation includes simulation of the aerial image (reticle manufacturing process), resist process (wafer printing process), and etch process to determine the final physical pattern that would be formed on the wafer. Alternative methods include aerial image simulation only; aerial image simulation with an adjustable threshold model calibrated to emulate the real photoresist performance as a function of CD, pitch and local environment; and aerial image simulation with an adjustable threshold calibrated to emulate the pattern transfer after resist processing and etch as a function of CD, pitch, and local environment.
  • The simulation at the wafer level can include, therefore, not just the lithography (wafer printing) process, but the full pattern transfer process, including any, some combination, or all of the following: etch, polishing, film deposition or growth, and any other steps that affect the final structure and topography of the device. Lithography parameters for which simulated images may be generated at different values can also include degree of partial coherence, illumination mode, numerical aperture, lens aberrations (e.g., Zernike coefficients), resist parameters (e.g., thickness, development rate model, lumped parameter model, Dill coefficients, and thermal diffusion coefficients) and/or film parameters (e.g., substrate reflectivity, thickness, anti-reflection coating properties, etc.).
  • The simulation at the wafer level can also include electrical simulation of device performance in addition to physical simulation of the patterned structures. The electrical performance of the device—either parametric properties of selected regions of the circuit (e.g., resistance, capacitance, transistor performance, etc.), performance of localized regions of the circuit (e.g., phase lock loop frequencies, timing, etc.), or the simulated performance of the full circuit as intended in the end use application—can be used as the pass/fail criteria for the design and/or to select critical regions for further inspection, metrology, and/or process control. In one embodiment, the method may include altering the reticle design data based on results of the vPWQ method. In one such embodiment, the reticle design data may be altered by altering RET feature data of the reticle design data.
  • The simulation of the reticle design data printed on a wafer can be performed using parameters of multiple, different reticle manufacturing processes, and the simulation of the wafer printing can be performed using parameters for multiple, different exposure tools, etch tools, or other processes. Examination of the reticle design data for different processes and tools may be valuable since each tool or process will have different aberrations that impact the pattern transfer in different ways. The vPWQ simulations can then be used to determine the optimum combination of design, optical enhancements (e.g., OPC, RET, etc.), mask making process, and wafer manufacturing process. In one such embodiment, the method may include generating additional simulated images illustrating how the reticle design data will be printed on the reticle at different values of one or more parameters of the reticle manufacturing process. Such embodiments may also include selecting the different values of the one or more parameters of the reticle manufacturing process that produce a minimum number of design pattern defects on the reticle.
  • The vPWQ concept and data linkages to reticle and wafer inspection, CD control, and design optimization can also be performed using printed wafers based on die-to-database inspection. Although performing such printed wafer inspection involves making a reticle and printing wafers, such an embodiment of the vPWQ methods would still add value to the integrated circuit manufacturing process.
  • A system configured to perform the vPWQ methods described herein may appear to be similar to a state of the art KLA-Tencor reticle inspection system, but optionally without the reticle handling and optical systems. Such a system may include a user interface that can be used to define the inspection parameters and a computer system to handle the incoming reticle design data and render the simulated mask level from the data (i.e., to generate the first simulated image as described above). The system may also include a massively parallel computer system to simulate the mask to wafer pattern transfer under a range of focus and/or exposure conditions (i.e., to generate the second simulated images as described above).
  • If the second simulated images are generated for different values of focus and exposure of a wafer printing process, one or more of the computer systems described above may be configured to compare reference and test images for the full chip for each focus-exposure (F-E) combination and to identify locations that are defects under the conditions set in the inspection recipe. These defect locations may be compared between F-E settings, and defects that occur at the same location under multiple F-E conditions can be concatenated to a single defect. Each defect may be tagged with the range of focus and exposure conditions under which it prints as a defect in the simulated images. The defects may then be automatically prioritized for review and analysis. The highest priority would be assigned to those defects which occur closest to the nominal F-E conditions and/or those with the most limited range of non-defectiveness. A defect map may be presented to the user for review and classification, and an inspection report may be generated and stored for later review and/or additional analysis, either on-line (linked to the simulation engine) or off-line.
  • With the trend towards fabless design companies feeding their products to multiple foundries, the number of designs which can be verified using the vPWQ methods described herein is growing rapidly. Foundries may use multiple vPWQ tools to qualify all of their designs in a timely manner, as will IDMs with a wide range of products (telecommunications, wireless, consumer applications). In addition, the methods described herein may be performed using hardware that is similar to that used in currently available reticle inspection systems such as the TeraScan system, which is commercially available from KLA-Tencor, San Jose, Calif. In this manner, the methods described herein can be implemented without substantial development costs and may be made commercially available on currently available reticle inspection systems. Furthermore, the methods described herein may be performed on hardware that is linked to reticle inspection, wafer inspection, metrology and analysis tools such that data may be easily shared between systems.
  • FIG. 1 is a flow chart illustrating one example of a method for detecting defects in reticle design data. This method includes designing the circuit, as shown in step 10 of FIG. 1. The circuit may be designed using any method or system known in the art. The method also includes verifying the design, as shown in step 12. Verifying the design may include verifying the physical characteristics of the design. The physical verification can be performed using any method or system known in the art. As shown in step 14, the method includes determining if the circuit design passes or fails the physical verification. If the design fails the physical verification, the method includes re-designing the circuit and repeating the physical verification, which may include repeating steps 10, 12, and 14.
  • If the design passes the physical verification, the method includes generating a layout for the circuit, as shown in step 16. The layout of the circuit may be generated using any method or system known in the art. The method also includes verifying the layout of the circuit, as shown in step 18. The verification of the layout may include logical verification and/or design rule checking (DRC). The layout verification can be performed using any method or system known in the art. As shown in step 20, the method includes determining if the layout passes or fails verification. If the layout fails verification, the method includes changing the layout of the circuit and re-verifying the revised layout of the circuit, which may include repeating steps 16, 18, and 20.
  • If the layout passes verification, the method includes adding RETs to the circuit layout, as shown in step 22. This step is commonly referred to as “decorating” the circuit layout. Adding the RETs to the circuit layout may be performed in any manner known in the art. The RETs may include any RET known in the art. As shown in step 24, the method includes verifying the decoration. Verifying the decoration may include optical rule checking (ORC). As shown in step 26, the method includes determining if the decorated layout passes or fails verification. If the decorated design fails verification, the method includes changing the RETs in the decorated design and re-verifying the decorated design, which may include repeating steps 22, 24, and 26.
  • If the decorated design passes verification, the method includes making the mask, as shown in step 28. As shown in step 30, the method includes verifying the mask using mask inspection. The mask may be inspected using any system and method known in the art. As shown in step 32, the method includes determining if the mask passes or fails verification. If the mask fails inspection, the method includes determining if the mask is repairable, as shown in step 34. If the mask is determined to be repairable, the method includes repairing the mask, as shown in step 36, and then re-verifying the repaired mask, which may include repeating steps 30 and 32. If the mask is determined to not be repairable, the method includes scrapping the mask and optionally re-spinning the process, as shown in step 38.
  • If the mask passes verification, the method includes printing wafers, as shown in step 40. As shown in step 42, the method includes verifying the printed wafers. In one example, verifying the printed wafers may include PWQ wafer inspection, which may be performed as described above. As shown in step 44, the method includes determining if the printed wafers pass or fail verification. If the wafers fail inspection, the method includes determining if the defects in the reticle that caused the wafers to fail inspection can be repaired, as shown in step 46. If the defects of the reticle are repairable, the method includes repairing the mask, as shown in step 36, and the method may be continued after step 36 as shown in FIG. 1. If the defects on the reticle are determined to not be repairable, the method includes scrapping the mask and optionally re-spinning the process, as shown in step 38. If the wafers are determined to pass verification, the method includes releasing the reticle to production, as shown in step 48.
  • In the method shown in FIG. 1, any RET process window marginalities or any other reticle design defects are not detected until a wafer is printed. As such, if defects or marginalities are present in the reticle pattern, it is relatively expensive to scrap the printed wafer and to revise the parameters involved in one or more processes of the wafer print process (e.g., reticle design, reticle manufacturing process, wafer printing process, etc.). FIG. 2 is a flow chart illustrating one embodiment of a computer-implemented method for detecting defects in reticle design data. This method may, or may not, include many of the steps described and shown in FIG. 1. Those steps that may be included in both FIGS. 1 and 2 have been indicated using the same reference numerals and will not be described further herein. However, the method shown in FIG. 2 includes one important step that is not included in FIG. 1, which imparts significant advantages to the method shown in FIG. 2.
  • In particular, the method shown in FIG. 2 includes verifying the decoration of the reticle design, as shown in step 50, which unlike step 24 of the method shown in FIG. 1 includes vPWQ defect detection. vPWQ in step 50 may be performed as described herein. In addition, the vPWQ method performed in step 50 may be performed to detect defects in the reticle design data across a full predetermined process window for the wafer printing process that is to be used with the reticle. As such, in this method, any marginalities that are present in the reticle design can be advantageously detected before the mask is fabricated. The method shown in FIG. 2 may include any other steps described herein. For example, the method shown in FIG. 2 may include generating an inspection process for the reticle and/or generating an inspection process for the wafers. These inspection processes may be generated as described above and may be used in steps 30 and 42 to verify the reticle and wafers, respectively.
  • FIG. 3 is a flow chart illustrating examples of data flow between vPWQ and one or more of wafer inspection, reticle inspection, wafer metrology, and APC control in the fab. As shown in FIG. 3, history 52, which includes prior designs and models may be provided to synthesis step 54 in which the design may be created in an appropriate format such as RTL code or netlist format. Characteristics 56 of the integrated circuit such as timing, power, and signal integrity may be provided to the synthesis step to verify the design. Critical paths 58 in the design determined by verification can be provided to data “bus” 60.
  • Creation of the reticle design data may be performed in step 62. Creation of the reticle design data may include, for example, converting the netlist to GDS format. History 64 including, for example, prior designs and/or models may be provided to the creation step. In addition, logical verification step (LVS) 66 may be used to verify the reticle design data. Critical features 68 in the reticle design determined by LVS may be provided to data “bus” 60. OPC decoration of the reticle design may be performed in step 70 using calibration data 72 such as calibrated lithography models, critical dimensions, and/or other data. Decoration may include adding any RETs to the reticle design data. Physical verification step 74 may include verifying the decorated reticle design using a technique such as ORC. Critical OPC 76 or other critical RET determined by the physical verification step may be provided to data “bus” 60. In addition, physical verification step 74 may be performed using edge placement error (EPE) tolerance data 78 provided by data “bus” 60.
  • As shown in FIG. 3, after OPC decoration of the reticle design data, vPWQ defect detection may be performed in step 80. vPWQ may be performed in step 80 according to any of the embodiments described herein. One or more parameters for “Smart vPWQ” 82 may be provided to the vPWQ method by data “bus” 60. vPWQ may generate critical OPC information 84, which is provided to data “bus” 60. Depending on the results of the vPWQ method, mask making step 86 may be performed using calibration data 88 such as PSC, BKMs, aerial imaging measurement system (AIMS) calibration data, etc. After the mask is fabricated, mask verification step 90 may be performed on the mask fabricated in step 86. Mask verification step 90 may use SmartInspection data 92, which may be provided by data “bus” 60. This SmartInspection data may be generated by the vPWQ method performed in step 80. In addition, critical mask data 94 generated by the mask verification step may be provided to data “bus” 60.
  • In some embodiments, a second vPWQ method (vPWQ2) may be performed, as shown in step 96. vPWQ2 shown in FIG. 3 is an alternate embodiment of vPWQ that can be performed after mask inspection on real mask images (i.e., images of the fabricated reticle). In other words, vPWQ2 may be performed as described herein except with real mask images instead of simulated images of the reticle. vPWQ2 may be performed using one or more parameters for “Smart vPWQ” 98 from data “bus” 60. “Smart vPWQ” parameters 98 may or may not be the same as “Smart vPWQ” parameters 82. Critical OPC 100 or other critical RET generated by vPWQ2 may be provided to data “bus” 60.
  • Wafer fabrication step 102 may be performed after vPWQ2. Verification of the wafer fabrication step 104 may be performed using calibrated metrology tools 106, which may include any metrology tools known in the art. Verification of the wafer fabrication step 102 may be performed using “Smart Sampling” parameters 108 for the wafer inspection provided by data “bus” 60, which may be determined from, for example, critical paths 58, critical features 68, mask location data, critical OPC 76 and 100, etc. In addition, verification of the wafer fabrication step may be performed using automatic process control (APC) 110 information provided by data “bus” 60. APC information may be generated from the results of vPWQ and/or vPWQ2 as described herein.
  • FIG. 4 illustrates how multiple types of criticality (design, RET, mask making) may overlap in reticle design data such that patterns may suffer most at margins of the process window, which may limit the available process margin. In particular, design critical areas 112 are shown mapped in space 114, which represents the area of the reticle design data. Design critical areas 112 may be created or “tagged,” for example, by a designer based on electronic design automation (EDA) electrical simulation. In contrast, mask making critical areas 116 are shown separately in space 114. The mask making critical areas include regions with limited process window for the reticle manufacturing process. These critical areas may be created or “tagged” by the reticle inspection system used to inspect a fabricated reticle. More preferably, these critical areas may be determined by the vPWQ methods described herein.
  • Lithographic critical areas 118 are also shown separately mapped in space 114. The lithographic critical areas may include regions with limited process window for the wafer printing process. The lithographic critical areas may be identified and “tagged” by vPWQ simulation of the patterning process, which may be performed as described herein. It is to be understood that critical areas 112, 116, and 118 shown in FIG. 4 are merely presented as examples of the different critical areas. Obviously, the critical areas will vary depending on the reticle design data, the reticle manufacturing process, the wafer printing process, or some combination thereof.
  • Each of the critical areas described above may be combined in a single map as shown by space 120 to illustrate which areas in the reticle design data have multiple types of criticality. Areas that are critical for multiple reasons may be selected for intensive metrology and/or wafer level inspection to ensure yield. In particular, an inspection process for a reticle or wafer may be generated as described above, and the sensitivity of the inspection processes may vary from region to region in the reticle design data depending on the one or more reasons that each region qualifies as critical. For example, areas that exhibit two different types of criticality may be inspected with greater sensitivity than areas that exhibit only one type of criticality. In this manner, regions in the reticle design data with multiple types of criticality can be prioritized for wafer inspection, metrology, and CD control.
  • Additional methods described further herein may be used in the vPWQ methods described above and possibly in other reticle design defect detection methods. In particular, the methods described further herein provide improved methods for performing defect detection and other calculations described herein to reduce the number of detected defects which are not critical or important to the user to prevent the detection of these “nuisance” defects from obscuring the important defects. Additionally, the methods described further herein can be used to prioritize the order in which defects are reviewed and/or corrections are made to the design to improve the process window.
  • vPWQ was conceived to work just like PWQ, which is a wafer based inspection method disclosed in the patent application by Peterson et al., which is incorporated by reference above. On the wafers in PWQ, full fields are exposed at different focus and/or exposure conditions, and these test fields are compared to reference fields, which are exposed at best focus and exposure conditions. In general, the farther removed the test fields are from the reference fields, the more defects will be detected as printed features begin to fail to image properly. The focus and exposure conditions at which printed features image incorrectly thus define the limits of the usable process window for the device. vPWQ does essentially the same test to reference comparison, but on simulated images without making a mask and printing wafers. Therefore, the vPWQ method allows weak points in the reticle design to be identified and corrected before expensive masks are made and wafers are printed with the masks.
  • In performing a highly sensitive test-to-reference comparison, even small changes in the dimensions of the features may be interpreted as defects. As the focus and/or exposure conditions move away from the nominal best settings, the number of defects detected may become excessive. This problem can be mitigated by reducing the sensitivity of the inspection (test-to-reference comparison), but in that case some important defects may be missed.
  • It would be desirable to maintain the highest degree of sensitivity, but prioritize the defects based on the likelihood that they will lead to catastrophic imaging failures, not just small critical dimension (CD) errors. In other words, the important information may not be which features have changed by a few nanometers (nm) in CD. Instead, the important information is which features will change by an unacceptably large number of nm if there is a small change in focus and/or exposure and/or other process conditions. Thus, two features may have exactly the same absolute CD error, but one feature may be far more important than the other if it is susceptible to large additional changes with additional process variation. Therefore, wafer based PWQ and vPWQ described above may be modified as described further herein to discriminate between potential defects based on rate of change.
  • In particular, one embodiment of a computer-implemented method for detecting defects in reticle design data includes generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process. This generating step may be performed as in the vPWQ methods described above. This embodiment also includes generating second simulated images using the first simulated image, which may also be performed as in the vPWQ methods described above. In particular, the second simulated images illustrate how the reticle will be printed on a wafer at different values of one or more parameters of a wafer printing process. However, unlike the vPWQ methods described above, this embodiment includes determining a rate of change in a characteristic of the second simulated images as a function of the different values. In addition, the method includes detecting defects in the reticle design data based on the rate of change. In some embodiments, the detecting step may include using the rate of change in combination with the second simulated images to detect the defects in the reticle design data. For example, defect detection may be performed using rate of change in combination with the vPWQ results described above. These embodiments of this computer-implemented method may include any other step(s) described herein.
  • Another embodiment of a method for detecting defects in reticle design data printed on a reticle is a modified version of PWQ that includes printing images of the reticle on a wafer at different values of one or more parameters of a wafer printing process. The method also includes determining a rate of change in a characteristic of the images as a function of the different values. In addition, the method includes detecting defects in the reticle design data based on the rate of change. This embodiment of the method may include any other step(s) described herein.
  • The methods described herein, therefore, may include using not just the difference between the test CD and the reference CD to detect defects in the reticle design data as in vPWQ and PWQ, but also the rate of change of the test CD. Such defect detection can be accomplished in several ways, and the results can be used in several different ways, which are outlined below. The different methods of computing and using rate of change information can be used separately or in combination with each other as outlined below. In addition, although methods are described herein with respect to the rate of change in CD, it is to be understood that the rate of change information may be the rate of change in any measurable parameter of the test images (e.g., feature profile). Furthermore, although methods are described further herein with respect to different values of focus and exposure of a wafer printing process, it is to be understood that the methods described herein can be used for different values of these and/or any other parameters of the wafer printing process that may affect the reticle design data printed on the wafer.
  • One method of increasing vPWQ and PWQ sensitivity to rate of CD change is to change the reference used in defect detection. For example, instead of using the reference image simulated for nominal best focus and exposure conditions (E0, F0) as the reference for all comparisons with test images, each test image (En, Fn) can be compared to its nearest neighbors (e.g., other test and/or reference images that are closer to the nominal values at (E0, F0) than the test image). In other words, the detecting step of vPWQ may be performed by comparing one of the second or test simulated images to additional simulated images that illustrate how the reticle will be printed on the wafer at the different values that are closer to nominal values for the one or more parameters of the wafer printing process than the different values corresponding to the one second simulated image.
  • FIG. 5 shows one example of a layout that is currently used for defect detection in which each test image (En, Fn) is compared to the same nominal reference image (E0, F0). In particular, test images 120 are each compared to reference image 122. Such comparisons may be used for PWQ with images actually printed on a wafer. Alternatively, such comparisons may be used for vPWQ with simulated test and reference images. It is to be understood that although four test images are shown in FIG. 5, the defect detection method may use any number of test images.
  • FIG. 6 shows a new arrangement that can be used for reticle design defect detection in which each test image (En, Fn) 124 is compared to its 3 nearest neighbors (NN) 126, 128, and 130, all of which are simulated or printed for at least one parameter that is closer to the nominal values (E0, F0) than the test image. Such comparison of test and reference images is referred to herein as “cascading” test to reference defect detection. Such comparisons can be easily performed for vPWQ using images simulated for the different values of exposure dose and focus. This method can also be applied to wafer based PWQ by printing the wafer in an appropriate manner (e.g., by adding columns with offset focus and exposure conditions to be used as reference sites instead of the current configuration where all reference columns are exposed at nominal conditions).
  • In the example of FIG. 6, the 3 nearest neighbors are each used for comparison with the test image. Alternatively, the number of neighbors used for comparison with the test image could vary from 1 to 8. For one comparison, the user may select the nearest neighbor in terms of focus or exposure with the other parameter fixed or may select the diagonal nearest neighbor for which both focus and exposure changed. For more than 3 nearest neighbors, the test images that are farther removed from the nominal best focus and exposure conditions may be compared to the test image being examined thereby sampling the rate of change moving away from the preferred operating conditions. Although one or more of the comparisons are redundant (since a comparison would be repeated for the inspection of the outward neighbor), different weighting factors could be applied in the defect detection and/or prioritization algorithms. In addition, although 4 test images are shown in FIG. 6, it is to be understood that the methods described herein may use any number of test images for defect detection.
  • FIG. 7 illustrates one layout for dies printed on a wafer that can be used for PWQ defect detection. In this example, each test location is compared to a nominal reference image corresponding to best focus and exposure values for the wafer printing process, which are indicated in FIG. 7 by a 0. Each set of test conditions is checked 4 times in this arrangement. A test condition can vary in focus, exposure, or both.
  • FIG. 8 illustrates one layout of die images that can be printed on a wafer for different values of focus and exposure for PWQ defect detection or that can be simulated for the different values of focus and exposure for vPWQ defect detection. In this example, each test image is compared to another test image that is printed or simulated for value(s) of the wafer printing process parameter(s) that are closer to the nominal values than those of the test image being examined. Each test image may still be compared 4 times, as in FIG. 7, but far more values of the parameters can be tested with more sensitivity to the rate of change between settings. Some replication of the nominal conditions (0) may be printed on the wafer for calibration and background noise checks.
  • By performing such cascading test to reference defect detection, the defect detection algorithms will detect areas that are the most different from the neighboring exposure conditions (focus and/or exposure) thereby increasing the sensitivity of the inspection to the local rate of change in the CDs. Even if two test images have the exact same change in CD relative to the nominal conditions, if the CD of one of the test images changed slowly over multiple values of the parameters while the CD of another test image changed quickly between the most recent reference focus and the current test focus, the sensitivity of the inspection can be tuned to detect the CD of the test image that changed the quickest, and which is therefore likely to be most important to the user. For cases where the test image is compared to multiple reference images, weighting factors can be applied to the multiple comparisons to arrive at a final defect score. The final defect score can be used to determine if a test image is defective or not and to prioritize the effective size of the defect.
  • In addition, since the vPWQ method is based on simulation, vPWQ can be used to more accurately determine the rate of change of the critical dimensions as a function of focus and/or exposure (the “exposure conditions”). The determination of the rate of change can be performed in several ways. The most accurate method would be to compute the true derivative of the CD, dCD/dE and dCD/dF, for each feature at each combination of exposure conditions. Another method is to compute the slope of the aerial image, dE/dx, or the normalized image log slope (NILS) at the threshold energy for each feature and combination of exposure conditions (Eth). The smaller the slope or NILS, the more rapidly the CD will change with a change in exposure or process conditions. Therefore, this slope can be taken as an indication of the rate of change of the CD.
  • The slope or derivative data can be used independently as the sole criteria for determining if a feature is defective or not, or the slope and derivative can be used together with the test to reference comparison to prioritize the importance of the defects detected by the comparison algorithms. Similarly, the cascading test to reference comparison can be used independently, or in combination with, the test to nominal reference comparisons described above. These possible combinations allow the defects to be detected and/or prioritized based on the size of the defect alone, the rate of change of the defect alone, or a combination of size and rate of change. Weighting factors can be applied to the multiple terms used in this comparison to filter and/or prioritize defects. The weighting factors can be linear or non-linear, and the weighting algorithm can include cross-terms or higher power coefficients of the inputs. For example, the weighting for a 2 nm CD error could vary depending on whether the rate of change of the CD is high or low, while on the other hand, the weighting for a 10 nm CD error can be set to be high regardless of the rate of change.
  • Any of the options described above can be used independently or in combination. For clarity, the possible options are numbered, and the combinations of the options that would enhance the value of the inspection are listed below.
  • Option 1: Test to reference comparison with the reference always at the nominal operating point
  • Option 2: Compute derivatives of the CD with respect to dose and/or focus
  • Option 3: Compute the spatial derivative of the aerial image and/or NILS
  • Option 4: Cascading test to reference comparisons
  • Option 1 alone is essentially the same as the comparison that may be performed in the defect detection step of vPWQ and PWQ. Options 2, 3, or 4 could each be used independently in place of Option 1. The interesting combinations are then:
  • Options 1 and 2; 1 and 3; or 1, 2, and 3
  • Options 4 and 2; 4 and 3; or 4, 2, and 3
  • Options 1 and 4; 1, 4, and 2; 1, 4, and 3; or all 4 options together.
  • In any of these combinations, the different options can have a greater or lesser influence on the defect filtering or prioritization depending on the weighting factors that are applied.
  • The use of CD rate of change information in addition to absolute CD error data as described above allows improved detection of regions of the design and/or reticle that will be most prone to process window limiting failures and pattern dependent yield loss. The rate of change data allows for higher sensitivity to be applied to the inspection (either physical or virtual), without flooding the detection system with an impractically large number of defect detections, thus enhancing the usable sensitivity of the system.
  • Derivatives generally cannot be readily computed from physical wafers used for wafer based PWQ, but the normal test to reference and cascading test to reference comparisons can also be combined for enhanced PWQ. In one example, instead of the current BABA or BBABBA layout, where B is the reference at nominal best focus and exposure and A is the test case, a cascading approach would have a layout with an ABCDEFGH pattern, where each exposure would have it's settings offset slightly from the previous field, making this layout more sensitive to small changes in pattern fidelity vs. process conditions. This layout also provides more possible test conditions on a wafer. For arbitration purposes, the defect would be assigned to the field with settings farthest from nominal. Any random errors caused by this rule would simply be removed when stacking the multiple replications of each set of test conditions. The same concept can be applied to the BBA type arrangement.
  • FIG. 9 is a flow chart of another embodiment of a computer-implemented method for detecting defects in reticle design data. As shown in FIG. 9, this embodiment includes system design and verification, as shown in step 132. System design and verification can be performed using design data 134. In addition, the system design that is verified in step 132 may be provided to design data 134. System design and verification may be performed using any system and method known in the art. The method also includes logic design and verification, as shown in step 136. Logic design and verification 136 may be performed using design data 134 that was generated by system design and verification step 132. In addition, the logic design that is verified in step 136 may be provided to design data 134. Logic design and verification may be performed using any method and system known in the art.
  • The method includes physical design and verification, as shown in step 138. Physical design and verification may be performed in step 138 using design data 134 that was verified in step 136. Physical design and verification may be performed using any method and system known in the art such as DRC and LVS. In addition, the physical design that is verified in step 138 may be provided to design data 134. As shown in step 140, the method includes mask data preparation. Mask data preparation may be performed using design data 134 and lithography model 142. Mask data preparation may be performed using any method or system known in the art. The mask data may be provided to geometry data 144.
  • Instead of performing an optical rule check on the geometry data, the method includes vPWQ, as shown in step 146. vPWQ is performed using the mask data generated in step 140 (the results of which may be obtained from geometry data 144) and lithography model 142. vPWQ may also be performed according to any of the embodiments described herein. As described further herein, vPWQ may use the mask database as input and perform a full-die F-E matrix calculation. This calculation may be performed relatively quickly using the system described above. For instance, for 5 different focus values and 7 different exposure values, the calculation may be performed in about 10 hours for a 90 nm generation reticle design. The version of the vPWQ method that was used to perform this calculation detected a relatively large portion of CD defects (about 30% of all CD defects) and detected all catastrophic events such as shorts, opens, and printing SRAF. Obviously, these results were generated by only one version of the vPWQ method, and the defect detection results may be tailored and/or optimized as described above.
  • Output from the vPWQ method may be provided to the mask write, which is performed in step 148. The mask write process may also use geometry data 144 to perform all steps involved in printing the reticle design data on the reticle. The method includes performing mask metrology on the fabricated mask, as shown in step 150. Mask metrology may be performed using any system and method known in the art. The method also includes inspection of the fabricated mask, as shown in step 152. Mask inspection may be performed using geometry data 144. Mask inspection may be performed using any method and system known in the art.
  • Depending on the results of the mask metrology and inspection (if the mask passes qualification specifications), the method includes printing the mask on a wafer, as shown in step 154. Printing the mask on the wafer may include using any system and method known in the art. The method also includes wafer metrology, as shown in step 156. Wafer metrology of the printed wafers may include any method or system known in the art. Results of wafer metrology may also be provided to lithography model 142. The results of the wafer metrology may be used to calibrate and/or update the lithography model. As shown in step 158, the method includes wafer inspection. Wafer inspection may be performed using any system and method known in the art. The method shown in FIG. 9 may also include any other step(s) described herein.
  • FIG. 10 is a flow chart of a different embodiment of a computer-implemented method for detecting defects in reticle design data. In particular, FIG. 10 illustrates one embodiment of a vPWQ method that may be used in any of the methods described herein. As shown in FIG. 10, reticle design and RET decoration data 160 may be used to generate reticle data 162. In this manner, reticle data 162 may include the decorated reticle design data. In addition, fab model data 164 may be generated using lithography data 166 such as scanner parameters, resist parameters, and predetermined process window (such as focus and dose range) that can be used to determine which different values of one or more parameters of the wafer printing process for which simulated images will be generated.
  • Reticle data 162 and fab model data 164 are provided to vPWQ module 168. vPWQ module 168 may include any hardware and/or software that can be used to generate the simulated images as described above using reticle data 162 and fab model data 164. In one embodiment, the vPWQ module may include a simulation engine such as that included in the PROLITH software. The simulation engine may be configured to generate the first and second simulated images as described herein. In another embodiment, the vPWQ module may be configured as a system that includes a simulation engine as described above coupled to a processor. The processor may be configured to detect defects in the reticle design data using the second simulated images according to any of the embodiments described herein. The vPWQ method performed by vPWQ module 168 may include any other step(s) described herein. The vPWQ module may be further configured as described herein.
  • As shown in FIG. 10, vPWQ module 168 generates output 170 that includes an error list. The error list may include all potential defects that were detected by the vPWQ module. One or more additional functions may be performed on output 170 by either the vPWQ module or another software module or other hardware. For example, potential defects in the error list may be examined to determine if the potential defects are actual defects, what kind of defects the potential defects are, etc. In addition, the output may be used to determine the process window that can be used with the reticle design data. Determining the process window for a wafer printing process to be used with the reticle may be performed as described above. In addition, the output may be formatted for presentation to a user, use by another software module, storage in one or more modules such as a fab database, etc. The method shown in FIG. 10 may include any other step(s) described herein.
  • FIG. 11 is a flow chart of an additional embodiment of a computer-implemented method for detecting defects in reticle design data. As shown in FIG. 11, mask layer data 172 is modified by RET decoration 174 and data fracture 178. The modified mask layer data is used to generate mask writer data 178. Mask writer data 178 is provided to vPWQ module 180. For example, mask writer data 178 may be provided to input database 182 of vPWQ module 180. The vPWQ module may also include a model describing the reticle manufacturing process. This model may include mask writer model 184. The vPWQ module may use mask writer model 184 with mask writer data 178 in input database 182 to generate a first simulated image illustrating how the mask writer data will be printed on a reticle using the reticle manufacturing process.
  • The vPWQ module may also include one or more models describing the wafer printing process. These models may include scanner model 186 and resist model 188. In addition, different values 190 of one or more parameters of a wafer printing process may be provided to input database 182. These one or more parameters may include, for example, focus F and exposure E. In addition, the different values of the one or more parameters provided to input database 182 may include nominal values (e.g., F0, E0) for the parameter(s). The different values of the one or more parameters provided to input database 182 may also include test values (e.g., Fn, En). These test values may include values that are within a predetermined process window for the reticle. In some embodiments, these test values may span the predetermined process window.
  • The vPWQ module uses scanner model 186, resist model 188, the first simulated image, and different values 190 to generate second simulated images 192. The second simulated images illustrate how the mask writer data printed on the reticle will print on a wafer at the different values of the one or more parameters of the wafer printing process. The second simulated images may also illustrate how the entire chip will be printed on the wafer at the different values of the one or more parameters of the wafer printing process. In this embodiment, vPWQ module 180 may also generate reference simulated image 194 using scanner model 186, resist model 188, the first simulated image, and the nominal vales for the parameters(s) of the wafer printing process. Reference simulated image 194 is compared to second simulated images 192 to determine differences 196 between the simulated images. The vPWQ module may use tolerance specifications 198 to determine what qualifies as a difference. The differences between the compared simulated images may be used to detect defects in the reticle design data as described further herein.
  • In some embodiments, instead of, or in addition to, detecting defects in the reticle design data using simulated images that illustrate how the reticle design data will be printed on a wafer by a wafer printing process, defect detection may be performed using simulated images that illustrate the pattern on the wafer after a different semiconductor manufacturing process. For example, in one embodiment, vPWQ module 180 may include etch model 200. Etch model 200 describes an etch process that will be performed on the wafer after the reticle design data is printed on the wafer by the lithography process. vPWQ module 180 may use etch model 200 in combination with the second simulated images to generate additional simulated images that illustrate how the reticle design data will be printed on the wafer by the etch process. These additional simulated images may be compared to a reference simulated image to detect differences between the simulated images as described above. The differences between the simulated images may be used to detect defects in the reticle design data. Similar image simulation and defect detection may also or alternatively be performed by the vPWQ module for any other processes that will be performed on the wafer and that may affect the pattern printed on the wafer. Such processes may include, for example, deposition and chemical-mechanical polishing.
  • vPWQ module 180 generates output 202 based on differences 196 between simulated images 192 and reference simulated image 194. Output 202 may include coordinates of the differences between the simulated images, portions of the simulated images (test and/or reference) corresponding to the positions of the differences, a database clip, a process window determined for the reticle design data, and/or the severity (e.g., the magnitude) of the differences detected between the different simulated images. vPWQ module 180 may also be configured to allow one or more user actions 204 to be performed based on output 202. The user actions may include, for example, rejection of the reticle design data, selection of additional simulation to be performed by the vPWQ module, adjustment of one or more parameters of the vPWQ module, and/or alteration of the rules used by the vPWQ module. The method illustrated in FIG. 11 may include any other step(s) described herein.
  • FIG. 12 is a flow chart illustrating yet another embodiment of a computer-implemented method for detecting defects in reticle design data. In particular, FIG. 12 illustrates different points in a semiconductor manufacturing process at which some form of PWQ can be performed. For example, as shown in FIG. 12, this method may include performing vPWQ 206 on mask layer database 205. After the mask layer database is modified by RET decoration 210, vPWQ 208 may be performed on the decorated mask layer data. After vPWQ 208, the decorated mask layer data may be used to generate reticle layout 212. Reticle layout 212 is used to perform data fracture 214. After data fracture 214, vPWQ 216 may be performed on the fractured data. vPWQ 206, 208, and 216 may be performed as described herein.
  • After vPWQ 216 is performed, the mask may be manufactured as shown in step 218. After the mask is manufactured, the method may include inspecting the fabricated mask, as shown in step 220. Inspecting the fabricated mask may include vPWQ 222 using an image of the fabricated mask. In other words, vPWQ 222 may be performed as described herein with the exception that instead of using first simulated images to generate the test simulated images, in vPWQ 222, an image of the actual mask may be used to generate the test simulated images. After inspection of the mask, assuming that the mask passes qualification, the mask is received by the production facility, as shown in step 224. When the mask is received by the production facility, vPWQ 226 may again be performed using an image of the fabricated mask as described above.
  • The method also includes printing wafers using the fabricated mask, as shown in step 228. After the wafers are printed, vPWQ 230 may be performed using images of the actual printed wafers. In other words, vPWQ 230 may be performed as described herein with the exception that the second simulated images may be replaced with images of the printed wafers. After vPWQ 230 has been performed, the method may include etching the printed wafers, as shown in step 232. After the printed wafers have been etched, vPWQ 234 may be performed using images of the actual etched wafers. In other words, vPWQ 234 may be performed as described herein with the exception that the second simulated images may be replaced with images of the etched wafers. In addition, vPWQ may also be performed after other steps of the semiconductor manufacturing process. In this manner, the vPWQ methods described herein have application at many different points throughout a semiconductor manufacturing process, as shown in FIG. 12. The method shown in FIG. 12 may include any other step(s) described herein.
  • A system configured to perform one or more of the computer-implemented methods described herein includes a computer system. The computer system may be configured as described above. The system also includes a carrier medium. The carrier medium may be coupled to, or included in, the computer system using any method or device known in the art. Program instructions implementing methods such as those described herein may be transmitted over or stored on the carrier medium. The carrier medium may be a transmission medium such as a wire, cable, or wireless transmission link. The carrier medium may also be a storage medium such as a read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.
  • In an embodiment, the computer system may be configured to execute the program instructions to perform a computer-implemented method according to any of the above embodiments. In general, the term “computer system” may be broadly defined as any device having one or more processors, which executes instructions from a memory medium.
  • The program instructions may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others. For example, the program instructions may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired. The system may be further configured as described herein.
  • In general, the methods and systems described above can be used to perform a process window inspection to determine regions of reticle design data (e.g., a semiconductor chip design) that are most prone to fail at different values of one or more parameters of a wafer printing process (e.g., different values of stepper focus and exposure) around the nominal values for the one or more parameters. Prior to development of these methods and systems, a PWQ experiment would be performed to understand the tradeoffs between the different values of the one or more parameters. As described further above, PWQ experiments generally involve printing wafers at different focus and exposure values and measuring one or more characteristics such as CD of various patterned features formed on the wafers and inspecting the wafers for defects.
  • The concepts described above may be implemented much more broadly. For instance, there are many more parameters than those described above that can impact the printing of reticle design data on wafers, and all such parameters can be considered by simulation and for control. With an understanding of the tradeoffs in the individual error budgets for the various parameters that affect the printing of the reticle design data on wafers, which can be determined as described further herein, the most efficient process choices can be made, both for the wafer fabrication process and the reticle design data.
  • One embodiment for creating a wafer fabrication process includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters. The simulated images may be generated according to any of the embodiments described herein. For instance, in one embodiment, the method includes generating the simulated images by generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process and generating the simulated images using the first simulated image. Therefore, the simulated images used in the methods described further herein may be the second simulated images described above and generated according to any of the embodiments described herein.
  • In one embodiment, the different values for which the simulated images are generated span a predetermined process window for the different parameters. The predetermined process window may be determined as described above. Alternatively, the different values for which simulated images are generated may be determined arbitrarily or based on knowledge of the process tools and/or materials that will be used for the wafer fabrication process.
  • The overall error budget for the wafer fabrication process may be predetermined based on the design of the device being fabricated on the wafer. For instance, predetermined characteristics of the device (e.g., electrical characteristics) and selected process yield (e.g., bin yield) may be used to determine the overall error budget. The overall error budget may be defined in a number of manners such as the acceptable variation in the physical characteristics of the design printed on the wafer. For example, the overall error budget may be defined for CD as 150 nm+/−5 nm. Different CD error budgets may be defined for different patterned features in reticle design data printed on the wafer at the same time. In another example, the overall error budget for feature placement on the wafer may defined as the selected location +/−10 μm. Obviously, these are only examples of overall error budgets for a wafer fabrication process, and the overall error budget may be determined based on the predetermined characteristics of the device and the selected process yield. The overall error budget may be determined by the device designer or the tool used to create the device design based on characteristics of the device design, the amount of tolerance of the device design to variations in the wafer fabrication process, and the amount of tolerance of the device to variations in the reticle design data printed on the wafer.
  • The overall error budget may also be defined differently for different processes (e.g., lithography and etch). However, the overall error budget may often be defined with respect to the physical characteristics of the reticle design data printed in a device material on the wafer (e.g., as opposed to a resist material on the wafer). For instance, instead of defining the overall error budget for a wafer fabrication process based on post-lithography characteristics of the wafer such as dimensions of resist features formed on the wafer, the overall error budget for the wafer fabrication process may be defined by post-etch characteristics of the wafer such as the characteristics of the features in the reticle design data etched into a device material such as an insulating layer or a conductive layer.
  • One of the significant challenges for wafer and device manufacturers is determining a wafer fabrication process that has an overall error budget for a particular device design that is equal to or less than the predetermined overall error budget. Creating a wafer fabrication process is not trivial for a number of reasons. For example, several different processes are used to print reticle design data on a wafer such as device design, reticle manufacturing, lithography, and etch processes. The performance of each of these processes will affect the performance of all subsequently performed processes. For example, the performance of the device design, reticle manufacturing, and lithography processes affects the performance of the etch process. In addition, within each process, different parameters of the processes may have interrelated effects on characteristics of the fabricated wafer. For example, in a lithography process, exposure dose and post exposure bake (PEB) temperature both affect the width of features in the reticle design data printed on a wafer after the lithography process. Therefore, since these parameters have an interrelated effect on the dimensions of the features printed on a wafer by the lithography process, the individual error budgets of these parameters have a combined effect on the overall error budget of the lithography process.
  • In some embodiments, to the extent that different parameters of a wafer fabrication process can be separated based on whether the parameters independently affect how the reticle design data will print on a wafer, the individual error budget for one or more parameters of the wafer fabrication process may be determined independently. For instance, simulated images that illustrate how the reticle design data will be printed on the wafer at different values of a parameter of the wafer fabrication process and at constant values of other parameters of the wafer fabrication process may be generated as described herein. The constant values may be selected to be, for example, nominal or best known values. The simulated images may be evaluated (e.g., by quantifying one or more characteristics of the reticle design data in the simulated images) to determine which values of the parameter resulted in reticle design data that falls within the overall error budget for the wafer fabrication process. The range of those values that resulted in simulated images that include reticle design data within the overall error budget may be determined as the full individual error budget for the parameter.
  • Quantifying one or more characteristics such as CD of the reticle design data illustrated in the simulated images may result in identification of “defects” in the reticle design data. For example, features of the reticle design data having characteristic(s) that fall outside of the overall error budget may be considered defective. Therefore, the detection of such defects is analogous to defect detection based on metrology-type analysis of a physical wafer. However, in another embodiment, the method may include detecting defects in the simulated images, which may be performed in a manner that is analogous to defect detection based on inspection-type analysis of a physical wafer. Such defects may include defects that may not be detected by the analysis described above. For example, the method may include comparing the simulated images to a reference image such as a simulated image at the best known value of the parameter or an image representing how the reticle design data would ideally be printed on the wafer. Such a comparison for defect detection on physical wafers is often referred to as “die-to-reference die” defect detection. The method embodiments described herein may include using any other suitable methods and/or algorithms for defect detection using the simulated images.
  • In such embodiments, determining the individual error budget for the parameter may include determining the individual error budget based on the overall error budget and the defects in the simulated images. For example, characteristics of the defects such as the type of defects (e.g., bridging between two features, missing features, etc.), size of the defects (e.g., size of the bridging between two features), shape (e.g., shape of the bridging between two features), severity of the defects (e.g., a partial bridging or a complete bridging, estimated kill ratio or kill probability, estimated impact on device characteristics, estimated impact on yield, etc.), context of the defects (e.g., portion of the reticle design data in which the defects are located), and the like may be determined from the defect detection results. One or more of these characteristics and values of the parameter corresponding to the simulated images in which the defects appear may be evaluated to determine at which values of the parameter unacceptable defects appear. This information about the defects and the overall error budget, possibly in combination with the metrology-type analysis results described above, may be used to determine the individual error budget of the parameter.
  • In other embodiments, the individual error budgets for two or more parameters of a wafer fabrication process may be determined collectively. For instance, the different values of each of the two or more parameters that are selected for evaluation may be used to define all possible combinations of values of the two or more parameters. A simulated image that illustrates how the reticle design data will be printed on the wafer at each of the possible combinations of values of the two or more parameters may be generated as described herein. The simulated images may be evaluated (e.g., by quantifying one or more characteristics of the reticle design data in the simulated images and/or by detecting defects in the simulated images) to determine which of the possible combinations of values resulted in reticle design data that falls within the overall error budget for the wafer fabrication process. The range of values corresponding to the combinations that resulted in simulated images that include reticle design data within the overall error budget may be used to determine the individual error budgets for each of the parameters. Such embodiments may also include detecting defects in the simulated images and determining the individual error budgets based on the overall error budget and the defects in the simulated images, which may be performed as described further above. In addition, the evaluation described above may be used in combination with defect detection results to determine the individual error budgets for two or more parameters of a wafer fabrication process collectively.
  • For a wafer fabrication process, the individual error budgets for some of the parameters of the wafer fabrication process may be determined independently, and the individual error budgets for other parameters of the process may be determined collectively. Whether the individual error budget for each parameter is determined independently or collectively may be determined based on which parameters have interrelated effects on how the reticle design data will be printed on a wafer. Parameters that have interrelated effects on the printing of the reticle design data may be identified based on prior knowledge about the parameters of the wafer fabrication process, simulation results, or experimental results.
  • In one embodiment, the wafer fabrication process for which the individual error budgets are determined includes a lithography process. In another embodiment, the wafer fabrication process includes an etch process. In addition, individual error budgets for different parameters of a single process (e.g., a lithography process or an etch process) may be determined as described herein. Furthermore, the wafer fabrication process for which the individual error budgets are determined may include any other process involved in the wafer fabrication process or that has an effect on the reticle design data printed on a wafer such as, but not limited to, a device design process and a reticle manufacturing process. The term “device design process” is generally defined herein as the process (which may include a number of sub-processes) that creates the reticle design data.
  • Although such an approach may be relatively simple and quick, as noted above, the performance of any particular wafer fabrication process may be dependent upon the wafer fabrication processes that are performed prior to the particular process. Therefore, in some embodiments, the methods described herein may be performed for a combination of individual processes that have some effect on the characteristics of the reticle design data printed on the wafer. For example, in one embodiment, the wafer fabrication process for which individual error budgets are determined as described herein includes a device design process, a reticle manufacturing process, and a lithography process. Therefore, in such an embodiment, the individual error budgets are determined for different parameters of the device design, reticle manufacturing, and lithography processes based on the overall error budget for the wafer fabrication process and simulated images that illustrate how the reticle design data will be printed in a resist material on the wafer at different values of the different parameters. Such an embodiment may be particularly suitable for instances when the overall error budget is defined by post-lithography characteristics of the reticle design data printed on the wafer or the performance of the lithography process is of interest.
  • As noted above, however, often, the overall error budget is defined by the characteristics of the reticle design data as printed in a device material post-etch. As such, in some embodiments, the wafer fabrication process for which individual error budgets are determined as described herein includes a device design process, a reticle manufacturing process, a lithography process, and an etch process. In this manner, in such embodiments, the individual error budgets are determined for different parameters of each of these processes based on the overall error budget for the wafer fabrication process and simulated images that illustrate how the reticle design data will be printed in a device material on the wafer at different values of the different parameters.
  • In some embodiments, the different parameters of the wafer fabrication process for which individual error budgets are determined in the method embodiments described herein include all parameters of the wafer fabrication process that can alter how the reticle design data will be printed on the wafer. For example, every parameter of the wafer fabrication process has some error associated with it, and how the individual error budgets for each of the parameters affect the reticle design data printed on a wafer can be determined as described herein. Therefore, the methods described herein are advantageous over other methods in which only a subset of parameters (e.g., stepper focus and exposure) are considered. In particular, the use of simulation to model the variations of reticle design data printability across stepper focus and exposure values is already proving its value. However, many more parameters can be varied by a simulation engine in addition to those described above. These other parameters can be included in the simulation either through a physical understanding (e.g., an empirical model based on scientific theory) of the effects of the parameters on how the reticle design data will be printed on a wafer or through calibration of the model using analysis results for actual wafers printed with a variety of reticle design data and for different values of the different parameters. In other words, all parameters of the wafer fabrication process that have at least some effect on how the reticle design data will be printed on a wafer and that can be included in a model that can be used for generating the simulated images can be evaluated as described herein.
  • Parameters that can impact the printability of reticle design data on wafers and that may be evaluated as described herein include, for example, resist parameter variations (errors) such as thickness, chemistry, processing, indices of refraction (n), and absorption (k), reticle parameter variations (errors) such as bias (including non-linear line-width-dependent bias), corner rounding, figure offset (which may be determined using bias), phase (for phase shifting masks), and attenuation (for attenuating phase shift masks), optical parameters such as aberrations (in addition to focus and exposure dose), and any combination thereof. A more extensive list of parameters that can impact the printability of reticle design data on wafers and that may be evaluated as described herein include the following list of parameters and the units in which they may be expressed taken from the PROLITH full physical model parameters. Additional information about the parameters listed herein can be found in literature related to the PROLITH family of products, which are commercially available from KLA-Tencor, or in literature relating to wafer fabrication processes (Carl: any specific reference (publicly available) that you want to mention here?).
  • Film Stack Parameters:
      • Layer 1:
        • Thickness (nm)
        • Absorption Parameter A (1/μm)
        • Absorption Parameter B (1 μm)
        • Rate Constant C (cm2/mJ)
        • Unexposed Refractive Index (real)
        • Exposed Refractive Index (real)
        • Refractive Index Change on Expose (real)
        • Refractive Index Substrate (real)
        • Refractive Index Substrate (Imaginary)
  • Resist Parameters:
      • Resist Type: (e.g., Positive Chemically Amplified)
      • Resist Material:
      • Developer:
      • Resist Thickness (nm)
      • Absorption Parameter A (1/μm)
      • Absorption Parameter B (1 μm)
      • Rate Constant C (cm2/mJ)
      • Unexposed Refractive Index (real)
      • Exposed Refractive Index (real)
      • Refractive Index Change on Expose (real)
      • Thermal Decomposition Activation Energy (kcal/mol)
      • Thermal Decomposition Ln(Ar) (1/sec)
      • PEB Acid Diffusivity Activation Energy (kcal/mol)
      • PEB Acid Diffusivity Ln(Ar) (nm2/sec)
      • PEB Base Diffusivity Activation Energy (kcal/mol)
      • PEB Base Diffusivity Ln(Ar) (nm2/sec)
      • Amplification Reaction Order
      • Amplification Reaction Activation Energy (kcal/mol)
      • Amplification Reaction Ln(Ar) (1/sec)
      • Diffusion-Controlled Reaction Activation Energy (kcal/mol)
      • Diffusion-Controlled Reaction Ln(Ar) (1/sec)
      • Acid Evaporation Activation Energy (kcal/mol)
      • Acid Evaporation Ln(Ar) (1/sec)
      • Bulk Acid Loss Activation Energy (kcal/mol)
      • Bulk Acid Loss Ln(Ar) (1/sec)
      • Relative Quencher Concentration
      • Room Temperature Diffusion Length (nm)
      • Acid Diffusivity Variation
      • Reacted/Unreacted Acid Diffusivity Ratio
      • Exponential Acid Diffusivity Factor
      • Base Diffusivity Variation
      • Development Rmax (nm/s)
      • Development Rmin (nm/s)
      • Development Rresin (nm/s)
      • Development n
      • Development I
      • Relative Surface Rate
      • Inhibition Depth (nm)
  • Coat and Prebake
      • Prebake time (sec)
      • Prebake Temperature (° C.)
  • Imaging Tool
      • Source Shape:
      • Illumination Spectrum:
      • Pupil Filter:
      • Aberrations:
      • Illumination Polarization:
      • Immersion Enabled:
      • Wavelength (nm)
      • Wavelength Range (nm)
      • Numerical Aperture
      • Reduction Ratio
      • Flare
      • Annular Inner Sigma
      • Annular Outer Sigma
  • Exposure and Focus
      • Exposure (mJ/cm2) (e.g., 46)
      • Focus (μm) (e.g., 0)
  • Post Exposure Bake
      • PEB Time (sec)
      • PEB Temperature (° C.)
      • Contaminant Surface Concentration
      • Contaminant Diffusion Length (nm)
  • Development
      • Develop Time (sec)
  • Etch
      • Number of Etch Stages
      • Stage 1
        • Etch Time (sec)
        • Ion Spread (deg.)
        • Horizontal Rate (nm/sec)
        • Vertical Rate (nm/sec)
        • Horizontal Rate (nm/sec)
        • Vertical Rate (nm/sec)
        • Faceting
          The above list of parameters is not meant to be a complete list of all possible parameters that can be evaluated in the methods described herein. For example, different parameters and different sets of parameters that can be evaluated in the methods described herein include any other parameters included in any theoretical models of the wafer fabrication process known in the art or other models included in other commercially available simulation products known in the art.
  • Furthermore, although the above list includes parameters of a lithography process and an etch process, as described above, the wafer fabrication process that is created by the method embodiments described herein may include a device design process and a reticle manufacturing process. Therefore, the parameters for which individual error budgets are determined in the method embodiments described herein may include any parameters of the device design process and/or the reticle manufacturing process. However, instead of determining individual error budgets for the device design process and the reticle manufacturing process, the characteristics of the reticle used to generate the simulated images may be selected arbitrarily or based upon expected characteristics of the reticle. Examples of such characteristics are listed above as reticle parameter variations (errors). Therefore, in some embodiments, the different parameters for which individual error budgets are determined include different characteristics of the reticle design data.
  • The method embodiments described herein may also include determining individual error budgets for all of the different parameters listed above or only some of the parameters listed above. For example, some of the values of the parameters such as resist type and resist material may be fixed in the steps described herein. However, the method embodiments described herein can be used to create a wafer fabrication process by determining individual error budgets for a relatively large number of different parameters of the wafer fabrication process, particularly in comparison to the number of different parameters of the process that can reasonably be evaluated experimentally. In addition, the method embodiments described herein can determine individual error budgets for a relatively large number of different parameters of the wafer fabrication process substantially quicker and at substantially lower cost compared to experimental evaluation of the same number of different parameters.
  • The method embodiments described herein also include creating the wafer fabrication process based on the overall error budget and the individual error budgets. In one embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and the individual error budgets. For example, based on the overall error budget and the individual error budgets, for a parameter of the wafer fabrication process such as temperature of the PEB step, the method may include selecting an operating set point of 130° C. and a level of control of +/−0.5° C. Obviously, these are merely examples of an operating set point and a level of control for one parameter of a wafer fabrication process, and the selected operating set points and levels of control will vary depending on the overall error budget and the individual error budgets.
  • Individual error budgets of parameters of the wafer fabrication process that are relatively independent of each other generally add in quadrature. Therefore, the operating set points and levels of control for independent parameters of the wafer fabrication process may be selected based on the individual error budget values of the independent parameters that add in quadrature to an overall error budget value that is less than or equal to the predetermined overall error budget. In this manner, the individual error budget values used to create the wafer fabrication process may be less than or different than the full individual error budget values determined as described above. The operating set points and levels of control may be selected based on these individual error budget values. For instance, the median values of the parameters corresponding to these individual error budget values may be selected as the operating set points for the parameters, and the range of the values on either side of the median value within the independent error budget values may be used to select the level of control for the parameters.
  • In some embodiments, creating the wafer fabrication process includes modifying predetermined (i.e., pre-selected or initial) operating set points for the different parameters based on the overall error budget and the individual error budgets. In this manner, the methods described herein may modify nominal set points of some parameters to make the design more robust based on the analysis. For example, the method may determine from the error budget analysis that the interaction between two parameters makes a nominal set point close to one edge of the process window. The interaction may be, for example, the interaction of exposure and mask bias that leads to CDs that are larger than the desired value. To create a more robust process, the method can modify the set point of one (or both) of the parameters to better center the nominal condition or operating set point within the process window. For example, the mask bias or the exposure set point may be decreased in the previous example.
  • In another embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget and how variations in the individual error budgets affect how the reticle design data will be printed on the wafer. In other words, the wafer fabrication process may be created based on how the individual error budgets of each of the different parameters impact the overall error budget or performance of the wafer fabrication process. For example, relatively small errors in some parameters of a wafer fabrication process may cause relatively dramatic changes in how the reticle design data will be printed on a wafer, which may indicate that relatively tight control of such parameters is relatively important to successful printing of the reticle design data on wafers. In one particular example, the mask error enhancement factor (MEEF) can be generally defined as the ratio of the wafer error to the reticle error. In this manner, if there is a region of high MEEF in the design, then a small error on the reticle can translate into a large error on the printed wafer. Therefore, regions of high MEEF in the design can be determined from the simulated images as described above. For instance, the rate of change in one or more characteristics of the reticle design data in the simulated images may be determined as a function of the rate of change in a parameter of the wafer fabrication process. Such information may be used to determine how the individual error budgets will affect the reticle design data printed on a wafer and to select how tightly the parameters should be controlled.
  • In another example, one or more characteristics of the reticle design data in the simulated images may be determined to change much more quickly at the outer limits of the individual error budgets. For instance, at the outer limits of an individual error budget for a parameter such as focus of an exposure step of a lithography process, the dimensions and/or shape of the reticle design data in the simulated images may change relatively rapidly across a relatively small range of values of focus compared to the change in those characteristics across values of focus located more centrally within the individual error budget. In one such instance, to avoid the potentially large variations in the reticle design data printed on a wafer if the focus is allowed to drift across the entire range of focus values that fall within the full individual error budget, the level of control selected for the focus may be smaller than that which corresponds to the full individual error budget determined for focus such that the focus is more tightly controlled. In this manner, the characteristic(s) of the reticle design data may be more uniform from wafer-to-wafer.
  • Using the full range of parameters that can impact the printing of reticle design data on a wafer allows the full individual error budget for each of the parameters to be determined by considering their mutual interaction. For example, in one embodiment, the individual error budget for one of the different parameters is determined as a function of the individual error budget for another of the different parameters. In this manner, the function may describe the tradeoff in the individual error budgets for these parameters. In such an embodiment, parameters of the wafer fabrication process that have an interrelated effect on how the reticle design data will be printed on the wafer may be analyzed collectively as described above, and the results of the analysis may be a function describing how the individual error budgets for two or more parameters affect the overall error budget.
  • These individual error budgets, possibly in combination with one or more functions describing the interrelated effects of the individual error budgets on the overall error budget, can then be used to determine the optimal tradeoff between operating points and levels of control for different parameters of the wafer fabrication process, which can be used to create a wafer fabrication process that can achieve good (or at least acceptable) wafer print results. For instance, in one embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for at least two of the different parameters based on the overall error budget and a function describing an interrelated effect of the individual error budgets for the at least two of the different parameters on how the reticle design data will be printed on the wafer. In other words, the function may describe a tradeoff between the individual error budgets for two or more parameters of the wafer fabrication process. In this manner, to the extent that tradeoffs can be made between different parameters of the wafer fabrication process, an understanding of those tradeoffs can obtained as described herein and used to make the most efficient process development decisions. Those decisions can be made manually, semi-automatically (e.g., user-assisted by the computer-implemented method embodiments described herein), or automatically by the computer-implemented methods described herein.
  • In one such example, which takes advantage of the use of a broader range of parameters as described herein, the bias term in a reticle manufacturing process can lead to features of the reticle design data being formed on the reticle with a width that is larger than desired. This reticle bias parameter, as well as the stepper dose, can have a direct impact on the width of the features that print on the wafer. Since these parameters interact, their individual error budgets are related. For example, if the dose is more carefully controlled, control of the reticle bias and CD specifications may be relatively relaxed.
  • In an additional embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and controllability of the different parameters. For example, some parameters of the wafer fabrication process may be more easy to control than others. In one such example, the focus of a lithography process may be more difficult to control than the dose of the lithography process due to relatively larger changes in the focus over time. Therefore, when selecting the operating set points and the levels of control for one or more parameters of the wafer fabrication process, tighter levels of control may be selected for parameters that are easier to control (or parameters that have errors that are easier to fix), and more relaxed levels of control may be selected for parameters that are more difficult to control. In this manner, the wafer fabrication process may require less monitoring since relatively small drifts in the “difficult to control” parameters will not cause these parameters to be outside of their selected control levels and will not cause the overall process to exceed the overall error budget. The controllability of the different parameters may be determined based on prior knowledge about the parameters or experimental results. The methods described herein can automatically or semi-automatically create the wafer fabrication process using the controllability of the different parameters, for example, as a variable in an algorithm, as a weighting factor in the various decisions involved in the process creation, or in rules used to select the operating set points and levels of control for the different parameters.
  • In a similar manner, the wafer fabrication process can be created taking into account the cost of implementing various levels of control for various parameters of the wafer fabrication process. For example, in one embodiment, creating the wafer fabrication process includes selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and cost of implementing the levels of control. In one such example, a parameter that is known (e.g., based on prior knowledge or experimental results) to drift significantly over time may require relatively frequent monitoring if a relatively tight level of control is selected for the parameter. Such frequent monitoring of the parameter results in increased costs to perform the monitoring, loss in production time and profits due to the monitoring, and possibly loss in yield if drifts in the parameter are undetected during production. Therefore, the tradeoff between the level of control selected for such a parameter and cost may be used in the methods described herein to select the operating set points and levels of control for the different parameters of the wafer fabrication process. The methods described herein can automatically or semi-automatically create the wafer fabrication process using the cost associated with different levels of control for different parameters, for example, as a variable in an algorithm, as a weighting factor in the various decisions involved in the process creation, or in rules used to select the operating set points and levels of control for the different parameters.
  • As described above, therefore, the embodiments described herein can be used to examine a relatively broad range of parameters of a wafer fabrication process. The use of such a range of parameters in the embodiments described herein allows for the development of full individual error budgets for the parameters for which appropriate tradeoffs can be made for optimal efficiency. The embodiments described herein, therefore, have a number of advantages over other methods and systems for creating a wafer fabrication process. For instance, the embodiments described herein can be used to make appropriate tradeoffs between the individual error budgets for the various parameters of a wafer fabrication process in a least-cost method. In particular, the methods described herein can be performed without fabricating a reticle, without fabricating wafers with the reticle, and without analysis of the wafers by metrology and/or inspection. Although such experimentation can be used to determine how the various parameters interact to yield properly printed reticle design data, this experimentation is expensive. In addition, such experimentation is significantly time consuming.
  • In comparison, since the embodiments described herein use simulation to generate images that illustrate how the reticle design data will be printed on a wafer at different values of one or more, and possibly a relatively large number of, different parameters of the wafer fabrication process that can be analyzed by image and/or data processing (e.g., by a processor as described herein), the embodiments described herein provide fast, inexpensive, and efficient methods for evaluating or studying the effects of individual error budgets of each of the different parameters on the printing of the reticle design data on a wafer and for creating a wafer fabrication process. Since the methods are much quicker than those involving the experimentation described above, the time-to-market for devices produced by wafer fabrication processes created as described herein will be quicker.
  • Furthermore, as lithography and wafer fabrication processes in general become more challenging, more parameters of the wafer fabrication process will need to be controlled to properly yield wafers. The method embodiments described herein can be used to meet these needs since not only the primary parameters such as dose and focus but all relevant parameters of the wafer fabrication processes can be evaluated. In addition, the method embodiments described herein allow lithography engineers to more optimally determine the individual error budgets of the various parameters that affect the printing of reticle design data on wafers. With an understanding of the individual error budget tradeoffs, the most effective process choices can be made.
  • The individual error budget tradeoffs that can be determined using the method embodiments described herein can also be used to drive design choices that will result in larger overall error budgets. For example, in one embodiment, the different parameters for which individual error budgets are determined in the methods described herein include different characteristics of the reticle design data. In one such embodiment, the method includes creating a design process for the reticle design data based on the overall error budget and the individual error budgets for the different parameters. In particular, the methods described herein can be used to determine the tradeoffs between the different parameters of a wafer fabrication process from the device design process through the etch process (and possibly processes performed subsequent to etch). Based on these tradeoffs, the design process (e.g., rules used in the design process) may be altered, for example, to improve the manufacturability of other device designs created by the design process. In this manner, using a design process created as described herein, device designs can be created that are potentially easier and less costly to manufacture by requiring fewer, more controllable, or less expensive tradeoffs in individual error budgets for the parameters of the wafer fabrication process.
  • In another such embodiment, the method includes altering the reticle design data based on the overall error budget and the individual error budgets for the different parameters. In other words, the embodiments described herein are not limited to making decisions about process parameters. Instead, the embodiments described herein may involve decision making about process parameters of the wafer fabrication process in addition to decision making about the characteristics of the reticle design data. For example, the methods described herein may be used to alter the reticle design data in a feedback manner based on the evaluation of the different parameters of the wafer fabrication process and the tradeoffs in the individual error budgets for the different parameters made to create the wafer fabrication process. In this manner, the most efficient process and design choices can be made to optimize the yield of the wafer fabrication process in a relatively fast, inexpensive, and efficient manner.
  • Another embodiment relates to a processor that is configured to perform a method for creating a wafer fabrication process. The method includes determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters. Determining the individual error budgets may be performed by the processor according to any of the embodiments described further herein. The method also includes creating the wafer fabrication process based on the overall error budget and the individual error budgets. Creating the wafer fabrication process may be performed by the processor according to any of the embodiments described further herein. The method that the processor is configured to perform may include any other step(s) described herein. The processor may be further configured as described herein. The processor has all of the advantages of the method embodiments described herein.
  • One embodiment of a processor configured as described above is illustrated in FIG. 13. In particular, processor 236 is included in system 238, which also includes simulation engine 240. System 238 is configured to create a wafer fabrication process. Simulation engine 240 is configured to generate simulated images illustrating how reticle design data will be printed on a wafer at different values of different parameters of the wafer fabrication process. Simulation engine 240 may be configured to generate the simulated images according to any embodiments described herein. In addition, the simulation engine may be configured to generate any other simulated images described herein. Simulation engine 240 may be further configured as described herein.
  • Processor 236 is configured to determine individual error budgets for the different parameters based on an overall error budget for the wafer fabrication process and the simulated images generated by simulation engine 240. Therefore, processor 236 may be coupled to simulation engine 240 (e.g., via a transmission medium or data link) such that processor 236 can receive the simulated images from the simulation engine. Although processor 236 and simulation engine 240 may appear to be physically located in proximity to each other within system 238 in FIG. 13, it is to be understood that the processor and the simulation engine may be housed physically separately and possibly in remote locations. In such instances, the processor and the simulation engine may be coupled by a transmission medium that includes “wired” and/or “wireless” portions. The transmission medium may include any suitable transmission medium known in the art.
  • Processor 236 may be configured to determine the individual error budgets according to any of the embodiments described herein. Processor 236 is also configured to create the wafer fabrication process based on the overall error budget and the individual error budgets. The processor may be configured to create the wafer fabrication process according to any of the embodiments described herein. The processor may be configured to perform any other step(s) of any other embodiments described herein. The system may be further configured as described herein. In addition, the system has all of the advantages of the method embodiments described herein.
  • Further modifications and alternative embodiments of various aspects of the invention may be apparent to those skilled in the art in view of this description. For example, computer-implemented methods and methods for detecting defects in reticle design data are provided. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims.

Claims (21)

1. A computer-implemented method for creating a wafer fabrication process, comprising:
determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters; and
creating the wafer fabrication process based on the overall error budget and the individual error budgets.
2. The method of claim 1, wherein the wafer fabrication process comprises a lithography process.
3. The method of claim 1, wherein the wafer fabrication process comprises an etch process.
4. The method of claim 1, wherein the wafer fabrication process comprises a device design process, a reticle manufacturing process, and a lithography process.
5. The method of claim 1, wherein the wafer fabrication process comprises a device design process, a reticle manufacturing process, a lithography process, and an etch process.
6. The method of claim 1, wherein said creating comprises selecting operating set points and levels of control for the different parameters based on the overall error budget and the individual error budgets.
7. The method of claim 1, wherein said creating comprises modifying predetermined operating set points for the different parameters based on the overall error budget and the individual error budgets.
8. The method of claim 1, wherein said creating comprises selecting operating set points and levels of control for the different parameters based on the overall error budget and how variations in the individual error budgets affect how the reticle design data will be printed on the wafer.
9. The method of claim 1, wherein the individual error budget for one of the different parameters is determined as a function of the individual error budget for another of the different parameters.
10. The method of claim 1, wherein said creating comprises selecting operating set points and levels of control for at least two of the different parameters based on the overall error budget and a function describing an interrelated effect of the individual error budgets for the at least two of the different parameters on how the reticle design data will be printed on the wafer.
11. The method of claim 1, wherein said creating comprises selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and controllability of the different parameters.
12. The method of claim 1, wherein said creating comprises selecting operating set points and levels of control for the different parameters based on the overall error budget, the individual error budgets, and cost of implementing the levels of control.
13. The method of claim 1, wherein the different parameters comprise all parameters of the wafer fabrication process that can alter how the reticle design data will be printed on the wafer.
14. The method of claim 1, further comprising generating the simulated images by generating a first simulated image illustrating how the reticle design data will be printed on a reticle using a reticle manufacturing process and generating the simulated images using the first simulated image.
15. The method of claim 1, wherein the different values span a predetermined process window for the different parameters.
16. The method of claim 1, further comprising detecting defects in the simulated images, wherein said determining comprises determining the individual error budgets based on the overall error budget and the defects in the simulated images.
17. The method of claim 1, wherein the different parameters comprise different characteristics of the reticle design data.
18. The method of claim 17, further comprising creating a design process for the reticle design data based on the overall error budget and the individual error budgets for the different parameters.
19. The method of claim 17, further comprising altering the reticle design data based on the overall error budget and the individual error budgets for the different parameters.
20. A processor configured to perform a method for creating a wafer fabrication process, wherein the method comprises:
determining individual error budgets for different parameters of the wafer fabrication process based on an overall error budget for the wafer fabrication process and simulated images that illustrate how reticle design data will be printed on a wafer at different values of the different parameters; and
creating the wafer fabrication process based on the overall error budget and the individual error budgets.
21. A system configured to create a wafer fabrication process, comprising:
a simulation engine configured to generate simulated images illustrating how reticle design data will be printed on a wafer at different values of different parameters of the wafer fabrication process; and
a processor configured to determine individual error budgets for the different parameters based on an overall error budget for the wafer fabrication process and the simulated images and to create the wafer fabrication process based on the overall error budget and the individual error budgets.
US11/374,710 2004-01-29 2006-03-14 Computer-implemented methods, processors, and systems for creating a wafer fabrication process Abandoned US20060161452A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/374,710 US20060161452A1 (en) 2004-01-29 2006-03-14 Computer-implemented methods, processors, and systems for creating a wafer fabrication process

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US54003104P 2004-01-29 2004-01-29
US11/048,630 US7646906B2 (en) 2004-01-29 2005-01-31 Computer-implemented methods for detecting defects in reticle design data
US11/374,710 US20060161452A1 (en) 2004-01-29 2006-03-14 Computer-implemented methods, processors, and systems for creating a wafer fabrication process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/048,630 Continuation-In-Part US7646906B2 (en) 2004-01-29 2005-01-31 Computer-implemented methods for detecting defects in reticle design data

Publications (1)

Publication Number Publication Date
US20060161452A1 true US20060161452A1 (en) 2006-07-20

Family

ID=34826173

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/048,630 Active 2028-11-01 US7646906B2 (en) 2004-01-29 2005-01-31 Computer-implemented methods for detecting defects in reticle design data
US11/374,710 Abandoned US20060161452A1 (en) 2004-01-29 2006-03-14 Computer-implemented methods, processors, and systems for creating a wafer fabrication process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/048,630 Active 2028-11-01 US7646906B2 (en) 2004-01-29 2005-01-31 Computer-implemented methods for detecting defects in reticle design data

Country Status (5)

Country Link
US (2) US7646906B2 (en)
JP (1) JP4758358B2 (en)
KR (1) KR101056142B1 (en)
CN (1) CN1910516B (en)
WO (1) WO2005073807A1 (en)

Cited By (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060069958A1 (en) * 2004-05-09 2006-03-30 Sawicki Joseph D Defect location identification for microdevice manufacturing and test
US20060101370A1 (en) * 2004-11-05 2006-05-11 International Business Machines Corporation Method for improving optical proximity correction
US20060281016A1 (en) * 2005-06-10 2006-12-14 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US20080040353A1 (en) * 2006-08-10 2008-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of manufacturing management
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US20080250384A1 (en) * 2006-12-19 2008-10-09 Brian Duffy Systems and methods for creating inspection recipes
US20080270969A1 (en) * 2007-04-30 2008-10-30 United Microelectronics Corp. Method for correcting photomask pattern
US20080294280A1 (en) * 2006-01-30 2008-11-27 Nikon Corporation Processing condition determining method and apparatus, display method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, substrate processing system, and program and information recording medium
US20090044167A1 (en) * 2007-08-06 2009-02-12 Shimon Maeda Process-model generation method, computer program product, and pattern correction method
US7493590B1 (en) 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
US20090132992A1 (en) * 2007-11-21 2009-05-21 Chartered Semiconductor Manufacturing, Ltd. Statistical optical proximity correction
US20090235214A1 (en) * 2008-03-11 2009-09-17 Baker Faye D Variable Performance Ranking and Modification in Design for Manufacturability of Circuits
US20090276736A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Test Pattern Based Process Model Calibration
US7646906B2 (en) 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7689966B2 (en) 2004-09-14 2010-03-30 Kla-Tencor Technologies Corp. Methods, systems, and carrier media for evaluating reticle layout data
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US20100115475A1 (en) * 2008-10-30 2010-05-06 Buonpane Michael S Integrated Circuit Performance Enhancement Using On-Chip Adaptive Voltage Scaling
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US20100161099A1 (en) * 2008-12-24 2010-06-24 Asml Netherlands B.V. Optimization Method and a Lithographic Cell
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
WO2010104774A2 (en) * 2009-03-13 2010-09-16 Synopsys, Inc. Execution monitor for electronic design automation
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7975245B2 (en) 2007-08-20 2011-07-05 Kla-Tencor Corp. Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US20120079442A1 (en) * 2010-09-27 2012-03-29 Teseda Corporation Correlation of device manufacturing defect data with device electrical test data
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US20120314195A1 (en) * 2011-06-07 2012-12-13 Chun-Wei Wu Method for determining illumination source with optimized depth of focus
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
US8605275B2 (en) * 2009-01-26 2013-12-10 Kla-Tencor Corp. Detecting defects on a wafer
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
US20140047397A1 (en) * 2008-06-03 2014-02-13 Asml Netherlands B.V. Lens heating compensation systems and methods
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8713511B1 (en) * 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8745547B1 (en) 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8849440B2 (en) 2012-05-31 2014-09-30 International Business Machines Corporation Manufacturing control based on a final design structure incorporating both layout and client-specific manufacturing information
US8869077B1 (en) * 2013-05-23 2014-10-21 Globalfoundries Inc. Selection of replacement patterns for reducing manufacturing hotspots and constraint violations of IC designs
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US8923600B2 (en) 2005-11-18 2014-12-30 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8924906B2 (en) * 2006-09-22 2014-12-30 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US20150005917A1 (en) * 2012-01-13 2015-01-01 Kla-Tencor Corporation Method and apparatus for database-assisted requalification reticle inspection
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9008410B2 (en) 2013-03-13 2015-04-14 Kla-Tencor Corporation Single die inspection on a dark field inspection tool
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9064078B2 (en) * 2013-07-30 2015-06-23 Globalfoundries Inc. Methods and systems for designing and manufacturing optical lithography masks
US20150199803A1 (en) * 2014-01-16 2015-07-16 Kla-Tencor Corporation Pattern Failure Discovery by Leveraging Nominal Characteristics of Alternating Failure Modes
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US20160140287A1 (en) * 2013-06-25 2016-05-19 Hitachi High-Technologies Corporation Template Creation Device for Sample Observation Device, and Sample Observation Device
US20160147934A1 (en) * 2013-06-21 2016-05-26 California Institute Of Technology Determination of electronic circuit robustness
US20160162626A1 (en) * 2014-12-01 2016-06-09 Globalfoundries Inc. Lithography process window prediction based on design data
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US9710903B2 (en) 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9880107B2 (en) 2009-01-26 2018-01-30 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US10216890B2 (en) * 2004-04-21 2019-02-26 Iym Technologies Llc Integrated circuits having in-situ constraints
US20190129297A1 (en) * 2017-10-31 2019-05-02 Samsung Electronics Co, Ltd Methods of forming semiconductors using etching effect predictions and methods for determining input parameters for semiconductor formation
US20190271918A1 (en) * 2018-03-01 2019-09-05 Globalfoundries Inc. Self-aligned quadruple patterning pitch walking solution

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001331784A (en) * 2000-05-18 2001-11-30 Hitachi Ltd Defect sorting method and its device
US7260442B2 (en) * 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7788629B2 (en) * 2004-07-21 2010-08-31 Kla-Tencor Technologies Corp. Systems configured to perform a non-contact method for determining a property of a specimen
JP2006039059A (en) * 2004-07-23 2006-02-09 Toshiba Corp Method for producing photomask data and method for manufacturing photomask
US7459248B2 (en) * 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US7475382B2 (en) * 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
JP2006235327A (en) * 2005-02-25 2006-09-07 Toshiba Corp Method for generating mask pattern data/mask inspection data, and method for manufacturing/inspecting photomask
US7315999B2 (en) * 2005-03-17 2008-01-01 Synopsys, Inc. Method and apparatus for identifying assist feature placement problems
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7300729B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for monitoring a reticle
US7300725B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for determining and correcting reticle variations
US7303842B2 (en) * 2005-04-13 2007-12-04 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
US7445945B1 (en) * 2005-08-01 2008-11-04 Advanced Micro Devices, Inc. Method and apparatus for dynamic adjustment of a sampling plan based on wafer electrical test data
US20070044063A1 (en) * 2005-08-22 2007-02-22 Faour Fouad A Method for estimating voltage droop on an ASIC
JP2007071678A (en) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp Inspection system
JP4643401B2 (en) * 2005-09-07 2011-03-02 株式会社東芝 Test pattern creation method, test pattern creation program, mask production method, and semiconductor device manufacturing method
KR100982135B1 (en) * 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. System and method for mask verification using an individual mask error model
US20070059849A1 (en) * 2005-09-12 2007-03-15 Interuniversitair Microelktronica Centrum (Imec) Method and system for BARC optimization for high numerical aperture applications
US7781349B2 (en) * 2005-09-12 2010-08-24 Imec Method and system for optimizing a BARC stack
US7824827B2 (en) * 2005-09-12 2010-11-02 Imec Method and system for improved lithographic processing
JP4738114B2 (en) * 2005-09-16 2011-08-03 株式会社東芝 Mask defect inspection method
ATE467149T1 (en) * 2005-10-03 2010-05-15 Imec ALTERNATE PHASE MASK
US7962868B2 (en) 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
JP4976681B2 (en) * 2005-10-31 2012-07-18 株式会社東芝 Pattern shape evaluation method and pattern shape evaluation program
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
WO2007093193A1 (en) * 2006-02-16 2007-08-23 Freescale Semiconductor, Inc. Method of detecting defects in an integrated circuit
US7643976B2 (en) * 2006-02-28 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for identifying lens aberration sensitive patterns in an integrated circuit chip
KR100735535B1 (en) * 2006-07-10 2007-07-04 삼성전자주식회사 Manufacturing method of mask
US7849423B1 (en) * 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
WO2008020265A1 (en) * 2006-08-16 2008-02-21 Koninklijke Philips Electronics N.V. Method and apparatus for designing an integrated circuit
US8644588B2 (en) 2006-09-20 2014-02-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
US7904845B2 (en) * 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US8090189B1 (en) * 2007-03-05 2012-01-03 Kla-Tencor Corporation Detection of thin line for selective sensitivity during reticle inspection
US7564545B2 (en) * 2007-03-15 2009-07-21 Kla-Tencor Technologies Corp. Inspection methods and systems for lithographic masks
JP2008258361A (en) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd Semiconductor device manufacturing method
KR100881194B1 (en) 2007-05-16 2009-02-05 삼성전자주식회사 Method of inspecting mask using aerial image inspection equipment
US8230371B2 (en) * 2007-05-23 2012-07-24 Nxp B.V. Process-window aware detection and correction of lithographic printing issues at mask level
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
CN102566254B (en) 2007-06-04 2014-02-26 Asml荷兰有限公司 Methods for arranging sub-resolution auxiliary feature in mask layout and method for determining one or more positions of features
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US7966586B2 (en) * 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
US7904853B1 (en) 2007-12-27 2011-03-08 Cadence Design Systems, Inc. Pattern signature
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
US8379229B2 (en) * 2008-02-14 2013-02-19 Seiko Epson Corporation Simulation of a printed dot-pattern bitmap
JP4554691B2 (en) * 2008-02-25 2010-09-29 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Correction pattern image generation apparatus, pattern inspection apparatus, and correction pattern image generation method
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
KR100935733B1 (en) * 2008-06-20 2010-01-08 주식회사 하이닉스반도체 Method for correction of layout with change section of pitch
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
JP2010112766A (en) * 2008-11-04 2010-05-20 Nuflare Technology Inc Mask inspection system and mask inspection method
NL2003718A (en) 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
CN101866373B (en) * 2009-04-15 2015-12-09 新思科技有限公司 For the execution monitoring device of electric design automation
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
CN102193303B (en) * 2010-03-05 2013-07-17 中芯国际集成电路制造(上海)有限公司 Optical proximity correction method
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8429570B2 (en) 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8495527B2 (en) 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
WO2012080008A2 (en) * 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US8312401B2 (en) * 2011-01-13 2012-11-13 Elitetech Technology Co., Ltd. Method for smart defect screen and sample
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
CN102683165B (en) * 2011-03-18 2015-03-25 敖翔科技股份有限公司 Intelligent defect screening and sampling method
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8953869B2 (en) * 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US8965102B2 (en) * 2012-11-09 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for defect analysis of a substrate
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9448343B2 (en) 2013-03-15 2016-09-20 Kla-Tencor Corporation Segmented mirror apparatus for imaging and method of using the same
CN103345124B (en) * 2013-06-27 2016-08-10 上海华力微电子有限公司 A kind of method that accurate and quantitative defects detection confirms lithographic process window
US9612541B2 (en) * 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9518932B2 (en) 2013-11-06 2016-12-13 Kla-Tencor Corp. Metrology optimized inspection
US20150161320A1 (en) * 2013-12-09 2015-06-11 Spansion Inc. Scattering bar optimization apparatus and method
CN105980934B (en) 2014-02-12 2018-10-09 Asml荷兰有限公司 The optimization method of processing window
US20150286763A1 (en) * 2014-04-02 2015-10-08 Globalfoundries Inc. Pattern matching for predicting defect limited yield
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
JP6307367B2 (en) * 2014-06-26 2018-04-04 株式会社ニューフレアテクノロジー Mask inspection apparatus, mask evaluation method and mask evaluation system
KR102021450B1 (en) 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. Process window identifier
JP6533062B2 (en) * 2015-01-19 2019-06-19 株式会社ニューフレアテクノロジー Pattern inspection method
US10012599B2 (en) 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
EP3210189B1 (en) * 2015-08-10 2022-03-23 KLA - Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9875534B2 (en) * 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
KR20180072768A (en) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. Apparatus and method for correcting patterning process errors
KR102575073B1 (en) 2016-04-12 2023-09-06 삼성전자주식회사 Method for verifying mask data
CN106092872B (en) * 2016-06-06 2018-08-03 深圳市公路交通工程试验检测中心 A kind of detection method of hot melt type gage mark antifouling property
CA3031397A1 (en) 2016-07-22 2018-01-25 Lynx Inspection Inc. Inspection method for a manufactured article and system for performing same
CN113253578A (en) 2016-10-26 2021-08-13 Asml荷兰有限公司 Method for optimization of a lithographic process
US10191112B2 (en) 2016-11-18 2019-01-29 Globalfoundries Inc. Early development of a database of fail signatures for systematic defects in integrated circuit (IC) chips
TWI755453B (en) * 2017-05-18 2022-02-21 美商克萊譚克公司 Method and system for qualifying a photolithographic reticle
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
CN110741374A (en) * 2017-06-06 2020-01-31 科磊股份有限公司 Photomask optimization algorithm and optimal target design
US11341382B1 (en) 2021-04-01 2022-05-24 Ricoh Company, Ltd. Evaluation of print verification system

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) * 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) * 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US4015203A (en) * 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) * 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4578810A (en) * 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4641353A (en) * 1983-09-16 1987-02-03 Fujitsu Limited Inspection method and apparatus for a mask pattern used in semiconductor device fabrication
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4734721A (en) * 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4799175A (en) * 1984-06-12 1989-01-17 Dainippon Screen Mfg., Co. System for inspecting pattern defects of printed wiring boards
US4805123A (en) * 1986-07-14 1989-02-14 Kla Instruments Corporation Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4817123A (en) * 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4928313A (en) * 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5481624A (en) * 1992-04-27 1996-01-02 Mitsubishi Denki Kabushiki Kaisha Mask inspecting method and mask detector
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5608538A (en) * 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5621519A (en) * 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5737072A (en) * 1991-08-22 1998-04-07 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5742658A (en) * 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US5754678A (en) * 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
US5866806A (en) * 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5874733A (en) * 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US5884242A (en) * 1996-04-11 1999-03-16 Micron Technology, Inc. Focus spot detection method and system
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6011404A (en) * 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6014461A (en) * 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US6052478A (en) * 1991-08-22 2000-04-18 Kla-Tencor Corporation Automated photomask inspection apparatus
US6060709A (en) * 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6171737B1 (en) * 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6184929B1 (en) * 1990-12-11 2001-02-06 Fuji Xerox Co., Ltd. Solid state imaging device and image read apparatus with polygonal photosensitive pixels
US6184976B1 (en) * 1996-10-10 2001-02-06 Samsung Electronics Co., Ltd. Apparatus and method for measuring an aerial image using transmitted light and reflected light
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6202029B1 (en) * 1997-04-23 2001-03-13 Roger L. Verkuil Non-contact electrical conduction measurement for insulating films
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6205239B1 (en) * 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6224638B1 (en) * 1996-10-21 2001-05-01 Applied Materials, Inc. Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot
US6233719B1 (en) * 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6344640B1 (en) * 1993-03-01 2002-02-05 Geoffrey B. Rhoads Method for wide field distortion-compensated imaging
US20020019729A1 (en) * 1997-09-17 2002-02-14 Numerical Technologies, Inc. Visual inspection and verification system
US20020026626A1 (en) * 2000-08-24 2002-02-28 Randall John N. Optical proximity correction
US20020035641A1 (en) * 1999-07-02 2002-03-21 Yoshitoshi Kurose Service allocating device
US20020035461A1 (en) * 1997-09-17 2002-03-21 Numerical Technologies, Inc. Visual analysis and verification system using advanced tools
US20020033449A1 (en) * 2000-06-27 2002-03-21 Mamoru Nakasuji Inspection system by charged particle beam and method of manufacturing devices using the system
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US20030022401A1 (en) * 2001-07-24 2003-01-30 Hitachi, Ltd. Semiconductor device inspection method
US20030033046A1 (en) * 2001-07-17 2003-02-13 Hitachi, Ltd. Method and system for manufacturing semiconductor devices
US20030048458A1 (en) * 2001-06-26 2003-03-13 Walter Mieher Method for determining lithographic focus and exposure
US20030048939A1 (en) * 1999-05-18 2003-03-13 Applied Materials, Inc. Method of and apparatus for inspection of articles by comparison with a master
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
US20030057971A1 (en) * 2001-09-27 2003-03-27 Hidetoshi Nishiyama Inspection method using a charged particle beam and inspection device based thereon
US20030086081A1 (en) * 1998-09-17 2003-05-08 Applied Materials, Inc. Reticle design inspection system
US6569691B1 (en) * 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
US20030098805A1 (en) * 1999-11-29 2003-05-29 Bizjak Karl M. Input level adjust system and method
US6680621B2 (en) * 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
US20040030430A1 (en) * 2002-06-27 2004-02-12 Ryoichi Matsuoka Waferless metrology recipe generator and generating method
US20040032908A1 (en) * 2001-09-12 2004-02-19 Makoto Hagai Image coding method and image decoding method
US6701004B1 (en) * 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US20040052411A1 (en) * 2002-09-13 2004-03-18 Numerical Technologies, Inc. Soft defect printability simulation and analysis for masks
US6718526B1 (en) * 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US6721695B1 (en) * 2000-03-24 2004-04-13 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US20040091142A1 (en) * 2002-07-15 2004-05-13 Peterson Ingrid B. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US20040098216A1 (en) * 2002-11-04 2004-05-20 Jun Ye Method and apparatus for monitoring integrated circuit fabrication
US6842225B1 (en) * 1999-05-07 2005-01-11 Nikon Corporation Exposure apparatus, microdevice, photomask, method of exposure, and method of production of device
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6884984B2 (en) * 2002-06-07 2005-04-26 Brion Technologies, Inc. System and method for lithography process monitoring and control
US6983060B1 (en) * 1999-11-26 2006-01-03 Aluminium Pechiney Method to measure degree and homogeneity of alumina calcination
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7003755B2 (en) * 1997-09-17 2006-02-21 Synopsys Inc. User interface for a networked-based mask defect printability analysis system
US20060051682A1 (en) * 2003-12-04 2006-03-09 Carl Hess Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US7012438B1 (en) * 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
US20060062445A1 (en) * 2004-09-14 2006-03-23 Gaurav Verma Methods, systems, and carrier media for evaluating reticle layout data
US7027143B1 (en) * 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US20060082763A1 (en) * 2004-10-12 2006-04-20 Teh Cho H Computer-implemented methods and systems for classifying defects on a specimen
US20070019171A1 (en) * 2002-12-13 2007-01-25 Smith Bruce W Apparatus for aberration detection and measurement
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7174520B2 (en) * 2002-06-07 2007-02-06 Praesagus, Inc. Characterization and verification for integrated circuit designs
US20070032896A1 (en) * 2005-08-05 2007-02-08 Brion Technologies, Inc. Method for lithography model calibration
US20070031745A1 (en) * 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US20070035712A1 (en) * 2005-08-09 2007-02-15 Brion Technologies, Inc. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
US20070052963A1 (en) * 2005-05-13 2007-03-08 Orbon Jacob J Grouping systematic defects with feedback from electrical inspection
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US7207017B1 (en) * 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (en) 1980-01-09 1981-07-17 Ibm France TEST METHODS AND STRUCTURES FOR SEMICONDUCTOR INTEGRATED CIRCUITS FOR ELECTRICALLY DETERMINING CERTAIN TOLERANCES DURING PHOTOLITHOGRAPHIC STAGES
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4637714A (en) * 1985-10-31 1987-01-20 International Business Machines Corporation Inspection system for pellicalized reticles
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
JP2796316B2 (en) * 1988-10-24 1998-09-10 株式会社日立製作所 Defect or foreign matter inspection method and apparatus
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
EP0671920B1 (en) 1992-03-09 2003-12-10 San Diego Regional Cancer Center An anti-idiotypic antibody and its use in diagnosis and in therapy in hiv-related disease
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP3212389B2 (en) 1992-10-26 2001-09-25 株式会社キリンテクノシステム Inspection method for foreign substances on solids
JP3314440B2 (en) * 1993-02-26 2002-08-12 株式会社日立製作所 Defect inspection apparatus and method
JPH06177706A (en) 1992-12-08 1994-06-24 Sony Corp Signal processing unit
KR100300618B1 (en) 1992-12-25 2001-11-22 오노 시게오 EXPOSURE METHOD, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD USING THE DEVICE
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
EP0853856B1 (en) 1995-10-02 2004-12-22 KLA-Tencor Corporation Alignment correction prior to image sampling in inspection systems
JPH09320505A (en) 1996-03-29 1997-12-12 Hitachi Ltd Electron beam type inspecting method, device therefor, manufacture of semiconductor, and its manufacturing line
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (en) 1997-05-10 2001-12-15 박종섭 Method for improving time error of time and frequency generating device using gps
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
JP3860347B2 (en) 1998-10-30 2006-12-20 富士通株式会社 Link processing device
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
US7120285B1 (en) * 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
JP2002071575A (en) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd Defect inspecting and analyzing method and system therefor
DE10044257A1 (en) 2000-09-07 2002-04-11 Infineon Technologies Ag Process for generating mask layout data for lithography simulation and optimized mask layout data, and associated device and programs
WO2002037526A1 (en) 2000-11-02 2002-05-10 Ebara Corporation Electron beam apparatus and method for manufacturing semiconductor device comprising the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
WO2002075793A2 (en) * 2001-03-20 2002-09-26 Numerial Technologies, Inc. System and method of providing mask defect printability analysis
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (en) 2001-03-23 2007-09-12 株式会社日立製作所 Substrate inspection apparatus and substrate inspection method using charged particle beam
US6665065B1 (en) * 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (en) 2001-04-10 2008-01-23 株式会社日立製作所 Defect data analysis method and apparatus, and review system
JP4266082B2 (en) 2001-04-26 2009-05-20 株式会社東芝 Inspection method for exposure mask pattern
JP4199939B2 (en) 2001-04-27 2008-12-24 株式会社日立製作所 Semiconductor inspection system
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
US20030014146A1 (en) 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
JP3870052B2 (en) 2001-09-20 2007-01-17 株式会社日立製作所 Semiconductor device manufacturing method and defect inspection data processing method
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6813572B2 (en) 2001-10-25 2004-11-02 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US7003355B1 (en) * 2001-11-20 2006-02-21 Suaning Gregg J Vision prosthesis for the blind and method for implementing same
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
JP3629244B2 (en) 2002-02-19 2005-03-16 本多エレクトロン株式会社 Wafer inspection equipment
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
JP2003315973A (en) * 2002-04-19 2003-11-06 Fujitsu Ltd Mask design device, mask design method, program, and method of manufacturing semiconductor device
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (en) 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US20040008879A1 (en) * 2002-07-11 2004-01-15 United Microelectronics Corp. Method for detecting wafer level defect
JP2005533283A (en) 2002-07-12 2005-11-04 ケイデンス デザイン システムズ インコーポレイテッド Method and system for context specific mask writing
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) * 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
KR100474571B1 (en) 2002-09-23 2005-03-10 삼성전자주식회사 Method of setting reference images, method and apparatus using the setting method for inspecting patterns on a wafer
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7053355B2 (en) 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
JP2004340652A (en) 2003-05-14 2004-12-02 Hitachi Ltd Flaw inspection device and positive electron beam application device
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP4351522B2 (en) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ Pattern defect inspection apparatus and pattern defect inspection method
US7243331B2 (en) * 2004-01-28 2007-07-10 Applied Materials, Israel, Ltd. Method and system for controlling the quality of a reticle
KR101056142B1 (en) 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 Computerized method for detecting defects in reticle design data
ATE512425T1 (en) 2004-08-09 2011-06-15 Bracco Suisse Sa METHOD AND ARRANGEMENT FOR IMAGE REGISTRATION IN MEDICAL IMAGING BASED ON MULTIPLE MASKS
US7310796B2 (en) 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (en) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd Image defect inspection method, image defect inspection device, and external appearance inspection device
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) * 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) * 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US4015203A (en) * 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) * 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4578810A (en) * 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
US4641353A (en) * 1983-09-16 1987-02-03 Fujitsu Limited Inspection method and apparatus for a mask pattern used in semiconductor device fabrication
US4799175A (en) * 1984-06-12 1989-01-17 Dainippon Screen Mfg., Co. System for inspecting pattern defects of printed wiring boards
US4817123A (en) * 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
US4734721A (en) * 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) * 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123A (en) * 1986-07-14 1989-02-14 Kla Instruments Corporation Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4805123B1 (en) * 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US6184929B1 (en) * 1990-12-11 2001-02-06 Fuji Xerox Co., Ltd. Solid state imaging device and image read apparatus with polygonal photosensitive pixels
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5737072A (en) * 1991-08-22 1998-04-07 Kla Instruments Corporation Automated photomask inspection apparatus and method
US6363166B1 (en) * 1991-08-22 2002-03-26 Kla-Tencor Corporation Automated photomask inspection apparatus
US6052478A (en) * 1991-08-22 2000-04-18 Kla-Tencor Corporation Automated photomask inspection apparatus
US5481624A (en) * 1992-04-27 1996-01-02 Mitsubishi Denki Kabushiki Kaisha Mask inspecting method and mask detector
US6344640B1 (en) * 1993-03-01 2002-02-05 Geoffrey B. Rhoads Method for wide field distortion-compensated imaging
US5608538A (en) * 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US6014461A (en) * 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5621519A (en) * 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5754678A (en) * 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
US5884242A (en) * 1996-04-11 1999-03-16 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) * 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6205239B1 (en) * 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6184976B1 (en) * 1996-10-10 2001-02-06 Samsung Electronics Co., Ltd. Apparatus and method for measuring an aerial image using transmitted light and reflected light
US5866806A (en) * 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US6224638B1 (en) * 1996-10-21 2001-05-01 Applied Materials, Inc. Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6202029B1 (en) * 1997-04-23 2001-03-13 Roger L. Verkuil Non-contact electrical conduction measurement for insulating films
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) * 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US20020035461A1 (en) * 1997-09-17 2002-03-21 Numerical Technologies, Inc. Visual analysis and verification system using advanced tools
US20020019729A1 (en) * 1997-09-17 2002-02-14 Numerical Technologies, Inc. Visual inspection and verification system
US7003755B2 (en) * 1997-09-17 2006-02-21 Synopsys Inc. User interface for a networked-based mask defect printability analysis system
US5874733A (en) * 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6233719B1 (en) * 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6060709A (en) * 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6171737B1 (en) * 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US20030086081A1 (en) * 1998-09-17 2003-05-08 Applied Materials, Inc. Reticle design inspection system
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US6842225B1 (en) * 1999-05-07 2005-01-11 Nikon Corporation Exposure apparatus, microdevice, photomask, method of exposure, and method of production of device
US20030048939A1 (en) * 1999-05-18 2003-03-13 Applied Materials, Inc. Method of and apparatus for inspection of articles by comparison with a master
US20020035641A1 (en) * 1999-07-02 2002-03-21 Yoshitoshi Kurose Service allocating device
US6983060B1 (en) * 1999-11-26 2006-01-03 Aluminium Pechiney Method to measure degree and homogeneity of alumina calcination
US20030098805A1 (en) * 1999-11-29 2003-05-29 Bizjak Karl M. Input level adjust system and method
US6701004B1 (en) * 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6721695B1 (en) * 2000-03-24 2004-04-13 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) * 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
US20020033449A1 (en) * 2000-06-27 2002-03-21 Mamoru Nakasuji Inspection system by charged particle beam and method of manufacturing devices using the system
US20020026626A1 (en) * 2000-08-24 2002-02-28 Randall John N. Optical proximity correction
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6680621B2 (en) * 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US20030048458A1 (en) * 2001-06-26 2003-03-13 Walter Mieher Method for determining lithographic focus and exposure
US20030033046A1 (en) * 2001-07-17 2003-02-13 Hitachi, Ltd. Method and system for manufacturing semiconductor devices
US20030022401A1 (en) * 2001-07-24 2003-01-30 Hitachi, Ltd. Semiconductor device inspection method
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
US20040032908A1 (en) * 2001-09-12 2004-02-19 Makoto Hagai Image coding method and image decoding method
US20030057971A1 (en) * 2001-09-27 2003-03-27 Hidetoshi Nishiyama Inspection method using a charged particle beam and inspection device based thereon
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
US7174520B2 (en) * 2002-06-07 2007-02-06 Praesagus, Inc. Characterization and verification for integrated circuit designs
US6884984B2 (en) * 2002-06-07 2005-04-26 Brion Technologies, Inc. System and method for lithography process monitoring and control
US20040030430A1 (en) * 2002-06-27 2004-02-12 Ryoichi Matsuoka Waferless metrology recipe generator and generating method
US7012438B1 (en) * 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
US20040091142A1 (en) * 2002-07-15 2004-05-13 Peterson Ingrid B. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US20040052411A1 (en) * 2002-09-13 2004-03-18 Numerical Technologies, Inc. Soft defect printability simulation and analysis for masks
US7027143B1 (en) * 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US20040098216A1 (en) * 2002-11-04 2004-05-20 Jun Ye Method and apparatus for monitoring integrated circuit fabrication
US6879924B2 (en) * 2002-11-04 2005-04-12 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US20070019171A1 (en) * 2002-12-13 2007-01-25 Smith Bruce W Apparatus for aberration detection and measurement
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US6718526B1 (en) * 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US20060051682A1 (en) * 2003-12-04 2006-03-09 Carl Hess Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) * 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
US20060062445A1 (en) * 2004-09-14 2006-03-23 Gaurav Verma Methods, systems, and carrier media for evaluating reticle layout data
US20060082763A1 (en) * 2004-10-12 2006-04-20 Teh Cho H Computer-implemented methods and systems for classifying defects on a specimen
US20070052963A1 (en) * 2005-05-13 2007-03-08 Orbon Jacob J Grouping systematic defects with feedback from electrical inspection
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US20070032896A1 (en) * 2005-08-05 2007-02-08 Brion Technologies, Inc. Method for lithography model calibration
US20070031745A1 (en) * 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070035712A1 (en) * 2005-08-09 2007-02-15 Brion Technologies, Inc. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections

Cited By (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7646906B2 (en) 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US10216890B2 (en) * 2004-04-21 2019-02-26 Iym Technologies Llc Integrated circuits having in-situ constraints
US20060069958A1 (en) * 2004-05-09 2006-03-30 Sawicki Joseph D Defect location identification for microdevice manufacturing and test
US7689966B2 (en) 2004-09-14 2010-03-30 Kla-Tencor Technologies Corp. Methods, systems, and carrier media for evaluating reticle layout data
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
US20060101370A1 (en) * 2004-11-05 2006-05-11 International Business Machines Corporation Method for improving optical proximity correction
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US20060281016A1 (en) * 2005-06-10 2006-12-14 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US8139843B2 (en) 2005-11-18 2012-03-20 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8923600B2 (en) 2005-11-18 2014-12-30 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20080294280A1 (en) * 2006-01-30 2008-11-27 Nikon Corporation Processing condition determining method and apparatus, display method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, substrate processing system, and program and information recording medium
US8566756B2 (en) * 2006-01-30 2013-10-22 Nikon Corporation Processing condition determining method and apparatus, display method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, substrate processing system, and program and information recording medium
US7493590B1 (en) 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
US20080040353A1 (en) * 2006-08-10 2008-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of manufacturing management
US8924906B2 (en) * 2006-09-22 2014-12-30 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US20080250384A1 (en) * 2006-12-19 2008-10-09 Brian Duffy Systems and methods for creating inspection recipes
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7669153B2 (en) 2007-04-30 2010-02-23 United Microelectronics Corp. Method for correcting photomask pattern
US20080270969A1 (en) * 2007-04-30 2008-10-30 United Microelectronics Corp. Method for correcting photomask pattern
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8204296B2 (en) 2007-07-20 2012-06-19 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7966580B2 (en) * 2007-08-06 2011-06-21 Kabushiki Kaisha Toshiba Process-model generation method, computer program product, and pattern correction method
US20090044167A1 (en) * 2007-08-06 2009-02-12 Shimon Maeda Process-model generation method, computer program product, and pattern correction method
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US7975245B2 (en) 2007-08-20 2011-07-05 Kla-Tencor Corp. Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US8572524B2 (en) * 2007-11-21 2013-10-29 Globalfoundries Singapore Pte. Ltd. Statistical optical proximity correction
US20090132992A1 (en) * 2007-11-21 2009-05-21 Chartered Semiconductor Manufacturing, Ltd. Statistical optical proximity correction
US7823106B2 (en) * 2008-03-11 2010-10-26 International Business Machines Corporation Variable performance ranking and modification in design for manufacturability of circuits
US20090235214A1 (en) * 2008-03-11 2009-09-17 Baker Faye D Variable Performance Ranking and Modification in Design for Manufacturability of Circuits
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US20090276736A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Test Pattern Based Process Model Calibration
US7895547B2 (en) * 2008-05-01 2011-02-22 International Business Machines Corporation Test pattern based process model calibration
US9746784B2 (en) * 2008-06-03 2017-08-29 Asml Netherlands B.V. Lens heating compensation systems and methods
US20140047397A1 (en) * 2008-06-03 2014-02-13 Asml Netherlands B.V. Lens heating compensation systems and methods
US9710903B2 (en) 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8161431B2 (en) * 2008-10-30 2012-04-17 Agere Systems Inc. Integrated circuit performance enhancement using on-chip adaptive voltage scaling
US20100115475A1 (en) * 2008-10-30 2010-05-06 Buonpane Michael S Integrated Circuit Performance Enhancement Using On-Chip Adaptive Voltage Scaling
US8612045B2 (en) 2008-12-24 2013-12-17 Asml Holding N.V. Optimization method and a lithographic cell
US20100161099A1 (en) * 2008-12-24 2010-06-24 Asml Netherlands B.V. Optimization Method and a Lithographic Cell
US9880107B2 (en) 2009-01-26 2018-01-30 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
US10605744B2 (en) 2009-01-26 2020-03-31 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
US8605275B2 (en) * 2009-01-26 2013-12-10 Kla-Tencor Corp. Detecting defects on a wafer
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
WO2010104774A2 (en) * 2009-03-13 2010-09-16 Synopsys, Inc. Execution monitor for electronic design automation
US20100235795A1 (en) * 2009-03-13 2010-09-16 Synopsys, Inc. Execution monitor for electronic design automation
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
WO2010104774A3 (en) * 2009-03-13 2011-01-13 Synopsys, Inc. Execution monitor for electronic design automation
US8413103B2 (en) 2009-03-13 2013-04-02 Synopsys, Inc. Execution monitor for electronic design automation
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8539389B2 (en) * 2010-09-27 2013-09-17 Teseda Corporation Correlation of device manufacturing defect data with device electrical test data
US9659136B2 (en) 2010-09-27 2017-05-23 Teseda Corporation Suspect logical region synthesis from device design and test information
US20120079442A1 (en) * 2010-09-27 2012-03-29 Teseda Corporation Correlation of device manufacturing defect data with device electrical test data
US8918753B2 (en) 2010-09-27 2014-12-23 Teseda Corporation Correlation of device manufacturing defect data with device electrical test data
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8699003B2 (en) * 2011-06-07 2014-04-15 Nanya Technology Corp. Method for determining illumination source with optimized depth of focus
US20120314195A1 (en) * 2011-06-07 2012-12-13 Chun-Wei Wu Method for determining illumination source with optimized depth of focus
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
TWI554753B (en) * 2011-07-28 2016-10-21 克萊譚克公司 Method, system, and non-transitory computer-readable medium for detecting defects on a wafer
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8713511B1 (en) * 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8832611B2 (en) * 2011-09-23 2014-09-09 Kla-Tencor Corp. Process aware metrology
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
US20150005917A1 (en) * 2012-01-13 2015-01-01 Kla-Tencor Corporation Method and apparatus for database-assisted requalification reticle inspection
US9733640B2 (en) * 2012-01-13 2017-08-15 Kla-Tencor Corporation Method and apparatus for database-assisted requalification reticle inspection
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US9268209B2 (en) 2012-05-14 2016-02-23 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US9305130B2 (en) 2012-05-15 2016-04-05 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8849440B2 (en) 2012-05-31 2014-09-30 International Business Machines Corporation Manufacturing control based on a final design structure incorporating both layout and client-specific manufacturing information
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US9008410B2 (en) 2013-03-13 2015-04-14 Kla-Tencor Corporation Single die inspection on a dark field inspection tool
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9530731B2 (en) 2013-04-09 2016-12-27 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8869077B1 (en) * 2013-05-23 2014-10-21 Globalfoundries Inc. Selection of replacement patterns for reducing manufacturing hotspots and constraint violations of IC designs
US20160147934A1 (en) * 2013-06-21 2016-05-26 California Institute Of Technology Determination of electronic circuit robustness
US20160140287A1 (en) * 2013-06-25 2016-05-19 Hitachi High-Technologies Corporation Template Creation Device for Sample Observation Device, and Sample Observation Device
US8745547B1 (en) 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
US9064078B2 (en) * 2013-07-30 2015-06-23 Globalfoundries Inc. Methods and systems for designing and manufacturing optical lithography masks
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
KR20160108376A (en) * 2014-01-16 2016-09-19 케이엘에이-텐코 코포레이션 Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
KR102181418B1 (en) 2014-01-16 2020-11-23 케이엘에이 코포레이션 Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
TWI627690B (en) * 2014-01-16 2018-06-21 美商克萊譚克公司 Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
US20150199803A1 (en) * 2014-01-16 2015-07-16 Kla-Tencor Corporation Pattern Failure Discovery by Leveraging Nominal Characteristics of Alternating Failure Modes
US9536299B2 (en) * 2014-01-16 2017-01-03 Kla-Tencor Corp. Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
US20160162626A1 (en) * 2014-12-01 2016-06-09 Globalfoundries Inc. Lithography process window prediction based on design data
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
US20190129297A1 (en) * 2017-10-31 2019-05-02 Samsung Electronics Co, Ltd Methods of forming semiconductors using etching effect predictions and methods for determining input parameters for semiconductor formation
US10691016B2 (en) * 2017-10-31 2020-06-23 Samsung Electronincs Co., Ltd. Methods of forming semiconductors using etching effect predictions and methods for determining input parameters for semiconductor formation
US20190271918A1 (en) * 2018-03-01 2019-09-05 Globalfoundries Inc. Self-aligned quadruple patterning pitch walking solution
US10642160B2 (en) * 2018-03-01 2020-05-05 Globalfoundries Inc. Self-aligned quadruple patterning pitch walking solution

Also Published As

Publication number Publication date
KR20060131860A (en) 2006-12-20
CN1910516B (en) 2011-01-12
JP4758358B2 (en) 2011-08-24
US7646906B2 (en) 2010-01-12
CN1910516A (en) 2007-02-07
JP2007519981A (en) 2007-07-19
KR101056142B1 (en) 2011-08-10
WO2005073807A1 (en) 2005-08-11
US20060236294A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
US7646906B2 (en) Computer-implemented methods for detecting defects in reticle design data
US8102408B2 (en) Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
JP4904034B2 (en) Method, system and carrier medium for evaluating reticle layout data
US8065636B2 (en) System and method for creating a focus-exposure model of a lithography process
JP6594876B2 (en) Photolithographic reticle certification method and system
KR101450500B1 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US6673638B1 (en) Method and apparatus for the production of process sensitive lithographic features
US8151220B2 (en) Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4954211B2 (en) System and method for performing mask verification using an individual mask error model
US7303842B2 (en) Systems and methods for modifying a reticle's optical properties
US7297453B2 (en) Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7873504B1 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US20070111112A1 (en) Systems and methods for fabricating photo masks
KR100674964B1 (en) Method and systematic apparatus for correcting photomask
JP2000250198A (en) Automatic defect checker for photomask and its checking method
US8572524B2 (en) Statistical optical proximity correction
Martin et al. Exploring new high speed mask aware RET verification flows
Luo et al. An innovative method to retain optical kernels by keeping Bossung curves smoothness
Hsu et al. Double dipole lithography for 65-nm node and beyond: defect sensitivity characterization and reticle inspection
Sturtevant Patterning Process Model Challenges for 14 nm
Kim et al. Full-chip level MEEF analysis using model based lithography verification
Nikolsky et al. A complementary lithographic simulation method for improved yields following full-chip DRC

Legal Events

Date Code Title Description
AS Assignment

Owner name: KLA-TENCOR TECHNOLOGIES CORP., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HESS, CARL;REEL/FRAME:017692/0267

Effective date: 20060307

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION