US20060132807A1 - Periodic patterns and technique to control misalignment between two layers - Google Patents

Periodic patterns and technique to control misalignment between two layers Download PDF

Info

Publication number
US20060132807A1
US20060132807A1 US11/355,613 US35561306A US2006132807A1 US 20060132807 A1 US20060132807 A1 US 20060132807A1 US 35561306 A US35561306 A US 35561306A US 2006132807 A1 US2006132807 A1 US 2006132807A1
Authority
US
United States
Prior art keywords
comparing
directing
light
detecting
misalignment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/355,613
Inventor
Ibrahim Abdulhalim
Mike Adel
Michael Friedmann
Michael Faeyrman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/355,613 priority Critical patent/US20060132807A1/en
Publication of US20060132807A1 publication Critical patent/US20060132807A1/en
Priority to US11/495,001 priority patent/US20060262326A1/en
Priority to US12/428,401 priority patent/US8525994B2/en
Priority to US12/628,092 priority patent/US8570515B2/en
Priority to US14/035,766 priority patent/US9103662B2/en
Priority to US14/789,796 priority patent/US9234745B2/en
Priority to US14/961,629 priority patent/US9476698B2/en
Priority to US15/332,155 priority patent/US9835447B2/en
Priority to US15/798,041 priority patent/US10151584B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • H01L2223/5446Located in scribe lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the invention relates in general to metrology systems for measuring periodic structures such as overlay targets, and, in particular, to a metrology system employing diffracted light for detecting misalignment of such structures.
  • Overlay error measurement requires specially designed marks to be strategically placed at various locations, normally in the scribe line area between dies, on the wafers for each process.
  • the alignment of the two overlay targets from two consecutive processes is measured for a number of locations on the wafer, and the overlay error map across the wafer is analyzed to provide feedback for the alignment control of lithography steppers.
  • a key process control parameter in the manufacturing of integrated circuits is the measurement of overlay target alignment between successive layers on a semiconductor wafer. If the two overlay targets are misaligned relative to each other, then the electronic devices fabricated will malfunction, and the semiconductor wafer will need to be reworked or discarded.
  • Overlay targets may comprise fine structures on top of the wafer or etched into the surface of the wafer.
  • one overlay target may be formed by etching into the wafer, while another adjacent overlay target may be a resist layer at a higher elevation over the wafer.
  • the target being used for this purpose is called box-in-box where the outer box, usually 10 to 30 ⁇ m, represents the position of the bottom layer, while the inner box is smaller and represents the location of the upper layer.
  • An optical microscopic image is grabbed for this target and analyzed with image processing techniques.
  • the relative location of the two boxes represents what is called the overlay misregistration, or the overlay.
  • the accuracy of the optical microscope is limited by the accuracy of the line profiles in the target, by aberrations in the illumination and imaging optics and by the image sampling in the camera. Such methods are complex and they require full imaging optics. Vibration isolation is also required.
  • the grabbed target image is highly sensitive to the optical quality of the system, which is never ideal.
  • the optical quality of the system may produce errors in the calculation of the overlay misregistration.
  • optical imaging has a fundamental limit on resolution, which affects the accuracy of the measurement.
  • an optical microscope is a relatively bulky system. It is difficult to integrate an optical microscope into another system, such as the end of the track of a lithographic stepper system. It is desirable to develop an improved system to overcome these drawbacks.
  • a target for determining misalignment between two layers of a device has two periodic structures of lines and spaces on the two different layers of a device.
  • the two periodic structures overlie or are interlaced with each other.
  • the layers or periodic structures may be at the same or different heights.
  • either the first periodic structure or the second periodic structure has at least two sets of interlaced grating lines having different periods, line widths or duty cycles.
  • the invention also relates to a method of making overlying or interlaced targets.
  • An advantage of the target is the use of the same diffraction system and the same target to measure critical dimension and overlay misregistration. Another advantage of the measurement of misregistration of the target is that it is free from optical asymmetries usually associated with imaging.
  • the invention also relates to a method of detecting misalignment between two layers of a device.
  • the overlying or interlaced periodic structures are illuminated by incident radiation.
  • the diffracted radiation from the overlying or interlaced periodic structures is used to provide an output signal.
  • a signal is derived from the output signal.
  • the misalignment between the structures is determined from the output signal or the derived signal.
  • the output signal or the derived signal is compared with a reference signal.
  • a database that correlates the misalignment with data related to diffracted radiation can be constructed.
  • An advantage of this method is the use of only one incident radiation beam.
  • Another advantage of this method is the high sensitivity of zero-order and first-order diffracted light to the overlay misregistration between the layers.
  • properties which exhibited high sensitivity are intensity, phase and polarization properties of zero-order diffraction; differential intensity between the positive and negative first-order diffraction; differential phase between the positive and negative first-order diffraction; and differential polarization between the positive and negative first-order diffraction. These properties also yielded linear graphs when plotted against the overlay misalignment. This method can be used to determine misalignment on the order of nanometers.
  • a neutral polarization angle defined as an incident polarization angle where the differential intensity is equal to zero for all overlay misregistrations.
  • the slope of differential intensity as a function of incident polarization angle is highly linear when plotted against the overlay misregistration. This linear behavior reduces the number of parameters that need to be determined and decreases the polarization scanning needed. Thus, the method of detecting misalignment is faster when using the slope measurement technique.
  • the invention also relates to an apparatus for detecting misalignment of overlying or interlaced periodic structures.
  • the apparatus comprises a source, at least one analyzer, at least one detector, and a signal processor to determine misalignment of overlying or interlaced periodic structures.
  • FIGS. 1 a - 1 h are cross-sectional views illustrating basic process steps in semiconductor processing.
  • FIG. 2 a is a cross-sectional view of two overlying periodic structures.
  • FIGS. 2 b and 2 c are top views of the two overlying periodic structures of FIG. 2 a.
  • FIG. 3 is a top view of two overlying periodic structures illustrating an embodiment of the invention.
  • FIGS. 4 a and 4 b are cross-sectional views of overlying or interlaced periodic structures illustrating other embodiments of the invention.
  • FIGS. 5 a and 5 b are cross-sectional views of two interlaced periodic structures illustrating interlaced gratings in an embodiment of the invention.
  • FIG. 6 is a cross-sectional view of two interlaced periodic structures illustrating interlaced gratings in another embodiment of the invention.
  • FIGS. 7 a and 7 b are schematic views illustrating negative and positive overlay shift, respectively.
  • FIG. 8 is a schematic view illustrating the diffraction of light from a grating structure.
  • FIG. 9 a is a schematic block diagram of an optical system that measures zero-order diffraction from overlying or interlaced periodic structures.
  • FIG. 9 b is a schematic block diagram of an integrated system of the optical system of FIG. 9 a and a deposition instrument.
  • FIGS. 10 a and 11 a are schematic block diagrams of an optical system that measures first-order diffraction from a normal incident beam on overlying or interlaced periodic structures.
  • FIGS. 10 b and 11 b are schematic block diagrams of integrated systems of the optical systems of FIGS. 10 a and 11 a, respectively, and a deposition instrument.
  • FIGS. 12 a and 12 b are graphical plots of derived signals from zero-order diffraction of incident radiation on overlying structures.
  • FIGS. 13-14 and 16 - 17 are graphical plots of derived signals from first-order diffraction of incident radiation on overlying structures.
  • FIG. 15 is a graphical plot illustrating the mean square error.
  • FIGS. 18-19 and 21 - 22 are graphical plots of derived signals from zero-order diffraction of incident radiation on interlaced gratings.
  • FIGS. 20 and 23 are graphical plots illustrating the mean square error.
  • FIG. 24 is a graphical plot illustrating the determination of misalignment from a slope near a neutral polarization angle.
  • FIG. 2 a is a cross-sectional view of a target 11 comprising two periodic structures 13 , 15 on two layers 31 , 33 of a device 17 .
  • the second periodic structure 15 is overlying or interlaced with the first periodic structure 13 .
  • the layers and the periodic structures may be at the same or different heights.
  • the device 17 can be any device of which the alignment between two layers, particularly layers having small features on structures, needs to be determined. These devices are typically semiconductor devices; thin films for magnetic heads for data storage devices such as tape recorders; and flat panel displays.
  • a device 17 is generally formed in a basic series of steps for each layer.
  • a layer 2 is formed on a semiconductor substrate 1 .
  • the layer 2 may be formed by oxidization, diffusion, implantation, evaporation, or deposition.
  • resist 3 is deposited on the layer 2 .
  • the resist 3 is selectively exposed to a form of radiation 5 . This selective exposure is accomplished with an exposure tool and mask 4 , or data tape in electron or ion beam lithography (not shown).
  • the resist 3 is developed.
  • the resist 3 protects the regions 6 of the layer 2 that it covers. Fifth, as shown in FIG. 1 e, the exposed regions 7 of the layer 2 are etched away. Sixth, as shown in FIG. 1 f, the resist 3 is removed. Alternatively, in another embodiment, another material 8 can be deposited in the spaces 7 , as shown in FIG. 1 e, of the etched layer 2 , as shown in FIG. 1 g, and the resist 3 is removed after the deposition, as shown in FIG. 1 h. This basic series of steps is repeated for each layer until the desired device is formed.
  • a first layer 31 and a second layer 33 can be any layer in the device. Unpatterned semiconductor, metal or dielectric layers may be deposited or grown on top of, underneath, or between the first layer 31 and the second layer 33 .
  • the pattern for the first periodic structure 13 is in the same mask as the pattern for a first layer 31 of the device, and the pattern for the second periodic structure 15 is in the same mask as the pattern for a second layer 33 of the device.
  • the first periodic structure 13 or the second periodic structure 15 is the etched spaces 7 of the first layer 31 or the second layer 33 , respectively, as shown in FIG. 1 f.
  • the first periodic structure 13 or the second periodic structure 15 is the lines 2 of the first layer 31 or the second layer 33 , respectively, as shown in FIG. 1 f.
  • the first periodic structure 13 or the second periodic structure 15 is another material 8 deposited in the spaces 7 of the first layer 31 or the second layer 33 , respectively, as shown in FIG. 1 h.
  • the second layer 33 is resist
  • the second periodic structure 15 is resist 3 gratings, as shown in FIG. 1 d.
  • the first periodic structure 13 has the same alignment as the first layer 31 , since the same mask was used for the pattern for the first periodic structure 13 and for the pattern for the first layer 31 .
  • the second periodic structure 15 has the same alignment as the second layer 33 . Thus, any overlay misregistration error in the alignment between the first layer 31 and the second layer 33 will be reflected in the alignment between the first periodic structure 13 and the second periodic structure 15 .
  • FIGS. 2 b and 2 c are top views of target 11 .
  • the first periodic structure 13 has a first selected width CD 1
  • the second periodic structure 15 has a second selected width CD 2 .
  • the second selected width CD 2 is less than the first selected width CD 1 .
  • the pitch, also called the period or the unit cell, of a periodic structure is the distance after which the pattern is repeated.
  • the distance between the left edge of the first periodic structure 13 and the left edge of the second periodic structure 15 is d 1
  • the distance between the right edge of the first periodic structure 13 and the right edge of the second periodic structure 15 is d 2 .
  • the second periodic structure 15 when layers 31 , 33 are properly aligned relative to each other, the second periodic structure 15 is centered over the first periodic structure 13 .
  • the misregistration is indicated by d 2 ⁇ d 1 .
  • another target 12 comprising two periodic structures 14 , 16 similar to target 11 is placed substantially perpendicular to target 11 , as shown in FIG. 2 c.
  • the target 11 is particularly desirable for use in photolithography, where the first layer 31 is exposed to radiation for patterning purposes of a semiconductor wafer and the second layer 33 is resist.
  • the first layer 31 is etched silicon, and the second layer 33 is resist.
  • FIGS. 4 a and 4 b show alternative embodiments.
  • FIG. 4 a illustrates a first periodic structure 13 of oxide having a trapezoidal shape on a first layer 31 of silicon substrate and a second periodic structure 15 of resist with a second layer 33 of resist.
  • the first layer 31 of silicon is etched, and shallow trench isolation (“STI”) oxide is deposited in the spaces of the etched silicon.
  • the lines of STI oxide form the first periodic structure 13 .
  • An oxide layer 34 and a uniform polysilicon layer 35 are deposited between the first layer 31 of silicon and the second layer 33 of resist.
  • the configuration in FIG. 4 a shows a line on space configuration, where the second periodic structure 15 is placed aligned with the spaces between the first periodic structure 13 .
  • the invention also encompasses embodiments such as the line on line configuration, where the lines in the second periodic structure 15 are placed on top of and aligned with the lines in the first periodic structure 13 , as shown by the dotted lines in FIG. 4 a.
  • FIG. 4 b illustrates a first periodic structure 13 of tungsten etched in a first layer 31 of oxide and a second periodic structure 15 of resist with a second layer 33 of resist.
  • the first layer 31 and the second layer 33 are separated by an aluminum blanket 37 .
  • the invention relates to a method of making a target 11 .
  • a first periodic structure 13 is placed over a first layer 31 of a device 17 .
  • a second periodic structure 15 is placed over a second layer 33 of the device 17 .
  • the second periodic structure 15 is overlying or interlaced with the first periodic structure 13 .
  • another target 12 is placed substantially perpendicular to target 11 , as shown in FIG. 2 c.
  • a third periodic structure 14 is placed over the first layer 31
  • a fourth periodic structure 14 is placed over the second layer 33 .
  • the third periodic structure 14 is substantially perpendicular to the first periodic structure 13
  • the fourth periodic structure 16 is substantially perpendicular to the second periodic structure 15 .
  • An advantage of the target 11 is that the measurement of misregistration of the target is free from optical asymmetries usually associated with imaging. Another advantage of this measurement is that it does not require scanning over the target as it is done with other techniques, such as in Bareket, U.S. Pat. No. 6,023,338. Another advantage of the target 11 is the elimination of a separate diffraction system and a different target to measure the critical dimension (“CD”) of a periodic structure.
  • the critical dimension, or a selected width of a periodic structure is one of many target parameters needed to calculate misregistration. Using the same diffraction system and the same target to measure both the overlay misregistration and the CD is more efficient.
  • the sensitivity associated with the CD and that with the misregistration is distinguished by using an embodiment of a target as shown in FIG. 3 .
  • the second periodic structure 15 extends further to an area, the CD region 21 , where the first periodic structure 13 does not extend.
  • the first selected width CD 1 is measured before placing the second periodic structure 15 on the device 17 .
  • the second selected width CD 2 alone can be measured in the CD region 21 .
  • the misregistration is determined in an overlay region 19 where both the first 13 and second 15 periodic structures lie.
  • FIGS. 5 a and 5 b are cross-sectional views of an embodiment of a target having interlaced gratings.
  • the first periodic structure 13 or the second periodic structure 15 has at least two interlaced grating lines having different periods, line widths or duty cycles.
  • the first periodic structure 13 is patterned with the same mask as that for the first layer 31
  • the second periodic structure 15 is patterned with the same mask as that for the second layer 33 .
  • the first periodic structure 13 has the same alignment as the first layer 31
  • the second periodic structure 15 has the same alignment as the second layer 33 . Any misregistration between the first layer 31 and the second layer 33 is reflected in the misregistration between the first periodic structure 13 and the second periodic structure 15 .
  • the first periodic structure 13 has two interlaced grating lines 51 , 53 .
  • the first interlaced grating lines 51 have a line-width L 1
  • the second interlaced grating lines 53 have a line-width L 2 .
  • the second periodic structure 15 as shown in FIG. 5 b, has a line-width L 3 and is centered between the first interlaced grating lines 51 and the second interlaced grating lines 53 .
  • the distance between the right edge of the first interlaced grating 51 and the adjacent left edge of the second interlaced grating 53 is represented by b, and the distance between the right edge of the second periodic structure 15 and the adjacent left edge of the second interlaced grating 53 is represented by c.
  • the misregistration between the first layer 31 and the second layer 33 is equal to the misregistration ⁇ between the first periodic structure 13 and the second periodic structure 15 .
  • the resulting periodic structure has the most symmetric unit cell composed of a line with width L 1 +L 3 and a line with width L 2 .
  • FIG. 6 shows an alternative embodiment of a target having interlaced gratings.
  • the first periodic structure 13 is etched silicon, and the second periodic target 15 is resist.
  • the first layer 31 of silicon substrate and the second layer 33 of resist are separated by an oxide layer 39 .
  • the invention also relates to a method of making a target 11 .
  • a first periodic structure 13 is placed over a first layer 31 of a device 17 .
  • a second periodic structure 15 is placed over a second layer 33 of the device 17 .
  • the second periodic structure 15 is overlying or interlaced with the first periodic structure 13 .
  • Either the first periodic structure 13 or the second periodic structure 15 has at least two interlaced grating lines having different periods, line widths or duty cycles.
  • FIGS. 7 a and 7 b are schematic drawings illustrating negative and positive overlay shift, respectively, in the X direction of the XY coordinate system.
  • Center line 61 is the center of a grating 63 .
  • the center line 61 is aligned with the Y axis of the XY coordinate system.
  • a negative overlay shift is indicated by the center line 61 being in the negative X direction.
  • a positive overlay shift is indicated by the center line 61 being in the positive X direction.
  • the negative overlay shift is indicated by a negative number for the misregistration
  • the positive overlay shift is indicated by a positive number for the misregistration.
  • the misregistration can be determined using the method discussed below.
  • FIG. 8 is a schematic view showing the diffraction of light from a grating structure 71 .
  • incident radiation 73 having an oblique angle of incidence ⁇ illuminates the grating structure 71 .
  • the grating structure 71 diffracts radiation 75 , 77 , 79 .
  • Zero-order diffraction 75 is at the same oblique angle ⁇ to the substrate as the incident radiation 73 .
  • Negative first-order diffraction 77 and positive first-order diffraction 79 are also diffracted by the grating structure 71 .
  • FIGS. 9 a, 10 a, and 11 a Optical systems for determining misalignment of overlying or interlaced periodic structures are illustrated in FIGS. 9 a, 10 a, and 11 a.
  • FIG. 9 a shows an optical system 100 using incident radiation beam 81 with an oblique angle of incidence and detecting zero-order diffracted radiation 83 .
  • a source 102 provides polarized incident radiation beam 81 to illuminate periodic structures on a wafer 91 .
  • the incident radiation beam may be substantially monochromatic or polychromatic.
  • the source 102 comprises a light source 101 and optionally a collimating/focusing/polarizing optical module 103 .
  • the structures diffract zero-order diffracted radiation 83 .
  • a collimating/focusing/analyzing optical module 105 collects the zero-order diffracted radiation 83 , and a light detection unit 107 detects the zero-order diffracted radiation 83 collected by the analyzer in module 105 to provide an output signal 85 .
  • a signal processor 109 determines any misalignment between the structures from the output signal 85 .
  • the output signal 85 is used directly to determine misalignment from the intensity of the zero-order diffracted radiation 83 .
  • the misalignment is determined by comparing the intensity with a reference signal, such as a reference signal from a calibration wafer or a database, compiled as explained below.
  • the signal processor 109 calculates a derived signal from the output signal 85 and determines misalignment from the derived signal.
  • the derived signal can include polarization or phase information.
  • the misalignment is determined by comparing the derived signal with a reference signal.
  • optical system 100 provides ellipsometric parameter values, which are used to derive polarization and phase information.
  • the source 102 includes a light source 101 and a polarizer in module 103 .
  • a device 104 causes relative rotational motion between the polarizer in module 103 and the analyzer in module 105 .
  • Device 104 is well known in the art and is not described for this reason.
  • the polarization of the reflected light is measured by the analyzer in module 105 , and the signal processor 109 calculates the ellipsometric parameter values, tan( ⁇ ) and cos( ⁇ ), from the polarization of the reflected light.
  • the signal processor 109 uses the ellipsometric parameter values to derive polarization and phase information.
  • the phase is ⁇ .
  • the signal processor 109 determines misalignment from the polarization or phase information, as discussed above.
  • the imaging and focusing of the optical system 100 in one embodiment is verified using the vision and pattern recognition system 115 .
  • the light source 101 provides a beam for imaging and focusing 87 .
  • the beam for imaging and focusing 87 is reflected by beam splitter 113 and focused by lens 111 to the wafer 91 .
  • the beam 87 then is reflected back through the lens 111 and beam splitter 113 to the vision and pattern recognition system 115 .
  • the vision and pattern recognition system 115 then sends a recognition signal 88 for keeping the wafer in focus for measurement to the signal processor 109 .
  • FIG. 10 a illustrates an optical system 110 using normal incident radiation beam 82 and detecting first-order diffracted radiation 93 , 95 .
  • a source 202 provides polarized incident radiation beam 82 to illuminate periodic structures on a wafer 91 .
  • the source 202 comprises a light source 101 , a polarizer 117 and lens 111 .
  • the structures diffract positive first-order diffracted radiation 95 and negative first-order diffracted radiation 93 .
  • Analyzers 121 , 119 collect positive first-order diffracted radiation 95 and negative first-order diffracted radiation 93 , respectively.
  • Light detection units 125 , 123 detect the positive first-order diffracted radiation 95 and the negative first-order diffracted radiation 93 , respectively, collected by analyzers 121 , 119 , respectively, to provide output signals 85 .
  • a signal processor 109 determines any misalignment between the structures from the output signals 85 , preferably by comparing the output signals 85 to a reference signal.
  • the signal processor 109 calculates a derived signal from the output signals 85 .
  • the derived signal is a differential signal between the positive first-order diffracted radiation 95 and the negative first-order diffracted radiation 93 .
  • the differential signal can indicate a differential intensity, a differential polarization angle, or a differential phase.
  • Optical system 110 determines differential intensity, differential polarization angles, or differential phase.
  • optical system 110 uses an ellipsometric arrangement comprising a light source 101 , a polarizer 117 , an analyzer 119 or 121 , a light detector 123 or 125 , and a device 104 that causes relative rotational motion between the polarizer 117 and the analyzer 119 or 121 .
  • Device 104 is well known in the art and is not described for this reason.
  • This arrangement provides ellipsometric parameters for positive first-order diffracted radiation 95 and ellipsometric parameters for negative first-order diffracted radiation 93 , which are used to derive phase for positive first-order diffracted radiation 95 and phase for negative first-order diffracted radiation 93 , respectively.
  • one of the ellipsometric parameters is cos( ⁇ ), and the phase is ⁇ . Differential phase is calculated by subtracting the phase for the negative first-order diffracted radiation 93 from the phase for the positive first-order diffracted radiation 95 .
  • the polarizer 117 is fixed for the incident radiation beam 82 , and the analyzers 121 , 119 are rotated, or vice versa.
  • the polarization angle for the negative first-order diffracted radiation 93 is determined from the change in intensity as either the polarizer 117 or analyzer 119 rotates.
  • the polarization angle for the positive first-order diffracted radiation 95 is determined from the change in intensity as either the polarizer 117 or analyzer 121 rotates.
  • a differential polarization angle is calculated by subtracting the polarization angle for the negative first-order diffracted radiation 93 from the polarization angle for the positive first-order diffracted radiation 95 .
  • the analyzers 119 , 121 are positioned without relative rotation at the polarization angle of the first-order diffracted radiation 93 , 95 .
  • the intensity of the positive first-order diffracted radiation 95 and the intensity of the negative first-order diffracted intensity 93 is detected by the detectors 125 , 123 .
  • Differential intensity is calculated by subtracting the intensity for the negative first-order diffracted radiation 93 from the intensity for the positive first-order diffracted radiation 95 .
  • the differential intensity is measured as a function of the incident polarization angle.
  • the polarizer 117 is rotated, and the analyzers 119 , 121 are fixed. As the polarizer 117 rotates, the incident polarization angle changes. The intensity of the positive first-order diffracted radiation 95 and the intensity of the negative first-order diffracted radiation 93 is determined for different incident polarization angles. Differential intensity is calculated by subtracting the intensity for the negative first-order diffracted radiation 93 from the intensity for the positive first-order diffracted radiation 95 .
  • the imaging and focusing of the optical system 110 in one embodiment is verified using the vision and pattern recognition system 115 .
  • a light beam for imaging and focusing 87 is reflected through the lens 111 , polarizer 117 , and beam splitter 113 to the vision and pattern recognition system 115 .
  • the vision and pattern recognition system 115 then sends a recognition signal 88 for keeping the wafer in focus for measurement to the signal processor 109 .
  • FIG. 11 a illustrates an optical system 120 where first-order diffracted radiation beams 93 , 95 are allowed to interfere.
  • the light source 101 , device 104 , polarizer 117 , lens 111 , and analyzers 119 , 121 operate the same way in optical system 120 as they do in optical system 110 .
  • Device 104 is well known in the art and is not described for this reason.
  • the first device comprises a multi-aperture shutter 131 and a flat beam splitter 135 .
  • the multi-aperture shutter 131 allows both the negative first-order diffracted radiation 93 and the positive first-order diffracted beam 95 to pass through it.
  • the flat beam splitter 135 combines the negative first-order diffracted radiation 93 and the positive first-order diffracted radiation 95 .
  • the mirrors 127 , 133 change the direction of the positive first-order diffracted radiation 95 .
  • a light detection unit 107 detects the interference 89 of the two diffracted radiation signals to provide output signals 85 .
  • a signal processor 109 determines any misalignment between the structures from the output signals 85 , preferably by comparing the output signals 85 to a reference signal.
  • the output signals 85 contain information related to phase difference.
  • phase shift interferometry is used to determine misalignment.
  • the phase modulator 129 shifts the phase of positive first-order diffracted radiation 95 .
  • This phase shift of the positive first-order diffracted radiation 95 allows the signal processor 109 to use a simple algorithm to calculate the phase difference between the phase for the positive first-order diffracted radiation 95 and the phase for the negative first-order diffracted radiation 93 .
  • Differential intensity and differential polarization angle can also be determined using optical system 120 .
  • the multi-aperture shutter 131 operates in three modes.
  • the first mode allows both the positive first-order diffracted radiation 95 and the negative first-order diffracted radiation 93 to pass through. In this mode, differential phase is determined, as discussed above.
  • the second mode allows only the positive first-order diffracted radiation 95 to pass through. In this mode, the intensity and polarization angle for the positive first-order diffracted radiation 95 can be determined, as discussed above.
  • the third mode allows only the negative first-order diffracted radiation 93 to pass through. In this mode, the intensity and polarization angle for the negative first-order diffracted radiation 93 can be determined, as discussed above.
  • the multi-aperture shutter 131 is operated in the second mode to determine intensity for positive first-order diffracted radiation 95 and then in the third mode to determine intensity for negative first-order diffracted radiation 93 , or vice versa.
  • the differential intensity is then calculated by subtracting the intensity of the negative first-order diffracted radiation 93 from the intensity of the positive first-order diffracted radiation 95 .
  • the signal processor 109 determines misalignment from the differential intensity.
  • the differential intensity is measured at different incident polarization angles.
  • the measurements result in a large set of data points, which, when compared to a reference signal, provide a high accuracy in the determined value of the misregistration.
  • the multi-aperture shutter 131 is operated in the second mode to determine polarization angle for positive first-order diffracted radiation 95 and then in the third mode to determine polarization angle for negative first-order diffracted radiation 93 , or vice versa.
  • the differential polarization angle is then calculated by subtracting the polarization angle of the negative first-order diffracted radiation 93 from the polarization angle of the positive first-order diffracted radiation 95 .
  • the signal processor 109 determines misalignment from the differential polarization angle.
  • the imaging and focusing of the optical system 120 is verified using the vision and pattern recognition system 115 in the same way as the imaging and focusing of the optical system 110 is in FIG. 10 .
  • the beam splitter 113 splits off radiation 89 to reference light detection unit 137 , which detects fluctuations of the light source 101 .
  • the reference light detection unit 137 communicates information 86 concerning intensity fluctuation of source 101 to the signal processing and computing unit 109 .
  • the signal processor 109 normalizes the output signal 85 using fluctuation information 86 .
  • Optical systems 100 , 110 , 120 can be integrated with a deposition instrument 200 to provide an integrated tool, as shown in FIGS. 9 b, 10 b and 11 b.
  • the deposition instrument 200 provides the overlying or interlaced periodic structures on wafer 91 in step 301 .
  • Optical systems 100 , 110 , 120 obtains misalignment information from the wafer 91 in step 302 .
  • the signal processor 109 of optical systems 100 , 110 , 120 provides the misalignment to the deposition tool 200 in step 303 .
  • the deposition tool uses the misalignment information to correct for any misalignment before providing another layer or periodic structure on wafer 91 in step 301 .
  • Optical systems 100 , 110 , 120 are used to determine the misalignment of overlying or interlaced periodic structures.
  • the source providing polarized incident radiation beam illuminates the first periodic structure 13 and the second periodic structure 15 .
  • Diffracted radiation from the illuminated portions of the overlying or interlaced periodic structures are detected to provide an output signal 85 .
  • the misalignment between the structures is determined from the output signal 85 .
  • the misalignment is determined by comparing the output signal 85 with a reference signal, such as a reference signal from a calibration wafer or a database, compiled as explained below.
  • the invention relates to a method for providing a database to determine misalignment of overlying or interlaced periodic structures.
  • the misalignment of overlying or interlaced periodic structures and structure parameters are provided to calculate data related to radiation diffracted by the structures in response to a beam of radiation.
  • the data can include intensity, polarization angle, or phase information.
  • Calculations can be performed using known equations or by a software package, such as Lambda SW, available from Lambda, University of Arizona, Arlington, Ariz., or Gsolver SW, available from Grating Solver Development Company, P.O. Box 353, Allen, Tex. 75013.
  • Lambda SW uses eigenfunctions approach, described in P.
  • FIGS. 12-24 were generated through computer simulations using either the Lambda SW or the Gsolver SW.
  • FIGS. 12 a and 12 b are graphical plots illustrating the ellipsometric parameters obtained using an overlying target of FIG. 2 a with the optical system of FIG. 9 a. The calculations were performed using the Lambda SW.
  • the overlying target used in the measurement comprises first periodic structure 13 and the second periodic structure 15 made of resist gratings having 1 ⁇ m depth on a silicon substrate.
  • the depth of the first periodic structure 13 and the second periodic structure 15 is 0.5 ⁇ m, and the pitch is 0.8 ⁇ m.
  • the first selected width CD 1 for the first periodic structure 13 is 0.4 ⁇ m
  • the second selected width CD 2 for the second periodic structure 15 is 0 .
  • the incident beam in this embodiment was TE polarized.
  • These target parameters and the overlay misregistration were inputted into the Lambda SW to obtain ellipsometric parameter values.
  • the ellipsometric parameter values were obtained for zero-order diffracted radiation using an incident radiation beam 81 at an angle of 25° to the wafer surface.
  • the ellipsometric parameters, Tan [ ⁇ ] and Cos [ ⁇ ] were plotted as a function of the wavelengths in the spectral range 230 to 400 nanometers.
  • FIGS. 13 and 14 are graphical plots illustrating the differential intensity obtained using overlying targets of FIG. 2 a and an optical system detecting first-order diffracted radiation using normal incident radiation. The calculations were performed using Gsolver SW. The first periodic layer 13 is etched silicon, while the second periodic layer 15 is resist. The overlay misregistration and target parameters were inputted into Gsolver SW to obtain the differential intensity in FIGS. 13 and 14 .
  • FIG. 13 shows the normalized differential intensity between the positive and negative first-order diffracted radiation as a function of overlay misregistrations.
  • the different curves in FIG. 13 correspond to the different incident polarization angles (0°, 50°, 60°, 74°, 80°, and 90°) of the incident linearly polarized light relative to the plane of incidence.
  • Polarization scans from incident polarization angles of 0° to 90° were performed to generate the graphical plots in FIGS. 13 and 14 .
  • FIG. 14 shows the differential intensity as a function of incident polarization angle at different overlay misregistration ( ⁇ 50 nm, ⁇ 35 nm, ⁇ 15 nm, 0 nm, 15 nm, 35 nm, and 50 nm).
  • FIG. 14 shows that there is a neutral polarization angle, defined as an incident polarization angle where the differential intensity is equal to zero for all overlay misregistration.
  • FIGS. 13 and 14 illustrate the high sensitivity of differential intensity to the overlay misregistration and the linear behavior of differential intensity with the overlay misregistration. They also show that the differential intensity is zero at zero overlay misregistration for any polarization angle. Similar graphical plots were obtained at different wavelengths.
  • FIG. 15 shows the mean square error (“MSE”) variation with the overlay misregistration. The MSE exhibits linearity and sensitivity of approximately 0.6 per one nanometer overlay misregistration.
  • MSE mean square error
  • FIGS. 16 and 17 are graphical plots, using the same target with different structure parameters and the same optical system as the ones in FIGS. 13 and 14 .
  • the calculations were performed using the Lambda SW, instead of the Gsolver SW.
  • the kinks or the deviations from the montonicity of the curves at certain points in FIGS. 16 and 17 are believed to be due to numerical instabilities frequently known to occur in the use of the Lambda SW.
  • the overlay misregistration and the target parameters were inputted into Lambda SW to obtain differential polarization angle and differential phase in FIGS. 16 and 17 , respectively.
  • FIG. 16 shows the variation of the difference between the polarization angles of the positive and negative first-order diffracted radiation as a function of overlay misregistration for different incident polarization angles (0°, 5°, 15°, 30°, 45°, 60,° and 90°).
  • FIG. 17 shows the variation of the difference between the phase angles of the positive and negative first-order diffracted radiation.
  • the phase angle here represents the phase difference between the p and s polarized components of the diffracted light.
  • FIGS. 16 and 17 also illustrate the high sensitivity of differential polarization angle and differential phase, respectively, to the overlay misregistration and the linear behavior of differential polarization angle and differential phase, respectively, when plotted against the overlay misregistration. They also show that the differential polarization angle and differential phase is zero at zero overlay misregistration for any polarization angle. However, FIG. 17 shows that the phase difference does not depend on incident polarization. In one embodiment, the difference between the polarization angles, as shown in FIG. 16 , is easily measured with an analyzer at the output, while the phase difference, as shown in FIG. 17 , is measured with interferometry. In another embodiment, the differential polarization angle and the differential phase is derived from ellipsometric parameters.
  • FIGS. 18-19 and 21 - 22 are graphical plots illustrating the intensity of the zero-order diffracted radiation 83 , as shown in FIG. 9 a, for interlaced gratings, as shown in FIG. 6 .
  • Table 1 summarizes the parameters used in the calculations by the Gsolver SW.
  • Parameter Data76 Data0 h1 850 nm 850 nm h2 850 nm 850 nm h3 600 nm 600 nm Pitch (P) 1000 nm 2000 nm CD1 150 nm 200 nm CD2 300 nm 600 nm CD3 150 nm 200 nm Incidence angle ( ⁇ ) 76° 0 Azimuth angle ( ⁇ ) 0 0 Wavelength ( ⁇ ) 670 nm 500 nm The incidence angle is 76° in the Data76 configuration, and the incidence angle is 0° (normal) in the Data0 configuration.
  • FIGS. 18-20 were derived using the Data76 configuration.
  • FIG. 18 shows the intensity of the zero-order diffracted radiation versus the overlay misregistration at different polarization angles (0° to 90° in steps of 15°). Within a range of 140 nm, the changes are monotonic with the overlay misregistration. The point where all the curves cross is at an overlay misregistration value of 50 nm, rather than zero. At an overlay misregistration value of 50 nm, the structure is effectively most symmetric. In contrast, in an overlying target as in FIG. 2 a, the structure is most symmetric at zero overlay misregistration.
  • FIG. 18 shows the intensity of the zero-order diffracted radiation versus the overlay misregistration at different polarization angles (0° to 90° in steps of 15°). Within a range of 140 nm, the changes are monotonic with the overlay misregistration. The point where all the curves cross is at an overlay misregistration value of 50 nm, rather than zero. At an overlay misregistration value of 50 n
  • FIG. 19 shows the dependence of the intensity of the zero-order diffracted radiation on the incident polarization angle at different overlay misregistrations ( ⁇ 50 nm, ⁇ 15 nm, 0 nm, 20 nm, 40 nm, 60 nm, 80 nm, 100 nm, and 130 nm). Unlike with the differential intensity of the first-order diffracted radiation, there is not a neutral polarization angle where the differential intensity is zero for different overlay misregistration. However, there is a quasi-neutral polarization angle where most of the curves for different misregistration cross.
  • FIG. 20 shows the MSE variation as a function of overlay misregistration.
  • FIGS. 21-23 were derived using the Data0 configuration.
  • FIG. 21 shows the intensity of the zero-order diffracted radiation versus the overlay misregistration at different polarization angles (0°, 40°, 65,° and 90°).
  • FIG. 22 shows the dependence of the intensity of the zero-order diffracted radiation on the incident polarization angle at different overlay misregistrations ( ⁇ 140 nm, ⁇ 100 nm, ⁇ 50 nm, 0 nm, 50 nm, and 100 nm).
  • FIG. 23 shows the MSE variation as a function of overlay misregistration.
  • FIGS. 21 and 22 show the high sensitivity of the intensity of zero-order diffracted radiation to the overlay sign for a configuration using normal incident radiation on interlaced gratings. They also show the linear behavior of the intensity when plotted against the overlay misregistration.
  • FIG. 24 is a graphical plot generated by the Gsolver SW illustrating the determination of misalignment from the neutral polarization angle.
  • the differential intensity equals zero independent of the overlay misregistration at the neutral polarization angle.
  • the slope of the differential intensity varies with overlay misregistration.
  • FIG. 24 shows the slope near the neutral polarization angle as a function of overlay misregistration.
  • FIG. 24 shows linear behavior of the slope versus the overlay misregistration with a slope of 0.038% per 1 nm overlay misregistration.
  • An advantage of the slope measurement technique is the reduction of the number of parameters that need to be determined. Another advantage is the decreased polarization scanning needed. In FIG.
  • a polarization scan using incident polarization angles from 0° to 90° is performed.
  • the derived signal is compared with the reference signal for polarization angles within about five degrees of the neutral polarization angle.
  • the method of detecting misalignment is faster when using the slope measurement technique.
  • Another embodiment of the invention is the use of the slope measurement technique for the quasi-neutral polarization angle.
  • Misalignment of overlying or interlaced periodic structures can be determined using the database in a preferred embodiment.
  • the source providing polarized incident radiation illuminates the first periodic structure 13 and the second periodic structure 15 .
  • Diffracted radiation from the illuminated portions of the overlying or interlaced periodic structures are detected to provide an output signal 85 .
  • the output signal 85 is compared with the database to determine the misalignment between the overlying or interlaced periodic structures.
  • misalignment of overlying or interlaced periodic structures is determined using the slope measurement technique.
  • a neutral polarization angle or quasi-neutral polarization angle is provided.
  • the derived signal is compared with the reference signal near the neutral polarization angle or the quasi-neutral polarization angle to determine misalignment of the overlying or interlaced periodic structures.

Abstract

A method and system to measure misalignment error between two overlying or interlaced periodic structures are proposed. The overlying or interlaced periodic structures are illuminated by incident radiation, and the diffracted radiation of the incident radiation by the overlying or interlaced periodic structures are detected to provide an output signal. The misalignment between the overlying or interlaced periodic structures may then be determined from the output signal.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of application Ser. No. 11/062,255, filed Feb. 18, 2005; which is a continuation of application Ser. No. 10/682,544, filed Oct. 8, 2003, now abandoned; which is a continuation of application Ser. No. 09/833,084 filed Apr. 10, 2001, now abandoned; which applications are incorporated by reference as if fully set forth herein.
  • BACKGROUND OF THE INVENTION
  • The invention relates in general to metrology systems for measuring periodic structures such as overlay targets, and, in particular, to a metrology system employing diffracted light for detecting misalignment of such structures.
  • Overlay error measurement requires specially designed marks to be strategically placed at various locations, normally in the scribe line area between dies, on the wafers for each process. The alignment of the two overlay targets from two consecutive processes is measured for a number of locations on the wafer, and the overlay error map across the wafer is analyzed to provide feedback for the alignment control of lithography steppers.
  • A key process control parameter in the manufacturing of integrated circuits is the measurement of overlay target alignment between successive layers on a semiconductor wafer. If the two overlay targets are misaligned relative to each other, then the electronic devices fabricated will malfunction, and the semiconductor wafer will need to be reworked or discarded.
  • Measurement of overlay misregistration between layers is being performed today with optical microscopy in different variations: brightfield, darkfield, confocal, and interference microscopy, as described in Levinson, “Lithography Process Control,” Chapter 5, SPIE Press Vol. TT28, 1999. Overlay targets may comprise fine structures on top of the wafer or etched into the surface of the wafer. For example, one overlay target may be formed by etching into the wafer, while another adjacent overlay target may be a resist layer at a higher elevation over the wafer. The target being used for this purpose is called box-in-box where the outer box, usually 10 to 30 μm, represents the position of the bottom layer, while the inner box is smaller and represents the location of the upper layer. An optical microscopic image is grabbed for this target and analyzed with image processing techniques. The relative location of the two boxes represents what is called the overlay misregistration, or the overlay. The accuracy of the optical microscope is limited by the accuracy of the line profiles in the target, by aberrations in the illumination and imaging optics and by the image sampling in the camera. Such methods are complex and they require full imaging optics. Vibration isolation is also required.
  • These techniques suffer from a number of drawbacks. First, the grabbed target image is highly sensitive to the optical quality of the system, which is never ideal. The optical quality of the system may produce errors in the calculation of the overlay misregistration. Second, optical imaging has a fundamental limit on resolution, which affects the accuracy of the measurement. Third, an optical microscope is a relatively bulky system. It is difficult to integrate an optical microscope into another system, such as the end of the track of a lithographic stepper system. It is desirable to develop an improved system to overcome these drawbacks.
  • SUMMARY OF THE INVENTION
  • A target for determining misalignment between two layers of a device has two periodic structures of lines and spaces on the two different layers of a device. The two periodic structures overlie or are interlaced with each other. The layers or periodic structures may be at the same or different heights. In one embodiment, either the first periodic structure or the second periodic structure has at least two sets of interlaced grating lines having different periods, line widths or duty cycles. The invention also relates to a method of making overlying or interlaced targets.
  • An advantage of the target is the use of the same diffraction system and the same target to measure critical dimension and overlay misregistration. Another advantage of the measurement of misregistration of the target is that it is free from optical asymmetries usually associated with imaging.
  • The invention also relates to a method of detecting misalignment between two layers of a device. The overlying or interlaced periodic structures are illuminated by incident radiation. The diffracted radiation from the overlying or interlaced periodic structures is used to provide an output signal. In one embodiment, a signal is derived from the output signal. The misalignment between the structures is determined from the output signal or the derived signal. In one embodiment, the output signal or the derived signal is compared with a reference signal. A database that correlates the misalignment with data related to diffracted radiation can be constructed.
  • An advantage of this method is the use of only one incident radiation beam. Another advantage of this method is the high sensitivity of zero-order and first-order diffracted light to the overlay misregistration between the layers. In particular, properties which exhibited high sensitivity are intensity, phase and polarization properties of zero-order diffraction; differential intensity between the positive and negative first-order diffraction; differential phase between the positive and negative first-order diffraction; and differential polarization between the positive and negative first-order diffraction. These properties also yielded linear graphs when plotted against the overlay misalignment. This method can be used to determine misalignment on the order of nanometers.
  • In one embodiment, a neutral polarization angle, defined as an incident polarization angle where the differential intensity is equal to zero for all overlay misregistrations, is determined. The slope of differential intensity as a function of incident polarization angle is highly linear when plotted against the overlay misregistration. This linear behavior reduces the number of parameters that need to be determined and decreases the polarization scanning needed. Thus, the method of detecting misalignment is faster when using the slope measurement technique.
  • The invention also relates to an apparatus for detecting misalignment of overlying or interlaced periodic structures. The apparatus comprises a source, at least one analyzer, at least one detector, and a signal processor to determine misalignment of overlying or interlaced periodic structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 a-1 h are cross-sectional views illustrating basic process steps in semiconductor processing.
  • FIG. 2 a is a cross-sectional view of two overlying periodic structures. FIGS. 2 b and 2 c are top views of the two overlying periodic structures of FIG. 2 a.
  • FIG. 3 is a top view of two overlying periodic structures illustrating an embodiment of the invention.
  • FIGS. 4 a and 4 b are cross-sectional views of overlying or interlaced periodic structures illustrating other embodiments of the invention.
  • FIGS. 5 a and 5 b are cross-sectional views of two interlaced periodic structures illustrating interlaced gratings in an embodiment of the invention.
  • FIG. 6 is a cross-sectional view of two interlaced periodic structures illustrating interlaced gratings in another embodiment of the invention.
  • FIGS. 7 a and 7 b are schematic views illustrating negative and positive overlay shift, respectively.
  • FIG. 8 is a schematic view illustrating the diffraction of light from a grating structure.
  • FIG. 9 a is a schematic block diagram of an optical system that measures zero-order diffraction from overlying or interlaced periodic structures. FIG. 9 b is a schematic block diagram of an integrated system of the optical system of FIG. 9 a and a deposition instrument.
  • FIGS. 10 a and 11 a are schematic block diagrams of an optical system that measures first-order diffraction from a normal incident beam on overlying or interlaced periodic structures. FIGS. 10 b and 11 b are schematic block diagrams of integrated systems of the optical systems of FIGS. 10 a and 11 a, respectively, and a deposition instrument.
  • FIGS. 12 a and 12 b are graphical plots of derived signals from zero-order diffraction of incident radiation on overlying structures.
  • FIGS. 13-14 and 16-17 are graphical plots of derived signals from first-order diffraction of incident radiation on overlying structures. FIG. 15 is a graphical plot illustrating the mean square error.
  • FIGS. 18-19 and 21-22 are graphical plots of derived signals from zero-order diffraction of incident radiation on interlaced gratings. FIGS. 20 and 23 are graphical plots illustrating the mean square error.
  • FIG. 24 is a graphical plot illustrating the determination of misalignment from a slope near a neutral polarization angle.
  • For simplicity of description, identical components are labeled by the same numerals in this application.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • FIG. 2 a is a cross-sectional view of a target 11 comprising two periodic structures 13, 15 on two layers 31, 33 of a device 17. The second periodic structure 15 is overlying or interlaced with the first periodic structure 13. The layers and the periodic structures may be at the same or different heights. The device 17 can be any device of which the alignment between two layers, particularly layers having small features on structures, needs to be determined. These devices are typically semiconductor devices; thin films for magnetic heads for data storage devices such as tape recorders; and flat panel displays.
  • As shown in FIGS. 1 a-1 h, a device 17 is generally formed in a basic series of steps for each layer. First, as shown in FIG. 1 a, a layer 2 is formed on a semiconductor substrate 1. The layer 2 may be formed by oxidization, diffusion, implantation, evaporation, or deposition. Second, as shown in FIG. 1 b, resist 3 is deposited on the layer 2. Third, as shown in FIG. 1 c, the resist 3 is selectively exposed to a form of radiation 5. This selective exposure is accomplished with an exposure tool and mask 4, or data tape in electron or ion beam lithography (not shown). Fourth, as shown in FIG. 1 d, the resist 3 is developed. The resist 3 protects the regions 6 of the layer 2 that it covers. Fifth, as shown in FIG. 1 e, the exposed regions 7 of the layer 2 are etched away. Sixth, as shown in FIG. 1 f, the resist 3 is removed. Alternatively, in another embodiment, another material 8 can be deposited in the spaces 7, as shown in FIG. 1 e, of the etched layer 2, as shown in FIG. 1 g, and the resist 3 is removed after the deposition, as shown in FIG. 1 h. This basic series of steps is repeated for each layer until the desired device is formed.
  • A first layer 31 and a second layer 33 can be any layer in the device. Unpatterned semiconductor, metal or dielectric layers may be deposited or grown on top of, underneath, or between the first layer 31 and the second layer 33.
  • The pattern for the first periodic structure 13 is in the same mask as the pattern for a first layer 31 of the device, and the pattern for the second periodic structure 15 is in the same mask as the pattern for a second layer 33 of the device. In one embodiment, the first periodic structure 13 or the second periodic structure 15 is the etched spaces 7 of the first layer 31 or the second layer 33, respectively, as shown in FIG. 1 f. In another embodiment, the first periodic structure 13 or the second periodic structure 15 is the lines 2 of the first layer 31 or the second layer 33, respectively, as shown in FIG. 1 f. In another embodiment, the first periodic structure 13 or the second periodic structure 15 is another material 8 deposited in the spaces 7 of the first layer 31 or the second layer 33, respectively, as shown in FIG. 1 h. In yet another embodiment, the second layer 33 is resist, and the second periodic structure 15 is resist 3 gratings, as shown in FIG. 1 d.
  • The first periodic structure 13 has the same alignment as the first layer 31, since the same mask was used for the pattern for the first periodic structure 13 and for the pattern for the first layer 31. Similarly, the second periodic structure 15 has the same alignment as the second layer 33. Thus, any overlay misregistration error in the alignment between the first layer 31 and the second layer 33 will be reflected in the alignment between the first periodic structure 13 and the second periodic structure 15.
  • FIGS. 2 b and 2 c are top views of target 11. In one embodiment, as illustrated in FIG. 2 a, the first periodic structure 13 has a first selected width CD1, and the second periodic structure 15 has a second selected width CD2. The second selected width CD2 is less than the first selected width CD1. The pitch, also called the period or the unit cell, of a periodic structure is the distance after which the pattern is repeated. The distance between the left edge of the first periodic structure 13 and the left edge of the second periodic structure 15 is d1, and the distance between the right edge of the first periodic structure 13 and the right edge of the second periodic structure 15 is d2. In a preferred embodiment, when layers 31, 33 are properly aligned relative to each other, the second periodic structure 15 is centered over the first periodic structure 13. In other words, when the second periodic structure 15 is perfectly centered over the first periodic structure 13, the misregistration is zero, and d1=d2. In this embodiment, the misregistration is indicated by d2−d1. To obtain misregistration in both the X and Y directions of the XY coordinate system, another target 12 comprising two periodic structures 14, 16 similar to target 11 is placed substantially perpendicular to target 11, as shown in FIG. 2 c.
  • The target 11 is particularly desirable for use in photolithography, where the first layer 31 is exposed to radiation for patterning purposes of a semiconductor wafer and the second layer 33 is resist. In one embodiment, the first layer 31 is etched silicon, and the second layer 33 is resist.
  • FIGS. 4 a and 4 b show alternative embodiments. In one embodiment, FIG. 4 a illustrates a first periodic structure 13 of oxide having a trapezoidal shape on a first layer 31 of silicon substrate and a second periodic structure 15 of resist with a second layer 33 of resist. The first layer 31 of silicon is etched, and shallow trench isolation (“STI”) oxide is deposited in the spaces of the etched silicon. The lines of STI oxide form the first periodic structure 13. An oxide layer 34 and a uniform polysilicon layer 35 are deposited between the first layer 31 of silicon and the second layer 33 of resist. The configuration in FIG. 4 a shows a line on space configuration, where the second periodic structure 15 is placed aligned with the spaces between the first periodic structure 13. The invention also encompasses embodiments such as the line on line configuration, where the lines in the second periodic structure 15 are placed on top of and aligned with the lines in the first periodic structure 13, as shown by the dotted lines in FIG. 4 a.
  • In another embodiment, FIG. 4 b illustrates a first periodic structure 13 of tungsten etched in a first layer 31 of oxide and a second periodic structure 15 of resist with a second layer 33 of resist. The first layer 31 and the second layer 33 are separated by an aluminum blanket 37.
  • The invention relates to a method of making a target 11. A first periodic structure 13 is placed over a first layer 31 of a device 17. A second periodic structure 15 is placed over a second layer 33 of the device 17. The second periodic structure 15 is overlying or interlaced with the first periodic structure 13.
  • In one embodiment, another target 12 is placed substantially perpendicular to target 11, as shown in FIG. 2 c. A third periodic structure 14 is placed over the first layer 31, and a fourth periodic structure 14 is placed over the second layer 33. The third periodic structure 14 is substantially perpendicular to the first periodic structure 13, and the fourth periodic structure 16 is substantially perpendicular to the second periodic structure 15.
  • An advantage of the target 11 is that the measurement of misregistration of the target is free from optical asymmetries usually associated with imaging. Another advantage of this measurement is that it does not require scanning over the target as it is done with other techniques, such as in Bareket, U.S. Pat. No. 6,023,338. Another advantage of the target 11 is the elimination of a separate diffraction system and a different target to measure the critical dimension (“CD”) of a periodic structure. The critical dimension, or a selected width of a periodic structure, is one of many target parameters needed to calculate misregistration. Using the same diffraction system and the same target to measure both the overlay misregistration and the CD is more efficient. The sensitivity associated with the CD and that with the misregistration is distinguished by using an embodiment of a target as shown in FIG. 3. The second periodic structure 15 extends further to an area, the CD region 21, where the first periodic structure 13 does not extend. The first selected width CD1 is measured before placing the second periodic structure 15 on the device 17. After forming the target, the second selected width CD2 alone can be measured in the CD region 21. In a separate measurement, the misregistration is determined in an overlay region 19 where both the first 13 and second 15 periodic structures lie.
  • FIGS. 5 a and 5 b are cross-sectional views of an embodiment of a target having interlaced gratings. The first periodic structure 13 or the second periodic structure 15 has at least two interlaced grating lines having different periods, line widths or duty cycles. The first periodic structure 13 is patterned with the same mask as that for the first layer 31, and the second periodic structure 15 is patterned with the same mask as that for the second layer 33. Thus, the first periodic structure 13 has the same alignment as the first layer 31, and the second periodic structure 15 has the same alignment as the second layer 33. Any misregistration between the first layer 31 and the second layer 33 is reflected in the misregistration between the first periodic structure 13 and the second periodic structure 15.
  • In the embodiment shown in FIGS. 5 a and 5 b, the first periodic structure 13 has two interlaced grating lines 51, 53. The first interlaced grating lines 51 have a line-width L1, and the second interlaced grating lines 53 have a line-width L2. The second periodic structure 15, as shown in FIG. 5 b, has a line-width L3 and is centered between the first interlaced grating lines 51 and the second interlaced grating lines 53. The distance between the right edge of the first interlaced grating 51 and the adjacent left edge of the second interlaced grating 53 is represented by b, and the distance between the right edge of the second periodic structure 15 and the adjacent left edge of the second interlaced grating 53 is represented by c. The misregistration between the first layer 31 and the second layer 33 is equal to the misregistration ε between the first periodic structure 13 and the second periodic structure 15. The misregistration ε is: ɛ = b 2 - L 3 2 - c ( 1 )
    Where c=0, the resulting periodic structure has the most asymmetric unit cell composed of a line with width of L2+L3 and a line with width L1. Where c=b−L3, the resulting periodic structure has the most symmetric unit cell composed of a line with width L1+L3 and a line with width L2. For example, if the two layers are made of the same material and L=L3=L2/2, then the lines are identical where c=0, while one line is twice as wide as the other line where c=b−L3.
  • FIG. 6 shows an alternative embodiment of a target having interlaced gratings. The first periodic structure 13 is etched silicon, and the second periodic target 15 is resist. The first layer 31 of silicon substrate and the second layer 33 of resist are separated by an oxide layer 39.
  • The invention also relates to a method of making a target 11. A first periodic structure 13 is placed over a first layer 31 of a device 17. A second periodic structure 15 is placed over a second layer 33 of the device 17. The second periodic structure 15 is overlying or interlaced with the first periodic structure 13. Either the first periodic structure 13 or the second periodic structure 15 has at least two interlaced grating lines having different periods, line widths or duty cycles.
  • An advantage of interlaced gratings is the ability to determine the sign of the shift of the misregistration from the symmetry of the interlaced gratings. FIGS. 7 a and 7 b are schematic drawings illustrating negative and positive overlay shift, respectively, in the X direction of the XY coordinate system. Center line 61 is the center of a grating 63. When the grating 63 is aligned perfectly, the center line 61 is aligned with the Y axis of the XY coordinate system. As shown in FIG. 7 a, a negative overlay shift is indicated by the center line 61 being in the negative X direction. As shown in FIG. 7 b, a positive overlay shift is indicated by the center line 61 being in the positive X direction. The negative overlay shift is indicated by a negative number for the misregistration, and the positive overlay shift is indicated by a positive number for the misregistration. The misregistration can be determined using the method discussed below. In the case of the interlaced gratings, a negative overlay shift results in a more symmetrical unit cell, as where c=b−L3, discussed above. A positive overlay shift results in a more asymmetrical unit cell, as where c=0, discussed above.
  • The invention relates to a method to determine misalignment using diffracted light. FIG. 8 is a schematic view showing the diffraction of light from a grating structure 71. In one embodiment, incident radiation 73 having an oblique angle of incidence θ illuminates the grating structure 71. The grating structure 71 diffracts radiation 75, 77, 79. Zero-order diffraction 75 is at the same oblique angle θ to the substrate as the incident radiation 73. Negative first-order diffraction 77 and positive first-order diffraction 79 are also diffracted by the grating structure 71.
  • Optical systems for determining misalignment of overlying or interlaced periodic structures are illustrated in FIGS. 9 a, 10 a, and 11 a. FIG. 9 a shows an optical system 100 using incident radiation beam 81 with an oblique angle of incidence and detecting zero-order diffracted radiation 83. A source 102 provides polarized incident radiation beam 81 to illuminate periodic structures on a wafer 91. The incident radiation beam may be substantially monochromatic or polychromatic. The source 102 comprises a light source 101 and optionally a collimating/focusing/polarizing optical module 103. The structures diffract zero-order diffracted radiation 83. A collimating/focusing/analyzing optical module 105 collects the zero-order diffracted radiation 83, and a light detection unit 107 detects the zero-order diffracted radiation 83 collected by the analyzer in module 105 to provide an output signal 85. A signal processor 109 determines any misalignment between the structures from the output signal 85. The output signal 85 is used directly to determine misalignment from the intensity of the zero-order diffracted radiation 83. In a preferred embodiment, the misalignment is determined by comparing the intensity with a reference signal, such as a reference signal from a calibration wafer or a database, compiled as explained below. In one embodiment, the signal processor 109 calculates a derived signal from the output signal 85 and determines misalignment from the derived signal. The derived signal can include polarization or phase information. In this embodiment, the misalignment is determined by comparing the derived signal with a reference signal.
  • In one embodiment, optical system 100 provides ellipsometric parameter values, which are used to derive polarization and phase information. In this embodiment, the source 102 includes a light source 101 and a polarizer in module 103. Additionally, a device 104 causes relative rotational motion between the polarizer in module 103 and the analyzer in module 105. Device 104 is well known in the art and is not described for this reason. The polarization of the reflected light is measured by the analyzer in module 105, and the signal processor 109 calculates the ellipsometric parameter values, tan(Ψ) and cos(Δ), from the polarization of the reflected light. The signal processor 109 uses the ellipsometric parameter values to derive polarization and phase information. The phase is Δ. The polarization angle a is related to tan(Ψ) through the following equation: tan α = 1 tan Ψ ( 2 )
    The signal processor 109 determines misalignment from the polarization or phase information, as discussed above.
  • The imaging and focusing of the optical system 100 in one embodiment is verified using the vision and pattern recognition system 115. The light source 101 provides a beam for imaging and focusing 87. The beam for imaging and focusing 87 is reflected by beam splitter 113 and focused by lens 111 to the wafer 91. The beam 87 then is reflected back through the lens 111 and beam splitter 113 to the vision and pattern recognition system 115. The vision and pattern recognition system 115 then sends a recognition signal 88 for keeping the wafer in focus for measurement to the signal processor 109.
  • FIG. 10 a illustrates an optical system 110 using normal incident radiation beam 82 and detecting first-order diffracted radiation 93, 95. A source 202 provides polarized incident radiation beam 82 to illuminate periodic structures on a wafer 91. In this embodiment, the source 202 comprises a light source 101, a polarizer 117 and lens 111. The structures diffract positive first-order diffracted radiation 95 and negative first-order diffracted radiation 93. Analyzers 121, 119 collect positive first-order diffracted radiation 95 and negative first-order diffracted radiation 93, respectively. Light detection units 125, 123 detect the positive first-order diffracted radiation 95 and the negative first-order diffracted radiation 93, respectively, collected by analyzers 121, 119, respectively, to provide output signals 85. A signal processor 109 determines any misalignment between the structures from the output signals 85, preferably by comparing the output signals 85 to a reference signal. In one embodiment, the signal processor 109 calculates a derived signal from the output signals 85. The derived signal is a differential signal between the positive first-order diffracted radiation 95 and the negative first-order diffracted radiation 93. The differential signal can indicate a differential intensity, a differential polarization angle, or a differential phase.
  • Optical system 110 determines differential intensity, differential polarization angles, or differential phase. To determine differential phase, optical system 110 in one embodiment uses an ellipsometric arrangement comprising a light source 101, a polarizer 117, an analyzer 119 or 121, a light detector 123 or 125, and a device 104 that causes relative rotational motion between the polarizer 117 and the analyzer 119 or 121. Device 104 is well known in the art and is not described for this reason. This arrangement provides ellipsometric parameters for positive first-order diffracted radiation 95 and ellipsometric parameters for negative first-order diffracted radiation 93, which are used to derive phase for positive first-order diffracted radiation 95 and phase for negative first-order diffracted radiation 93, respectively. As discussed above, one of the ellipsometric parameters is cos(Δ), and the phase is Δ. Differential phase is calculated by subtracting the phase for the negative first-order diffracted radiation 93 from the phase for the positive first-order diffracted radiation 95.
  • To determine differential polarization angles, in one embodiment, the polarizer 117 is fixed for the incident radiation beam 82, and the analyzers 121, 119 are rotated, or vice versa. The polarization angle for the negative first-order diffracted radiation 93 is determined from the change in intensity as either the polarizer 117 or analyzer 119 rotates. The polarization angle for the positive first-order diffracted radiation 95 is determined from the change in intensity as either the polarizer 117 or analyzer 121 rotates. A differential polarization angle is calculated by subtracting the polarization angle for the negative first-order diffracted radiation 93 from the polarization angle for the positive first-order diffracted radiation 95.
  • To determine differential intensity, in one embodiment, the analyzers 119, 121 are positioned without relative rotation at the polarization angle of the first-order diffracted radiation 93, 95. Preferably, at the polarization angle where the intensity of the diffracted radiation is a maximum, the intensity of the positive first-order diffracted radiation 95 and the intensity of the negative first-order diffracted intensity 93 is detected by the detectors 125, 123. Differential intensity is calculated by subtracting the intensity for the negative first-order diffracted radiation 93 from the intensity for the positive first-order diffracted radiation 95.
  • In another embodiment, the differential intensity is measured as a function of the incident polarization angle. In this embodiment, the polarizer 117 is rotated, and the analyzers 119, 121 are fixed. As the polarizer 117 rotates, the incident polarization angle changes. The intensity of the positive first-order diffracted radiation 95 and the intensity of the negative first-order diffracted radiation 93 is determined for different incident polarization angles. Differential intensity is calculated by subtracting the intensity for the negative first-order diffracted radiation 93 from the intensity for the positive first-order diffracted radiation 95.
  • The imaging and focusing of the optical system 110 in one embodiment is verified using the vision and pattern recognition system 115. After incident radiation beam 82 illuminates the wafer 91, a light beam for imaging and focusing 87 is reflected through the lens 111, polarizer 117, and beam splitter 113 to the vision and pattern recognition system 115. The vision and pattern recognition system 115 then sends a recognition signal 88 for keeping the wafer in focus for measurement to the signal processor 109.
  • FIG. 11 a illustrates an optical system 120 where first-order diffracted radiation beams 93, 95 are allowed to interfere. The light source 101, device 104, polarizer 117, lens 111, and analyzers 119, 121 operate the same way in optical system 120 as they do in optical system 110. Device 104 is well known in the art and is not described for this reason. Once the negative first-order diffracted radiation 93 and positive first-order diffracted radiation 95 are passed through the analyzers 119, 112, respectively, a first device causes the positive first-order diffracted radiation 95 and the negative first-order diffracted radiation 93 to interfere. In this embodiment, the first device comprises a multi-aperture shutter 131 and a flat beam splitter 135. The multi-aperture shutter 131 allows both the negative first-order diffracted radiation 93 and the positive first-order diffracted beam 95 to pass through it. The flat beam splitter 135 combines the negative first-order diffracted radiation 93 and the positive first-order diffracted radiation 95. In this embodiment, the mirrors 127, 133 change the direction of the positive first-order diffracted radiation 95. A light detection unit 107 detects the interference 89 of the two diffracted radiation signals to provide output signals 85. A signal processor 109 determines any misalignment between the structures from the output signals 85, preferably by comparing the output signals 85 to a reference signal. The output signals 85 contain information related to phase difference.
  • In one embodiment, phase shift interferometry is used to determine misalignment. The phase modulator 129 shifts the phase of positive first-order diffracted radiation 95. This phase shift of the positive first-order diffracted radiation 95 allows the signal processor 109 to use a simple algorithm to calculate the phase difference between the phase for the positive first-order diffracted radiation 95 and the phase for the negative first-order diffracted radiation 93.
  • Differential intensity and differential polarization angle can also be determined using optical system 120. The multi-aperture shutter 131 operates in three modes. The first mode allows both the positive first-order diffracted radiation 95 and the negative first-order diffracted radiation 93 to pass through. In this mode, differential phase is determined, as discussed above. The second mode allows only the positive first-order diffracted radiation 95 to pass through. In this mode, the intensity and polarization angle for the positive first-order diffracted radiation 95 can be determined, as discussed above. The third mode allows only the negative first-order diffracted radiation 93 to pass through. In this mode, the intensity and polarization angle for the negative first-order diffracted radiation 93 can be determined, as discussed above.
  • To determine differential intensity, the multi-aperture shutter 131 is operated in the second mode to determine intensity for positive first-order diffracted radiation 95 and then in the third mode to determine intensity for negative first-order diffracted radiation 93, or vice versa. The differential intensity is then calculated by subtracting the intensity of the negative first-order diffracted radiation 93 from the intensity of the positive first-order diffracted radiation 95. The signal processor 109 determines misalignment from the differential intensity.
  • In one embodiment, the differential intensity is measured at different incident polarization angles. The measurements result in a large set of data points, which, when compared to a reference signal, provide a high accuracy in the determined value of the misregistration.
  • To determine differential polarization angle, the multi-aperture shutter 131 is operated in the second mode to determine polarization angle for positive first-order diffracted radiation 95 and then in the third mode to determine polarization angle for negative first-order diffracted radiation 93, or vice versa. The differential polarization angle is then calculated by subtracting the polarization angle of the negative first-order diffracted radiation 93 from the polarization angle of the positive first-order diffracted radiation 95. The signal processor 109 determines misalignment from the differential polarization angle.
  • The imaging and focusing of the optical system 120 is verified using the vision and pattern recognition system 115 in the same way as the imaging and focusing of the optical system 110 is in FIG. 10. In one embodiment, the beam splitter 113 splits off radiation 89 to reference light detection unit 137, which detects fluctuations of the light source 101. The reference light detection unit 137 communicates information 86 concerning intensity fluctuation of source 101 to the signal processing and computing unit 109. The signal processor 109 normalizes the output signal 85 using fluctuation information 86.
  • Optical systems 100, 110, 120 can be integrated with a deposition instrument 200 to provide an integrated tool, as shown in FIGS. 9 b, 10 b and 11 b. The deposition instrument 200 provides the overlying or interlaced periodic structures on wafer 91 in step 301. Optical systems 100, 110, 120 obtains misalignment information from the wafer 91 in step 302. The signal processor 109 of optical systems 100, 110, 120 provides the misalignment to the deposition tool 200 in step 303. The deposition tool uses the misalignment information to correct for any misalignment before providing another layer or periodic structure on wafer 91 in step 301.
  • Optical systems 100, 110, 120 are used to determine the misalignment of overlying or interlaced periodic structures. The source providing polarized incident radiation beam illuminates the first periodic structure 13 and the second periodic structure 15. Diffracted radiation from the illuminated portions of the overlying or interlaced periodic structures are detected to provide an output signal 85. The misalignment between the structures is determined from the output signal 85. In a preferred embodiment, the misalignment is determined by comparing the output signal 85 with a reference signal, such as a reference signal from a calibration wafer or a database, compiled as explained below.
  • The invention relates to a method for providing a database to determine misalignment of overlying or interlaced periodic structures. The misalignment of overlying or interlaced periodic structures and structure parameters, such as thickness, refractive index, extinction coefficient, or critical dimension, are provided to calculate data related to radiation diffracted by the structures in response to a beam of radiation. The data can include intensity, polarization angle, or phase information. Calculations can be performed using known equations or by a software package, such as Lambda SW, available from Lambda, University of Arizona, Tucson, Ariz., or Gsolver SW, available from Grating Solver Development Company, P.O. Box 353, Allen, Tex. 75013. Lambda SW uses eigenfunctions approach, described in P. Sheng, R. S. Stepleman, and P. N. Sandra, Exact Eigenfunctions for Square Wave Gratings: Applications to Diffraction and Surface Plasmon Calculations, Phys. Rev. B, 2907-2916 (1982), or the modal approach, described in L. Li, A Modal Analysis of Lamellar Diffraction Gratings in Conical Mountings, J. Mod. Opt. 40, 553-573 (1993). Gsolver SW uses rigorous coupled wave analysis, described in M. G. Moharam and T. K. Gaylord, Rigorous Coupled-Wave Analysis of Planar-Grating Diffraction, J. Opt. Soc. Am. 73, 1105-1112 (1983). The data is used to construct a database correlating the misalignment and the data. The overlay misregistration of a target can then be determined by comparing the output signal 85 with the database.
  • FIGS. 12-24 were generated through computer simulations using either the Lambda SW or the Gsolver SW. FIGS. 12 a and 12 b are graphical plots illustrating the ellipsometric parameters obtained using an overlying target of FIG. 2 a with the optical system of FIG. 9 a. The calculations were performed using the Lambda SW. The overlying target used in the measurement comprises first periodic structure 13 and the second periodic structure 15 made of resist gratings having 1 μm depth on a silicon substrate. The depth of the first periodic structure 13 and the second periodic structure 15 is 0.5 μm, and the pitch is 0.8 μm. The first selected width CD1 for the first periodic structure 13 is 0.4 μm, and the second selected width CD2 for the second periodic structure 15 is 0.2 μm. The incident beam in this embodiment was TE polarized. These target parameters and the overlay misregistration were inputted into the Lambda SW to obtain ellipsometric parameter values. The ellipsometric parameter values were obtained for zero-order diffracted radiation using an incident radiation beam 81 at an angle of 25° to the wafer surface. The ellipsometric parameters, Tan [Ψ] and Cos [Δ], were plotted as a function of the wavelengths in the spectral range 230 to 400 nanometers. The ellipsometric parameters are defined as: tan Ψ = r p r s ( 3 )
    where rp and rs are the amplitude reflection coefficients for the p(TM) and s(TE) polarizations, and
    Δ=Φp−Φs   (4)
    where Φp and Φs are the phases for the p(TM) and s(TE) polarizations. Results were obtained for different values of overlay misregistration d2−d1 varying from −15 nanometers to 15 nanometers in steps of 5 nanometers. The variations for tan [Ψ] and cos [Δ] show sensitivity to the misregistration in the nanometer scale. To get more accurate results, first-order diffracted radiation is detected using normal incident radiation, as in FIGS. 13-14.
  • FIGS. 13 and 14 are graphical plots illustrating the differential intensity obtained using overlying targets of FIG. 2 a and an optical system detecting first-order diffracted radiation using normal incident radiation. The calculations were performed using Gsolver SW. The first periodic layer 13 is etched silicon, while the second periodic layer 15 is resist. The overlay misregistration and target parameters were inputted into Gsolver SW to obtain the differential intensity in FIGS. 13 and 14. FIG. 13 shows the normalized differential intensity between the positive and negative first-order diffracted radiation as a function of overlay misregistrations. The differential intensity is defined as: DS = R + 1 - R - 1 R + 1 + R - 1 % ( 5 )
    where R+1 is the intensity of the positive first-order diffracted radiation and R−1 is the intensity of the negative first-order diffracted radiation. The different curves in FIG. 13 correspond to the different incident polarization angles (0°, 50°, 60°, 74°, 80°, and 90°) of the incident linearly polarized light relative to the plane of incidence. The polarization angle α is defined as: α = arctan ( E s E p ) ( 6 )
    where Es is the field component perpendicular to the plane of incidence, which for normal incidence is the Y component in the XY coordinate system, and Ep is the field component parallel to the plane of incidence, which for normal incidence is the X component. Polarization scans from incident polarization angles of 0° to 90° were performed to generate the graphical plots in FIGS. 13 and 14. FIG. 14 shows the differential intensity as a function of incident polarization angle at different overlay misregistration (−50 nm, −35 nm, −15 nm, 0 nm, 15 nm, 35 nm, and 50 nm). FIG. 14 shows that there is a neutral polarization angle, defined as an incident polarization angle where the differential intensity is equal to zero for all overlay misregistration. FIGS. 13 and 14 illustrate the high sensitivity of differential intensity to the overlay misregistration and the linear behavior of differential intensity with the overlay misregistration. They also show that the differential intensity is zero at zero overlay misregistration for any polarization angle. Similar graphical plots were obtained at different wavelengths. FIG. 15 shows the mean square error (“MSE”) variation with the overlay misregistration. The MSE exhibits linearity and sensitivity of approximately 0.6 per one nanometer overlay misregistration.
  • FIGS. 16 and 17 are graphical plots, using the same target with different structure parameters and the same optical system as the ones in FIGS. 13 and 14. However, the calculations were performed using the Lambda SW, instead of the Gsolver SW. The kinks or the deviations from the montonicity of the curves at certain points in FIGS. 16 and 17 are believed to be due to numerical instabilities frequently known to occur in the use of the Lambda SW. The overlay misregistration and the target parameters were inputted into Lambda SW to obtain differential polarization angle and differential phase in FIGS. 16 and 17, respectively. FIG. 16 shows the variation of the difference between the polarization angles of the positive and negative first-order diffracted radiation as a function of overlay misregistration for different incident polarization angles (0°, 5°, 15°, 30°, 45°, 60,° and 90°). FIG. 17 shows the variation of the difference between the phase angles of the positive and negative first-order diffracted radiation. The phase angle here represents the phase difference between the p and s polarized components of the diffracted light.
  • FIGS. 16 and 17 also illustrate the high sensitivity of differential polarization angle and differential phase, respectively, to the overlay misregistration and the linear behavior of differential polarization angle and differential phase, respectively, when plotted against the overlay misregistration. They also show that the differential polarization angle and differential phase is zero at zero overlay misregistration for any polarization angle. However, FIG. 17 shows that the phase difference does not depend on incident polarization. In one embodiment, the difference between the polarization angles, as shown in FIG. 16, is easily measured with an analyzer at the output, while the phase difference, as shown in FIG. 17, is measured with interferometry. In another embodiment, the differential polarization angle and the differential phase is derived from ellipsometric parameters.
  • Similar results were obtained using the overlying targets in FIGS. 4 a and 4 b. However, for the particular target in FIG. 4 a, there was no neutral polarization angle in the line on line configuration, where the second periodic structure 15 is centered on the first periodic structure 13. The line on space configuration, where the second periodic structure 15 is centered on the spaces between the first periodic structure 13, did exhibit a neutral polarization angle. These results show that the neutral polarization angle apparently has a complicated dependence on the structure parameters.
  • FIGS. 18-19 and 21-22 are graphical plots illustrating the intensity of the zero-order diffracted radiation 83, as shown in FIG. 9 a, for interlaced gratings, as shown in FIG. 6. Table 1 summarizes the parameters used in the calculations by the Gsolver SW.
    TABLE 1
    Structure parameters used in the simulations
    Parameter Data76 Data0
    h1 850 nm 850 nm
    h2 850 nm 850 nm
    h3 600 nm 600 nm
    Pitch (P) 1000 nm  2000 nm 
    CD1 150 nm 200 nm
    CD2 300 nm 600 nm
    CD3 150 nm 200 nm
    Incidence angle (θ) 76° 0
    Azimuth angle (φ) 0 0
    Wavelength (λ) 670 nm 500 nm

    The incidence angle is 76° in the Data76 configuration, and the incidence angle is 0° (normal) in the Data0 configuration.
  • FIGS. 18-20 were derived using the Data76 configuration. FIG. 18 shows the intensity of the zero-order diffracted radiation versus the overlay misregistration at different polarization angles (0° to 90° in steps of 15°). Within a range of 140 nm, the changes are monotonic with the overlay misregistration. The point where all the curves cross is at an overlay misregistration value of 50 nm, rather than zero. At an overlay misregistration value of 50 nm, the structure is effectively most symmetric. In contrast, in an overlying target as in FIG. 2 a, the structure is most symmetric at zero overlay misregistration. FIG. 19 shows the dependence of the intensity of the zero-order diffracted radiation on the incident polarization angle at different overlay misregistrations (−50 nm, −15 nm, 0 nm, 20 nm, 40 nm, 60 nm, 80 nm, 100 nm, and 130 nm). Unlike with the differential intensity of the first-order diffracted radiation, there is not a neutral polarization angle where the differential intensity is zero for different overlay misregistration. However, there is a quasi-neutral polarization angle where most of the curves for different misregistration cross. FIG. 20 shows the MSE variation as a function of overlay misregistration. FIGS. 18 and 19 show the high sensitivity of the intensity of zero-order diffracted radiation to the overlay sign for a configuration using incident radiation having an oblique angle of incidence on interlaced gratings. They also show the linear behavior of the intensity when plotted against the overlay misregistration.
  • FIGS. 21-23 were derived using the Data0 configuration. FIG. 21 shows the intensity of the zero-order diffracted radiation versus the overlay misregistration at different polarization angles (0°, 40°, 65,° and 90°). FIG. 22 shows the dependence of the intensity of the zero-order diffracted radiation on the incident polarization angle at different overlay misregistrations (−140 nm, −100 nm, −50 nm, 0 nm, 50 nm, and 100 nm). FIG. 23 shows the MSE variation as a function of overlay misregistration. FIGS. 21 and 22 show the high sensitivity of the intensity of zero-order diffracted radiation to the overlay sign for a configuration using normal incident radiation on interlaced gratings. They also show the linear behavior of the intensity when plotted against the overlay misregistration.
  • FIG. 24 is a graphical plot generated by the Gsolver SW illustrating the determination of misalignment from the neutral polarization angle. As shown in FIG. 14, the differential intensity equals zero independent of the overlay misregistration at the neutral polarization angle. However, the slope of the differential intensity varies with overlay misregistration. FIG. 24 shows the slope near the neutral polarization angle as a function of overlay misregistration. FIG. 24 shows linear behavior of the slope versus the overlay misregistration with a slope of 0.038% per 1 nm overlay misregistration. An advantage of the slope measurement technique is the reduction of the number of parameters that need to be determined. Another advantage is the decreased polarization scanning needed. In FIG. 14, a polarization scan using incident polarization angles from 0° to 90° is performed. In contrast, using the slope measurement technique in one embodiment, the derived signal is compared with the reference signal for polarization angles within about five degrees of the neutral polarization angle. Thus, the method of detecting misalignment is faster when using the slope measurement technique. Another embodiment of the invention is the use of the slope measurement technique for the quasi-neutral polarization angle.
  • Misalignment of overlying or interlaced periodic structures can be determined using the database in a preferred embodiment. The source providing polarized incident radiation illuminates the first periodic structure 13 and the second periodic structure 15. Diffracted radiation from the illuminated portions of the overlying or interlaced periodic structures are detected to provide an output signal 85. The output signal 85 is compared with the database to determine the misalignment between the overlying or interlaced periodic structures.
  • In another embodiment, misalignment of overlying or interlaced periodic structures is determined using the slope measurement technique. A neutral polarization angle or quasi-neutral polarization angle is provided. The derived signal is compared with the reference signal near the neutral polarization angle or the quasi-neutral polarization angle to determine misalignment of the overlying or interlaced periodic structures.
  • While the invention has been described above by reference to various embodiments, it will be understood that changes and modifications may be made without departing from the scope of the invention, which is to be defined only by the appended claims and their equivalent. All references referred to herein are incorporated by reference.

Claims (102)

1. A method of measuring line profile asymmetries in microelectronic devices, the method comprising the steps of:
directing light at an array of microelectronic features of a microelectronic device;
detecting light scattered back from the array comprising one or more features selected from the group consisting of one or more angles of reflection and one or more wavelengths; and
comparing one or more characteristics of the back-scattered light by performing an operation comprising examining data from complementary angles of reflection.
2. The method of claim 1 wherein the directing step comprises directing light at substantially a single wavelength.
3. The method of claim 1 wherein the directing step comprises directing light at a plurality of wavelengths.
4. The method of claim 1 wherein the comparing step comprises comparing light intensity.
5. The method of claim 1 wherein the comparing step additionally comprises comparing phase.
6. The method of claim 1 wherein the comparing step additionally comprises comparing ratios of light magnitude and light phase.
7. The method of claim 1 wherein the directing step comprises directing light at an array of microelectronic features in general conical configuration.
8. The method of claim 1 wherein the directing and detecting steps are performed by an angular scatterometer.
9. The method of claim 1 wherein the directing and detecting steps are performed by a spectral scatterometer.
10. The method of claim 1 wherein the comparing step comprises decomposing back-scattered light into S and P components relative to a plane of incidence.
11. The method of claim 1 wherein the detecting step comprises detecting specular order diffracted light.
12. The method of claim 1 additionally comprising the step of employing the results of the comparing step to detect asymmetries selected from the group consisting of asymmetries within a single layer of the microelectronic device and asymmetries within multiple layers of the microelectronic device.
13. The method of claim 12 additionally comprising the step of controlling a manufacturing process if results of the comparing step indicate an asymmetry in the array.
14. An apparatus for measuring line profile asymmetries in microelectronic devices, said apparatus comprising:
means for directing light at an array of microelectronic features of a microelectronic device;
means for detecting light scattered back from the array comprising one or more features selected from the group consisting of one or more angles of reflection and one or more wavelengths; and
means for comparing one or more characteristics of the back-scattered light by performing an operation comprising examining data from complementary angles of reflection.
15. The apparatus of claim 14 wherein said directing means comprises means for directing light at substantially a single wavelength.
16. The apparatus of claim 14 wherein said directing means comprises means for directing light at a plurality of wavelengths.
17. The apparatus of claim 14 wherein said comparing means additionally comprises means for comparing light intensity.
18. The apparatus of claim 14 wherein said comparing means additionally comprises means for comparing phase.
19. The apparatus of claim 14 wherein said comparing means additionally comprises means for comparing ratios of light magnitude and light phase.
20. The apparatus of claim 14 wherein said directing means comprises means for directing light at an array of microelectronic features in general conical configuration.
21. The apparatus of claim 14 wherein said directing and detecting means comprise an angular scatterometer.
22. The apparatus of claim 14 wherein said directing and detecting means comprise a spectral scatterometer.
23. The apparatus of claim 14 wherein said comparing means comprises means for decomposing back-scattered light into S and P components relative to a plane of incidence.
24. The apparatus of claim 14 wherein said detecting means comprises means for detecting specular order diffracted light.
25. The apparatus of claim 14 additionally comprising means for employing the results of the comparing step to detect asymmetries selected from the group consisting of asymmetries within a single layer of the microelectronic device and asymmetries within multiple layers of the microelectronic device.
26. The apparatus of claim 25 additionally comprising means for controlling a manufacturing process if said comparing means indicates an asymmetry in the array.
27. A method of measuring line profile asymmetries in microelectronic devices, the method comprising the steps of:
directing light at an array of microelectronic features of a microelectronic device;
detecting light scattered back from the array comprising one or more features selected from the group consisting of one or more angles of reflection and one or mare wavelengths; and
comparing one or more characteristics of the back-scattered light by performing an operation comprising performing a model comparison with an asymmetric model.
28. The method of claim 27 wherein the directing step comprises directing light at substantially a single wavelength.
29. The method of claim 27 wherein the directing step comprises directing light at a plurality of wavelengths.
30. The method of claim 27 wherein the comparing step comprises comparing light intensity.
31. The method of claim 27 wherein the comparing step additionally comprises comparing phase.
32. The method of claim 27 wherein the comparing step additionally comprises comparing ratios of light magnitude and light phase.
33. The method of claim 27 wherein comparing comprises a model comparison with a library of asymmetric models.
34. The method of claim 27 wherein comparing comprises a model comparison by regression analysis with an asymmetric model.
35. The method of claim 27 wherein the directing step comprises directing light at an array of microelectronic features in general conical configuration.
36. The method of claim 27 wherein the directing and detecting steps are performed by an angular scatterometer.
37. The method of claim 27 wherein the directing and detecting steps are performed by a spectral scatterometer.
38. The method of claim 27 wherein the comparing step comprises decomposing back-scattered light into S and P components relative to a plane of incidence.
39. The method of claim 27 wherein the detecting step comprises detecting specular order diffracted light.
40. The method of claim 27 additionally comprising the step of employing the results of the comparing step to detect asymmetries selected from the group consisting of asymmetries within a single layer of the microelectronic device and asymmetries within multiple layers of the microelectronic device.
41. The method of claim 40 additionally comprising the step of controlling a manufacturing process if results of the comparing step indicate an asymmetry in the array.
42. An apparatus for measuring line profile asymmetries in microelectronic devices, said apparatus comprising:
means for directing light at an array of microelectronic features of a microelectronic device;
means for detecting light scattered back from the array comprising one or more features selected from the group consisting of one or more angles of reflection and one or more wavelengths; and
means for comparing one or more characteristics of the back-scattered light by performing an operation comprising performing a model comparison with an asymmetric model.
43. The apparatus of claim 42 wherein said directing means comprises means for directing light at substantially a single wavelength.
44. The apparatus of claim 42 wherein said directing means comprises means for directing light at a plurality of wavelengths.
45. The apparatus of claim 42 wherein said comparing means additionally comprises means for comparing light intensity.
46. The apparatus of claim 42 wherein said comparing means additionally comprises means for comparing phase.
47. The apparatus of claim 42 wherein said comparing means additionally comprises means for comparing ratios of light magnitude and light phase.
48. The apparatus of claim 42 wherein said means for comparing comprises a model comparison with a library of asymmetric models.
49. The apparatus of claim 42 wherein said means for comparing comprises a model comparison by regression analysis with an asymmetric model.
50. The apparatus of claim 42 wherein said directing means comprises means for directing light at an array of microelectronic features in general conical configuration.
51. The apparatus of claim 42 wherein said directing and detecting means comprise an angular scatterometer.
52. The apparatus of claim 42 wherein said directing and detecting means comprise a spectral scatterometer.
53. The apparatus of claim 42 wherein said comparing means comprises means for decomposing back-scattered light into S and P components relative to a plane of incidence.
54. The apparatus of claim 42 wherein said detecting means comprises means for detecting specular order diffracted light.
55. The apparatus of claim 42 additionally comprising means for employing the results or the comparing step to detect asymmetries selected from the group consisting of asymmetries within a single layer of the microelectronic device and asymmetries within multiple layers of the microelectronic device.
56. The apparatus of claim 55 additionally comprising means for controlling a manufacturing process if said comparing means indicates an asymmetry in the array.
57. A method of measuring misalignments in devices, the method comprising the steps of:
directing radiation at periodic structures of features of a device;
detecting radiation scattered back from the periodic structures comprising one or more features selected from the group consisting of one or more polarization angles and one or more wavelengths; and
comparing one or more characteristics of the back-scattered light by performing an operation comprising examining data from polarization angles.
58. The method of claim 57 wherein the directing step comprises directing radiation at substantially a single wavelength.
59. The method of claim 57 wherein the directing step comprises directing radiation at different wavelengths.
60. The method of claim 57 wherein the comparing step comprises comparing light intensity with a reference signal.
61. The method of claim 57 wherein the comparing step additionally comprises comparing phase.
62. The method of claim 57 wherein the directing and detecting steps are performed by a scatterometer.
63. The method of claim 57 wherein the directing and detecting steps are performed by a spectral scatterometer.
64. The method of claim 57, wherein the comparing step comprises polarizing back-scattered light into S and P components relative to a plane of incidence.
65. The method of claim 57 wherein the detecting step comprises detecting specular order diffracted radiation.
66. The method of claim 57 additionally comprising the step of employing the results of the comparing step to detect misalignment selected from the group consisting of misalignment within a single layer of the device and misalignment within multiple layers of the device.
67. The method of claim 66 additionally comprising the step of correcting a manufacturing process if results of the comparing step indicate misalignment of the periodic structures before providing another layer or periodic structure on the wafer.
68. An apparatus for measuring misalignment in devices, said apparatus comprising:
means for directing radiation at periodic structures of features of a device;
means for detecting radiation from the periodic structures comprising one or more features selected from the group consisting of one or more polarization angles and one or more wavelengths; and
means for comparing one or more characteristics of the back-scattered light by performing an operation comprising examining data from polarization angles.
69. The apparatus of claim 68 wherein said directing means comprises means for directing light at substantially a single wavelength.
70. The apparatus of claim 68 wherein said directing means comprises means for directing light at different wavelengths.
71. The apparatus of claim 68 wherein said comparing means additionally comprises means for comparing light intensity with a reference signal.
72. The apparatus of claim 68 wherein said comparing means additionally comprises means for comparing phase.
73. The apparatus of claim 68 wherein said directing and detecting means comprise a scatterometer.
74. The apparatus of claim 68 wherein said directing and detecting means comprise a spectral scatterometer.
75. The apparatus of claim 68, wherein said comparing means comprises means for polarizing back-scattered light into S and P components relative to a plane of incidence.
76. The apparatus of claim 68 wherein said detecting means comprises means for detecting specular order diffracted radiation.
77. The apparatus of claim 68 additionally comprising means for employing the results of the comparing step to detect misalignment selected from the group consisting of misalignment within a single layer of the device and misalignment within multiple layers of the device.
78. The apparatus of claim 77 additionally comprising means for correcting a manufacturing process if said comparing means indicates misalignment of the periodic structures before providing another layer or periodic structure on the wafer.
79. A method of measuring misalignment in devices, the method comprising the steps of:
directing radiation at periodic structures of features of a device;
detecting diffracted radiation from the periodic structures comprising one or more features selected from the group consisting of one or more polarization angles and one or mare wavelengths; and
comparing one or more characteristics of the diffracted radiation by performing an operation comprising performing a comparison with a reference signal.
80. The method of claim 79 wherein the directing step comprises directing light at substantially a single wavelength.
81. The method of claim 79 wherein the directing step comprises directing light at a plurality of wavelengths.
82. The method of claim 79 wherein the comparing step comprises comparing light intensity with a reference signal.
83. The method of claim 79 wherein the comparing step additionally comprises comparing phase.
84. The method of claim 79 wherein comparing comprises correlating misalignment and data comprising intensity, polarization angle and/or phase information with a reference.
85. The method of claim 79 wherein the directing and detecting steps are performed by a scatterometer.
86. The method of claim 79 wherein the directing and detecting steps are performed by a spectral scatterometer.
87. The method of claim 79, wherein the comparing step comprises polarizing back-scattered light into S and P components relative to a plane of incidence.
88. The method of claim 79 wherein the detecting step comprises detecting specular order diffracted radiation.
89. The method of claim 79 additionally comprising the step of employing the results of the comparing step to detect misalignment selected from the group consisting of misalignment within a single layer of the device and misalignment within multiple layers of the device.
90. The method of claim 89 additionally comprising the step of correcting a manufacturing process if results of the comparing step indicate misalignment of the periodic structures before providing another layer or periodic structure on the wafer.
91. An apparatus for measuring misalignment in devices, said apparatus comprising:
means for directing radiation at a periodic structure of features of a device;
means for detecting diffracted radiation from the periodic structures comprising one or more features selected from the group consisting of one or more polarization angles and one or more wavelengths; and
means for comparing one or more characteristics of the diffracted radiation by performing an operation comprising performing a comparison with a reference signal.
92. The apparatus of claim 91 wherein said directing means comprises means for directing light at substantially a single wavelength.
93. The apparatus of claim 91 wherein said directing means comprises means for directing light at a plurality of wavelengths.
94. The apparatus of claim 91 wherein said comparing means additionally comprises means for comparing light intensity with a reference signal.
95. The apparatus of claim 91 wherein said comparing means additionally comprises means for comparing phase.
96. The apparatus of claim 91 wherein said means for comparing comprises correlating misalignment and data comprising intensity, polarization angle and/or phase information.
97. The apparatus of claim 91 wherein said directing and detecting means comprise a scatterometer.
98. The apparatus of claim 91 wherein said directing and detecting means comprise a spectral scatterometer.
99. The apparatus of claim 91, wherein said comparing means comprises means for polarizing back-scattered light into S and P components relative to a plane of incidence.
100. The apparatus of claim 91 wherein said detecting means comprises means for detecting specular order diffracted radiation.
101. The apparatus of claim 91 additionally comprising means for employing the results or the comparing step to detect misalignment selected from the group consisting of misalignment within a single layer of the device and misalignment within multiple layers of the device.
102. The apparatus of claim 101 additionally comprising means for correcting a manufacturing process if said comparing means indicates a misalignment before providing another layer or periodic structure on the wafer.
US11/355,613 2001-04-10 2006-02-15 Periodic patterns and technique to control misalignment between two layers Abandoned US20060132807A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US11/355,613 US20060132807A1 (en) 2001-04-10 2006-02-15 Periodic patterns and technique to control misalignment between two layers
US11/495,001 US20060262326A1 (en) 2001-04-10 2006-07-27 Periodic patterns and technique to control misalignment between two layers
US12/428,401 US8525994B2 (en) 2001-04-10 2009-04-22 Periodic patterns and technique to control misaligment between two layers
US12/628,092 US8570515B2 (en) 2001-04-10 2009-11-30 Periodic patterns and technique to control misalignment between two layers
US14/035,766 US9103662B2 (en) 2001-04-10 2013-09-24 Periodic patterns and technique to control misalignment between two layers
US14/789,796 US9234745B2 (en) 2001-04-10 2015-07-01 Periodic patterns and techniques to control misalignment between two layers
US14/961,629 US9476698B2 (en) 2001-04-10 2015-12-07 Periodic patterns and technique to control misalignment between two layers
US15/332,155 US9835447B2 (en) 2001-04-10 2016-10-24 Periodic patterns and technique to control misalignment between two layers
US15/798,041 US10151584B2 (en) 2001-04-10 2017-10-30 Periodic patterns and technique to control misalignment between two layers

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/833,084 US20030002043A1 (en) 2001-04-10 2001-04-10 Periodic patterns and technique to control misalignment
US10/682,544 US20040061857A1 (en) 2001-04-10 2003-10-08 Periodic patterns and technique to control misalignment between two layers
US11/062,255 US20050157297A1 (en) 2001-04-10 2005-02-18 Periodic patterns and technique to control misalignment between two layers
US11/355,613 US20060132807A1 (en) 2001-04-10 2006-02-15 Periodic patterns and technique to control misalignment between two layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/062,255 Continuation US20050157297A1 (en) 2001-04-10 2005-02-18 Periodic patterns and technique to control misalignment between two layers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/495,001 Continuation US20060262326A1 (en) 2001-04-10 2006-07-27 Periodic patterns and technique to control misalignment between two layers

Publications (1)

Publication Number Publication Date
US20060132807A1 true US20060132807A1 (en) 2006-06-22

Family

ID=25263374

Family Applications (16)

Application Number Title Priority Date Filing Date
US09/833,084 Abandoned US20030002043A1 (en) 2001-04-10 2001-04-10 Periodic patterns and technique to control misalignment
US10/682,544 Abandoned US20040061857A1 (en) 2001-04-10 2003-10-08 Periodic patterns and technique to control misalignment between two layers
US10/699,153 Abandoned US20040229471A1 (en) 2001-04-10 2003-10-30 Periodic patterns and technique to control misalignment between two layers
US11/062,255 Abandoned US20050157297A1 (en) 2001-04-10 2005-02-18 Periodic patterns and technique to control misalignment between two layers
US11/125,590 Abandoned US20050208685A1 (en) 2001-04-10 2005-05-09 Periodic patterns and technique to control misalignment
US11/281,820 Abandoned US20060065625A1 (en) 2001-04-10 2005-11-16 Periodic patterns and technique to control misalignment between two layers
US11/355,613 Abandoned US20060132807A1 (en) 2001-04-10 2006-02-15 Periodic patterns and technique to control misalignment between two layers
US11/495,001 Abandoned US20060262326A1 (en) 2001-04-10 2006-07-27 Periodic patterns and technique to control misalignment between two layers
US11/673,115 Expired - Fee Related US7656528B2 (en) 2001-04-10 2007-02-09 Periodic patterns and technique to control misalignment between two layers
US12/428,401 Expired - Fee Related US8525994B2 (en) 2001-04-10 2009-04-22 Periodic patterns and technique to control misaligment between two layers
US12/628,092 Expired - Fee Related US8570515B2 (en) 2001-04-10 2009-11-30 Periodic patterns and technique to control misalignment between two layers
US14/035,766 Expired - Fee Related US9103662B2 (en) 2001-04-10 2013-09-24 Periodic patterns and technique to control misalignment between two layers
US14/789,796 Expired - Fee Related US9234745B2 (en) 2001-04-10 2015-07-01 Periodic patterns and techniques to control misalignment between two layers
US14/961,629 Expired - Lifetime US9476698B2 (en) 2001-04-10 2015-12-07 Periodic patterns and technique to control misalignment between two layers
US15/332,155 Expired - Lifetime US9835447B2 (en) 2001-04-10 2016-10-24 Periodic patterns and technique to control misalignment between two layers
US15/798,041 Expired - Fee Related US10151584B2 (en) 2001-04-10 2017-10-30 Periodic patterns and technique to control misalignment between two layers

Family Applications Before (6)

Application Number Title Priority Date Filing Date
US09/833,084 Abandoned US20030002043A1 (en) 2001-04-10 2001-04-10 Periodic patterns and technique to control misalignment
US10/682,544 Abandoned US20040061857A1 (en) 2001-04-10 2003-10-08 Periodic patterns and technique to control misalignment between two layers
US10/699,153 Abandoned US20040229471A1 (en) 2001-04-10 2003-10-30 Periodic patterns and technique to control misalignment between two layers
US11/062,255 Abandoned US20050157297A1 (en) 2001-04-10 2005-02-18 Periodic patterns and technique to control misalignment between two layers
US11/125,590 Abandoned US20050208685A1 (en) 2001-04-10 2005-05-09 Periodic patterns and technique to control misalignment
US11/281,820 Abandoned US20060065625A1 (en) 2001-04-10 2005-11-16 Periodic patterns and technique to control misalignment between two layers

Family Applications After (9)

Application Number Title Priority Date Filing Date
US11/495,001 Abandoned US20060262326A1 (en) 2001-04-10 2006-07-27 Periodic patterns and technique to control misalignment between two layers
US11/673,115 Expired - Fee Related US7656528B2 (en) 2001-04-10 2007-02-09 Periodic patterns and technique to control misalignment between two layers
US12/428,401 Expired - Fee Related US8525994B2 (en) 2001-04-10 2009-04-22 Periodic patterns and technique to control misaligment between two layers
US12/628,092 Expired - Fee Related US8570515B2 (en) 2001-04-10 2009-11-30 Periodic patterns and technique to control misalignment between two layers
US14/035,766 Expired - Fee Related US9103662B2 (en) 2001-04-10 2013-09-24 Periodic patterns and technique to control misalignment between two layers
US14/789,796 Expired - Fee Related US9234745B2 (en) 2001-04-10 2015-07-01 Periodic patterns and techniques to control misalignment between two layers
US14/961,629 Expired - Lifetime US9476698B2 (en) 2001-04-10 2015-12-07 Periodic patterns and technique to control misalignment between two layers
US15/332,155 Expired - Lifetime US9835447B2 (en) 2001-04-10 2016-10-24 Periodic patterns and technique to control misalignment between two layers
US15/798,041 Expired - Fee Related US10151584B2 (en) 2001-04-10 2017-10-30 Periodic patterns and technique to control misalignment between two layers

Country Status (4)

Country Link
US (16) US20030002043A1 (en)
EP (1) EP1390692B1 (en)
JP (1) JP4520696B2 (en)
WO (1) WO2002084213A1 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070201043A1 (en) * 2001-03-02 2007-08-30 Accent Optical Technologies, Inc. Line Profile Asymmetry Measurement
US20080030788A1 (en) * 2006-08-01 2008-02-07 Xerox Corporation System and method for characterizing color separation misregistration
EP1903397A2 (en) * 2006-09-25 2008-03-26 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080165332A1 (en) * 2006-12-01 2008-07-10 Asml Netherlands B.V. Lithographic Apparatus, Device Manufacturing Method and Device
US20080170280A1 (en) * 2007-01-16 2008-07-17 Xerox Corporation System and method for estimating color separation misregistration utilizing frequency-shifted halftone patterns that form a moire pattern
US20080294363A1 (en) * 2007-05-21 2008-11-27 Xerox Corporation System and method for characterizing color separation misregistration utilizing a broadband multi-channel scanning module
US20080292368A1 (en) * 2007-05-21 2008-11-27 Xerox Corporation System and method for determining and correcting color separation registration errors in a multi-color printing system
US20090161123A1 (en) * 1999-07-09 2009-06-25 Nova Measuring Instruments Ltd. Of Weizmann Scientific Park Method and system for measuring patterned structures
WO2009078708A1 (en) * 2007-12-17 2009-06-25 Asml Netherlands B.V. Diffraction based overlay metrology tool and method
US20100092881A1 (en) * 2006-12-01 2010-04-15 Asml Netherlands B.V. Process, Apparatus and Device
US7894109B2 (en) 2006-08-01 2011-02-22 Xerox Corporation System and method for characterizing spatial variance of color separation misregistration
US8270049B2 (en) 2006-08-01 2012-09-18 Xerox Corporation System and method for high resolution characterization of spatial variance of color separation misregistration
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
US8867020B2 (en) 2010-11-12 2014-10-21 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US20190310080A1 (en) * 2018-04-09 2019-10-10 Kla-Tencor Corporation Localized Telecentricity and Focus Optimization for Overlay Metrology

Families Citing this family (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440612B1 (en) 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
CN100392835C (en) * 1999-09-28 2008-06-04 松下电器产业株式会社 Electronic component and method for manufacturing the same
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
JP3647378B2 (en) * 2001-03-02 2005-05-11 キヤノン株式会社 Shape measuring apparatus and measuring method using multiprobe
KR100536646B1 (en) 2001-03-02 2005-12-14 액센트 옵티칼 테크놀로지스 인코포레이티드 Line profile asymmetry measurement using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6746566B1 (en) 2001-12-11 2004-06-08 Kla-Tencor Technologies Corporation Transverse magnetic field voltage isolator
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
CN1506768B (en) * 2002-09-20 2011-01-26 Asml荷兰有限公司 Alignment system and method for photoetching system
EP1400855A3 (en) * 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US7075639B2 (en) * 2003-04-25 2006-07-11 Kla-Tencor Technologies Corporation Method and mark for metrology of phase errors on phase shift masks
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
CN1879004A (en) * 2003-09-12 2006-12-13 安格盛光电科技公司 Line profile asymmetry measurement
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
JP4734261B2 (en) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション Continuously changing offset mark and overlay determination method
EP1577946A3 (en) * 2004-03-17 2006-01-25 Interuniversitair Microelektronica Centrum Method to make markers for doublegate SOI processing
US7271073B2 (en) * 2004-06-30 2007-09-18 Asml Nertherlands B.V. Marker for alignment of non-transparent gate layer, method for manufacturing such a marker, and use of such a marker in a lithographic apparatus
US7463367B2 (en) * 2004-07-13 2008-12-09 Micron Technology, Inc. Estimating overlay error and optical aberrations
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7629697B2 (en) 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
US7289214B1 (en) * 2004-11-23 2007-10-30 N&K Technology, Inc. System and method for measuring overlay alignment using diffraction gratings
US7277172B2 (en) * 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
DE102005046973B4 (en) * 2005-09-30 2014-01-30 Globalfoundries Inc. A structure and method for simultaneously determining overlay accuracy and pattern placement error
US7433018B2 (en) * 2005-12-27 2008-10-07 Asml Netherlands B.V. Pattern alignment method and lithographic apparatus
US7561282B1 (en) 2006-03-27 2009-07-14 Kla-Tencor Technologies Corporation Techniques for determining overlay and critical dimension using a single metrology tool
US7481579B2 (en) * 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
JP5349742B2 (en) * 2006-07-07 2013-11-20 株式会社日立ハイテクノロジーズ Surface inspection method and surface inspection apparatus
US7671990B1 (en) * 2006-07-28 2010-03-02 Kla-Tencor Technologies Corporation Cross hatched metrology marks and associated method of use
US9918039B2 (en) * 2006-10-13 2018-03-13 Koninklijke Philips N.V. Interface systems for portable digital media storage and playback devices
US7772710B2 (en) * 2006-11-01 2010-08-10 Sematech, Inc. Zero-order overlay targets
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI407853B (en) * 2007-07-22 2013-09-01 Camtek Ltd Method and system for controlling a manufacturing process
US8699027B2 (en) * 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US8069020B2 (en) * 2007-09-19 2011-11-29 Tokyo Electron Limited Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
US7561269B2 (en) * 2007-12-14 2009-07-14 Tokyo Electron Limited Optical measurement system with systematic error correction
NL1036322A1 (en) * 2007-12-21 2009-06-23 Asml Holding Nv Systems and methods for lithographic illuminator beam deviation measurement and calibration using grating sensors.
US7678588B2 (en) * 2008-01-22 2010-03-16 United Microelectronics Corp. Method for constructing module for optical critical dimension (OCD) and measuring method of module for optical critical dimension using the module
US8004679B2 (en) * 2008-05-09 2011-08-23 Kla-Tencor Corporation Target design and methods for scatterometry overlay determination
NL1036886A1 (en) 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
US9746785B2 (en) * 2008-06-02 2017-08-29 Asml Netherlands B.V. Sub-wavelength segmentation in measurement targets on substrates
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
WO2011011511A1 (en) * 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
TWI408331B (en) * 2009-12-17 2013-09-11 Ind Tech Res Inst Measurement device and method of double-sided optical films
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
NL2005975A (en) * 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
NL2007127A (en) * 2010-08-06 2012-02-07 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
US9007584B2 (en) 2010-12-27 2015-04-14 Nanometrics Incorporated Simultaneous measurement of multiple overlay errors using diffraction based overlay
US9568872B2 (en) 2011-01-12 2017-02-14 Nova Measuring Instruments Ltd. Process control using non-zero order diffraction
US9104120B2 (en) 2011-02-10 2015-08-11 Kla-Tencor Corporation Structured illumination for contrast enhancement in overlay metrology
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
US9117149B2 (en) 2011-10-07 2015-08-25 Industrial Technology Research Institute Optical registration carrier
US8817273B2 (en) * 2012-04-24 2014-08-26 Nanometrics Incorporated Dark field diffraction based overlay
EP2867918A1 (en) 2012-06-26 2015-05-06 Kla-Tencor Corporation Near field metrology
EP2865003A1 (en) * 2012-06-26 2015-04-29 Kla-Tencor Corporation Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
CN103247550B (en) * 2013-05-07 2016-04-13 上海华力微电子有限公司 The test module of monitoring program stability and method
US9740108B2 (en) * 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
US11366397B2 (en) 2013-07-10 2022-06-21 Qoniac Gmbh Method and apparatus for simulation of lithography overlay
US10379447B2 (en) * 2013-07-10 2019-08-13 Qoniac Gmbh Method and apparatus for simulation of lithography overlay
US9087982B2 (en) 2013-11-18 2015-07-21 Tdk Corporation Manufacturing method for pattern multilayer body and mask set
US9147405B2 (en) * 2013-12-05 2015-09-29 Seagate Technology Llc Light source alignment
WO2015089514A2 (en) * 2013-12-13 2015-06-18 Colorado State University Research Foundation Nano-stamp and matched reader for anti-counterfeiting applications
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015157464A1 (en) * 2014-04-09 2015-10-15 Kla-Tencor Corporation Estimating and eliminating inter-cell process variation inaccuracy
US10415963B2 (en) 2014-04-09 2019-09-17 Kla-Tencor Corporation Estimating and eliminating inter-cell process variation inaccuracy
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
US9361691B2 (en) * 2014-08-21 2016-06-07 Honeywell International Inc. Systems and methods for detecting misalignment between a helipad and an associated structure
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US9490217B1 (en) * 2015-04-15 2016-11-08 United Microelectronics Corp. Overlay marks and semiconductor process using the overlay marks
KR102512180B1 (en) * 2015-04-28 2023-03-20 케이엘에이 코포레이션 Computationally efficient X-ray based overlay measurements
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
CN108475026B (en) * 2016-01-11 2021-04-23 科磊股份有限公司 Hot spot and process window monitoring
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
JP6821700B2 (en) 2016-04-22 2021-01-27 ケーエルエー コーポレイション Small spot size transmission type small angle X-ray beam shaping slit for scatterometry
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9911634B2 (en) * 2016-06-27 2018-03-06 Globalfoundries Inc. Self-contained metrology wafer carrier systems
US10931143B2 (en) 2016-08-10 2021-02-23 Globalfoundries U.S. Inc. Rechargeable wafer carrier systems
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
TWI755448B (en) * 2016-11-30 2022-02-21 美商應用材料股份有限公司 Spectrographic monitoring using a neural network
EP3339959A1 (en) * 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
JP7179742B2 (en) * 2017-02-10 2022-11-29 ケーエルエー コーポレイション Scatterometry overlay target and method
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
EP3404488A1 (en) * 2017-05-19 2018-11-21 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, lithographic cell, and target
KR102366788B1 (en) * 2017-05-23 2022-02-23 하마마츠 포토닉스 가부시키가이샤 Orientation characteristic measurement method, orientation characteristic measurement program, and orientation characteristic measurement apparatus
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
EP3454123A1 (en) * 2017-09-06 2019-03-13 ASML Netherlands B.V. Metrology method and apparatus
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10504851B2 (en) * 2018-02-26 2019-12-10 Globalfoundries Inc. Structure and method to improve overlay performance in semiconductor devices
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
US10622238B2 (en) 2018-06-07 2020-04-14 Kla-Tencor Corporation Overlay measurement using phase and amplitude modeling
US11294293B2 (en) 2018-09-19 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay marks for reducing effect of bottom layer asymmetry
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11119419B2 (en) 2019-01-28 2021-09-14 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices
WO2020159560A1 (en) * 2019-01-28 2020-08-06 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices
US11302544B2 (en) * 2019-03-28 2022-04-12 Kla-Tencor Corporation Method for measuring and correcting misregistration between layers in a semiconductor device, and misregistration targets useful therein
CN114342053A (en) * 2019-09-16 2022-04-12 科磊股份有限公司 Offset metrology system and method for periodic semiconductor devices
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
US11183482B2 (en) * 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
CN114631014A (en) * 2019-10-30 2022-06-14 雷迪安特视觉系统有限公司 Non-spatial measurement result calibration method and related system and device
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11784077B2 (en) 2019-12-18 2023-10-10 Micron Technology, Inc. Wafer overlay marks, overlay measurement systems, and related methods
US11874102B2 (en) 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
KR20220137094A (en) 2020-02-07 2022-10-11 케이엘에이 코포레이션 Non-orthogonal target in mismatch measurement of semiconductor device and method for using same
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
JP7420667B2 (en) 2020-07-03 2024-01-23 三星電子株式会社 Ellipsometer and semiconductor device inspection equipment
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11378394B1 (en) 2020-12-11 2022-07-05 Kla Corporation On-the-fly scatterometry overlay metrology target
US11460783B2 (en) 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4103998A (en) * 1975-07-21 1978-08-01 Nippon Kogaku K.K. Automatic alignment apparatus
US4124458A (en) * 1977-07-11 1978-11-07 Innova, Inc. Mass-transfer membrane and processes using same
US4167337A (en) * 1976-06-19 1979-09-11 International Business Machines Corporation Interferometric apparatus and process
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4703434A (en) * 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
US4750836A (en) * 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4820055A (en) * 1985-08-26 1989-04-11 Siemens Aktiengesellschaft Apparatus for adjusting a mask with at least one adjustment mark relative to a semi-conductor wafer provided with at least one lattice structure
US4822740A (en) * 1985-10-07 1989-04-18 Microlife Technics, Inc. Bacteria for expressing a polysaccharide depolymerase containing a novel recombinant plasmid
US4828392A (en) * 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4848911A (en) * 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5114235A (en) * 1989-07-18 1992-05-19 Canon Kabushiki Kaisha Method of detecting positional deviation
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5172190A (en) * 1990-01-12 1992-12-15 Karl Suss Kg Prazisionsgerate Fur Wissenschaft Und Industrie - Gmbh & Co. Alignment patterns for two objects to be aligned relative to each other
US5182455A (en) * 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5316984A (en) * 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
US5327221A (en) * 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) * 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5343292A (en) * 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5465148A (en) * 1992-10-23 1995-11-07 Canon Kabushiki Kaisha Apparatus and method for detecting the relative positional deviation between two diffraction gratings
US5479270A (en) * 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5525840A (en) * 1993-11-18 1996-06-11 Nec Corporation Semiconductor device having an alignment mark
US5596406A (en) * 1993-07-16 1997-01-21 Therma-Wave, Inc. Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
US5596413A (en) * 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
US5607818A (en) * 1991-06-04 1997-03-04 Micron Technology, Inc. Method for making interconnects and semiconductor structures using electrophoretic photoresist deposition
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5666196A (en) * 1993-05-31 1997-09-09 Canon Kabushiki Kaisha Optical detection apparatus for detecting information relating to relative displacement of an object on whch a diffraction grating is formed
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5739909A (en) * 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5738961A (en) * 1997-03-03 1998-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step photolithography method for aligning and patterning non-transparent layers
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5783342A (en) * 1994-12-28 1998-07-21 Matsushita Electric Industrial Co., Ltd. Method and system for measurement of resist pattern
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5872042A (en) * 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5883710A (en) * 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5902703A (en) * 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US5909333A (en) * 1994-05-27 1999-06-01 International Business Machines Corporation Servo-writing system for use in a data recording disk drive
US5910841A (en) * 1991-11-19 1999-06-08 Masao; Katsuya Ellipsometer using an expanded beam
US5923041A (en) * 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5939226A (en) * 1996-03-08 1999-08-17 Mitsubishi Denki Kabushiki Kaisha Aberration estimation reticle for determining overlay error
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6013355A (en) * 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US6023238A (en) * 1997-03-28 2000-02-08 Thomson-Csf Method and device for the removal of ambiguity in distance, applied especially to frequency-shift keying continuous-wave radars
US6046094A (en) * 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US6079256A (en) * 1996-07-12 2000-06-27 Kla Instruments Corporation Overlay alignment measurement of wafers
US6081325A (en) * 1996-06-04 2000-06-27 Kla-Tencor Corporation Optical scanning system for surface inspection
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6130750A (en) * 1996-05-02 2000-10-10 International Business Machines Corporation Optical metrology tool and method of using same
US6134011A (en) * 1997-09-22 2000-10-17 Hdi Instrumentation Optical measurement system using polarized light
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US6177330B1 (en) * 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US6178257B1 (en) * 1990-11-16 2001-01-23 Applied Materials, Inc. Substrate inspection method and apparatus
US6197679B1 (en) * 1997-06-04 2001-03-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method therefor
US6225189B1 (en) * 1999-03-06 2001-05-01 United Microelectronics Corp. Method of fabricating shallow trench isolation structure
US6301001B1 (en) * 1996-10-03 2001-10-09 Canon Kabushiki Kaisha Optical element manufacturing system, an illumination system, and an exposure apparatus
US6323560B1 (en) * 1995-06-27 2001-11-27 Mitsubishi Denki Kabushiki Kaisha Registration accuracy measurement mark, method of repairing defect of the mark, photomask having the mark, method of manufacturing the photo mask and method of exposure thereof
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US6421124B1 (en) * 1997-12-03 2002-07-16 Canon Kabushiki Kaisha Position detecting system and device manufacturing method using the same
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
US6420971B1 (en) * 1999-06-23 2002-07-16 Tripseal Limited Electronic seal, methods and security system
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6476920B1 (en) * 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6522406B1 (en) * 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
US6561661B2 (en) * 2000-11-02 2003-05-13 Minebea Co., Ltd. Spread illuminating apparatus with a pair of light sources overlapped in a thickness direction of a transparent substrate
US6590656B2 (en) * 1998-03-06 2003-07-08 Kla-Tencor Corporation Spectroscopic scatterometer system
US6594024B1 (en) * 2001-06-21 2003-07-15 Advanced Micro Devices, Inc. Monitor CMP process using scatterometry
US6606152B2 (en) * 2000-09-06 2003-08-12 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6611330B2 (en) * 1999-02-09 2003-08-26 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6633831B2 (en) * 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6699627B2 (en) * 2000-12-08 2004-03-02 Adlai Smith Reference wafer and process for manufacturing same
US6699630B2 (en) * 2000-07-07 2004-03-02 Nikon Corporation Method and apparatus for exposure, and device manufacturing method
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6710876B1 (en) * 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US6721052B2 (en) * 2000-12-20 2004-04-13 Kla-Technologies Corporation Systems for measuring periodic structures
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6856408B2 (en) * 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US6876092B2 (en) * 2001-05-23 2005-04-05 Asml Netherlands B.V. Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US410399A (en) * 1889-09-03 brennan
US3548643A (en) * 1965-10-29 1970-12-22 Battelle Development Corp Holographic vibration analysis method and apparatus
US3436299A (en) * 1965-12-17 1969-04-01 Celanese Corp Polymer bonding
US3520584A (en) * 1967-03-14 1970-07-14 Bell Telephone Labor Inc Method and apparatus for obtaining 3-dimensional images from recorded standing wave patterns
US3546374A (en) * 1967-12-28 1970-12-08 Technical Operations Inc Image processing system and method
US3549238A (en) * 1968-02-14 1970-12-22 Technical Operations Inc Optical display or viewing systems with coaxial diffracted and non-diffracted channels
US4011981A (en) * 1975-03-27 1977-03-15 Olin Corporation Process for bonding titanium, tantalum, and alloys thereof
JPS6019484B2 (en) 1975-11-07 1985-05-16 キヤノン株式会社 Copying lens
US4073427A (en) * 1976-10-07 1978-02-14 Fansteel Inc. Lined equipment with triclad wall construction
US4140172A (en) * 1976-12-23 1979-02-20 Fansteel Inc. Liners and tube supports for industrial and chemical process equipment
US4340305A (en) * 1977-05-03 1982-07-20 Massachusetts Institute Of Technology Plate aligning
US4135286A (en) * 1977-12-22 1979-01-23 United Technologies Corporation Sputtering target fabrication method
US4202932A (en) * 1978-07-21 1980-05-13 Xerox Corporation Magnetic recording medium
US4209375A (en) * 1979-08-02 1980-06-24 The United States Of America As Represented By The United States Department Of Energy Sputter target
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US4459062A (en) * 1981-09-11 1984-07-10 Monsanto Company Clad metal joint closure
US4510171A (en) * 1981-09-11 1985-04-09 Monsanto Company Clad metal joint closure
CA1173128A (en) * 1981-10-13 1984-08-21 Pok F. Lee Echo cancellation using transversal filters
JPS60126881U (en) 1984-02-04 1985-08-26 株式会社 タニモト Coin shower control device
US4596467A (en) * 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
US4615619A (en) 1984-03-19 1986-10-07 D.O.M. Associates, Inc. Stationary, electrically alterable, optical masking device and spectroscopic apparatus employing same
US4508563A (en) * 1984-03-19 1985-04-02 Sprague Electric Company Reducing the oxygen content of tantalum
US4818629A (en) * 1985-08-26 1989-04-04 Fansteel Inc. Joint construction for lined equipment
JPS63243804A (en) 1987-03-31 1988-10-11 Toshiba Corp Gap setting device
US5109430A (en) * 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4728193A (en) * 1986-12-11 1988-03-01 Hughes Aircraft Company Precision automatic mask-wafer alignment system
US4889998A (en) 1987-01-29 1989-12-26 Nikon Corporation Apparatus with four light detectors for checking surface of mask with pellicle
US4722756A (en) * 1987-02-27 1988-02-02 Cabot Corp Method for deoxidizing tantalum material
US4731111A (en) * 1987-03-16 1988-03-15 Gte Products Corporation Hydrometallurical process for producing finely divided spherical refractory metal based powders
FR2615280B1 (en) * 1987-05-11 1996-07-19 Canon Kk DEVICE FOR MEASURING THE MOTION DISTANCE RELATIVE OF TWO MOBILE OBJECTS IN RELATION TO THE OTHER
US4915745A (en) * 1988-09-22 1990-04-10 Atlantic Richfield Company Thin film solar cell and method of making
US5020910A (en) * 1990-03-05 1991-06-04 Motorola, Inc. Monolithic diffraction spectrometer
EP0484533B1 (en) * 1990-05-19 1995-01-25 Anatoly Nikiforovich Papyrin Method and device for coating
US5091244A (en) * 1990-08-10 1992-02-25 Viratec Thin Films, Inc. Electrically-conductive, light-attenuating antireflection coating
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
DE4109868A1 (en) * 1991-03-26 1992-10-01 Bosch Gmbh Robert ADJUSTING SOCKET FOR AN ELECTROMAGNETICALLY ACTUABLE VALVE AND METHOD FOR THE PRODUCTION THEREOF
TW198114B (en) * 1991-04-23 1993-01-11 Philips Nv
JP3128879B2 (en) * 1991-08-28 2001-01-29 キヤノン株式会社 Recording device and playback device
JP3187093B2 (en) * 1991-09-27 2001-07-11 キヤノン株式会社 Position shift measuring device
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5305946A (en) * 1992-11-05 1994-04-26 Nooter Corporation Welding process for clad metals
US5330798A (en) * 1992-12-09 1994-07-19 Browning Thermal Systems, Inc. Thermal spray method and apparatus for optimizing flame jet temperature
US5428882A (en) * 1993-04-05 1995-07-04 The Regents Of The University Of California Process for the fabrication of aluminum metallized pyrolytic graphite sputtering targets
EP0746781A1 (en) 1993-07-09 1996-12-11 Commonwealth Scientific And Industrial Research Organisation Multiple image diffractive device
US5667918A (en) * 1993-09-27 1997-09-16 Micron Technology, Inc. Method of lithography using reticle pattern blinders
US5392981A (en) * 1993-12-06 1995-02-28 Regents Of The University Of California Fabrication of boron sputter targets
JP2669391B2 (en) * 1995-03-30 1997-10-27 日本電気株式会社 Semiconductor device
US5903342A (en) * 1995-04-10 1999-05-11 Hitachi Electronics Engineering, Co., Ltd. Inspection method and device of wafer surface
US5645148A (en) * 1995-06-23 1997-07-08 Zf Padova S.P.A. Transmission with built-in brake, particularly for vehicles
US5640992A (en) * 1995-08-09 1997-06-24 Huang; Tien-Tsai Pressure gauge capable of automatically stopping supply of pressure from a pressure source
DE19532244C2 (en) * 1995-09-01 1998-07-02 Peak Werkstoff Gmbh Process for the production of thin-walled tubes (I)
IT1277948B1 (en) * 1995-12-18 1997-11-12 Texas Instruments Italia Spa IMPROVEMENT RELATING TO THE PROCESSING OF SEMICONDUCTORS
JP2842360B2 (en) * 1996-02-28 1999-01-06 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5738770A (en) * 1996-06-21 1998-04-14 Sony Corporation Mechanically joined sputtering target and adapter therefor
US5863398A (en) * 1996-10-11 1999-01-26 Johnson Matthey Electonics, Inc. Hot pressed and sintered sputtering target assemblies and method for making same
US5859654A (en) * 1996-10-31 1999-01-12 Hewlett-Packard Company Print head for ink-jet printing a method for making print heads
EP0963541B1 (en) * 1996-12-30 2003-06-25 Thomas D. Ditto Variable pitch grating for diffraction range finding system
US5912983A (en) * 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
US6238456B1 (en) * 1997-02-19 2001-05-29 H. C. Starck Gmbh & Co. Kg Tantalum powder, method for producing same powder and sintered anodes obtained from it
JPH1186332A (en) 1997-09-01 1999-03-30 Toshiba Corp Optical head device
US6010583A (en) * 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
US5812270A (en) * 1997-09-17 1998-09-22 Ircon, Inc. Window contamination detector
US6911124B2 (en) * 1998-09-24 2005-06-28 Applied Materials, Inc. Method of depositing a TaN seed layer
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6465322B2 (en) * 1998-01-15 2002-10-15 Koninklijke Philips Electronics N.V. Semiconductor processing methods and structures for determining alignment during semiconductor wafer processing
AU3538599A (en) * 1998-04-28 1999-11-16 Nikon Corporation Exposure system and method of manufacturing micro device
WO1999056174A1 (en) 1998-04-30 1999-11-04 Nikon Corporation Alignment simulation
US6171363B1 (en) * 1998-05-06 2001-01-09 H. C. Starck, Inc. Method for producing tantallum/niobium metal powders by the reduction of their oxides with gaseous magnesium
US6189663B1 (en) * 1998-06-08 2001-02-20 General Motors Corporation Spray coatings for suspension damper rods
US6150231A (en) * 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6875324B2 (en) * 1998-06-17 2005-04-05 Tanaka Kikinzoku Kogyo K.K. Sputtering target material
IL125337A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
US6071389A (en) * 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
US6749103B1 (en) * 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
US6690469B1 (en) * 1998-09-18 2004-02-10 Hitachi, Ltd. Method and apparatus for observing and inspecting defects
JP2002528895A (en) * 1998-10-20 2002-09-03 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method of manufacturing a semiconductor device on a silicon substrate having on its surface a lattice and at least partially a recessed oxide pattern
US6176947B1 (en) * 1998-12-31 2001-01-23 H-Technologies Group, Incorporated Lead-free solders
US6197082B1 (en) * 1999-02-17 2001-03-06 H.C. Starck, Inc. Refining of tantalum and tantalum scrap with carbon
US6558447B1 (en) * 1999-05-05 2003-05-06 H.C. Starck, Inc. Metal powders produced by the reduction of the oxides with gaseous magnesium
DE19925831A1 (en) * 1999-06-07 2000-12-14 Inst Halbleiterphysik Gmbh Process for measuring the positioning errors of structured patterns used in semiconductor production comprises forming test grating structures, and measuring the light bent at the structures
US6407396B1 (en) * 1999-06-24 2002-06-18 International Business Machines Corporation Wafer metrology structure
US7242464B2 (en) * 1999-06-24 2007-07-10 Asml Holdings N.V. Method for characterizing optical systems using holographic reticles
US6521173B2 (en) * 1999-08-19 2003-02-18 H.C. Starck, Inc. Low oxygen refractory metal powder for powder metallurgy
US6261337B1 (en) * 1999-08-19 2001-07-17 Prabhat Kumar Low oxygen refractory metal powder for powder metallurgy
DE19942916A1 (en) * 1999-09-08 2001-03-15 Linde Gas Ag Manufacture of foamable metal bodies and metal foams
US6245390B1 (en) * 1999-09-10 2001-06-12 Viatcheslav Baranovski High-velocity thermal spray apparatus and method of forming materials
JP4240679B2 (en) * 1999-09-21 2009-03-18 ソニー株式会社 Method for producing sputtering target
DE19949009A1 (en) * 1999-10-11 2001-04-12 Zeiss Carl Fa Mutual alignment of mask pattern and substrate for semiconductor chip manufacture
US6258402B1 (en) * 1999-10-12 2001-07-10 Nakhleh Hussary Method for repairing spray-formed steel tooling
CN100460558C (en) * 1999-12-28 2009-02-11 东芝株式会社 Component for vacuum film deposition system, vacuum film deposition system using the same and target device
EP1257781A4 (en) * 2000-01-26 2006-12-13 Timbre Tech Inc Caching of intra-layer calculations for rapid rigorous coupled-wave analyses
JP3302965B2 (en) * 2000-02-15 2002-07-15 株式会社東芝 Inspection method for exposure equipment
JP3996728B2 (en) * 2000-03-08 2007-10-24 株式会社日立製作所 Surface inspection apparatus and method
JP2001272208A (en) * 2000-03-27 2001-10-05 Toshiba Corp Superposing deviation inspection apparatus, and mark for inspecting superposing deviation and superposing deviation inspection method
JP3863339B2 (en) * 2000-03-28 2006-12-27 株式会社東芝 Optical axis deviation measurement method
EP1139390A1 (en) 2000-03-28 2001-10-04 Infineon Technologies AG Semiconductor wafer pod
US6502767B2 (en) * 2000-05-03 2003-01-07 Asb Industries Advanced cold spray system
US6582572B2 (en) * 2000-06-01 2003-06-24 Seagate Technology Llc Target fabrication method for cylindrical cathodes
US6643557B1 (en) 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6725522B1 (en) * 2000-07-12 2004-04-27 Tosoh Smd, Inc. Method of assembling target and backing plates
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
US6409897B1 (en) * 2000-09-20 2002-06-25 Poco Graphite, Inc. Rotatable sputter target
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US7115858B1 (en) 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US7041204B1 (en) * 2000-10-27 2006-05-09 Honeywell International Inc. Physical vapor deposition components and methods of formation
US6665070B1 (en) * 2001-04-20 2003-12-16 Nanometrics Incorporated Alignment of a rotatable polarizer with a sample
US6915964B2 (en) * 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US6722584B2 (en) * 2001-05-02 2004-04-20 Asb Industries, Inc. Cold spray system nozzle
US7201940B1 (en) * 2001-06-12 2007-04-10 Advanced Cardiovascular Systems, Inc. Method and apparatus for thermal spray processing of medical devices
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
US7053294B2 (en) * 2001-07-13 2006-05-30 Midwest Research Institute Thin-film solar cell fabricated on a flexible metallic substrate
JP2005508444A (en) * 2001-09-17 2005-03-31 ヘラエウス インコーポレーテッド Recycling of used sputter targets
US6884552B2 (en) 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6627814B1 (en) * 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6896933B2 (en) * 2002-04-05 2005-05-24 Delphi Technologies, Inc. Method of maintaining a non-obstructed interior opening in kinetic spray nozzles
US6743468B2 (en) * 2002-09-23 2004-06-01 Delphi Technologies, Inc. Method of coating with combined kinetic spray and thermal spray
ES2359405T3 (en) * 2002-09-25 2011-05-23 Alcoa Inc. WHEEL OF COVERED VEHICLE AND COATING PROCEDURE.
US6749002B2 (en) * 2002-10-21 2004-06-15 Ford Motor Company Method of spray joining articles
US7067197B2 (en) * 2003-01-07 2006-06-27 Cabot Corporation Powder metallurgy sputtering targets and methods of producing same
US6872427B2 (en) * 2003-02-07 2005-03-29 Delphi Technologies, Inc. Method for producing electrical contacts using selective melting and a low pressure kinetic spray process
JP4008388B2 (en) * 2003-06-30 2007-11-14 シャープ株式会社 Film for semiconductor carrier, semiconductor device using the same, and liquid crystal module
US6992261B2 (en) * 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7170915B2 (en) * 2003-07-23 2007-01-30 Intel Corporation Anti-reflective (AR) coating for high index gain media
US7314650B1 (en) * 2003-08-05 2008-01-01 Leonard Nanis Method for fabricating sputter targets
JP4468302B2 (en) * 2003-09-12 2010-05-26 日鉱金属株式会社 Sputtering target and surface finishing method of the target
US6905728B1 (en) * 2004-03-22 2005-06-14 Honeywell International, Inc. Cold gas-dynamic spray repair on gas turbine engine components
US20060006064A1 (en) * 2004-07-09 2006-01-12 Avi Tepman Target tiles in a staggered array
US20060011470A1 (en) * 2004-07-16 2006-01-19 Hatch Gareth P Sputtering magnetron control devices
US20060042728A1 (en) * 2004-08-31 2006-03-02 Brad Lemon Molybdenum sputtering targets
US20060137969A1 (en) * 2004-12-29 2006-06-29 Feldewerth Gerald B Method of manufacturing alloy sputtering targets
US20080063889A1 (en) * 2006-09-08 2008-03-13 Alan Duckham Reactive Multilayer Joining WIth Improved Metallization Techniques
CA2607091C (en) * 2005-05-05 2014-08-12 H.C. Starck Gmbh Coating process for manufacture or reprocessing of sputter targets and x-ray anodes
US7316763B2 (en) * 2005-05-24 2008-01-08 Applied Materials, Inc. Multiple target tiles with complementary beveled edges forming a slanted gap therebetween
US7550055B2 (en) * 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
US7644745B2 (en) * 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
US7652223B2 (en) * 2005-06-13 2010-01-26 Applied Materials, Inc. Electron beam welding of sputtering target tiles
US20070012557A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc Low voltage sputtering for large area substrates
US7837929B2 (en) * 2005-10-20 2010-11-23 H.C. Starck Inc. Methods of making molybdenum titanium sputtering plates and targets
US20080041720A1 (en) * 2006-08-14 2008-02-21 Jaeyeon Kim Novel manufacturing design and processing methods and apparatus for PVD targets
WO2008033192A1 (en) * 2006-09-12 2008-03-20 Tosoh Smd, Inc. Sputtering target assembly and method of making same
US8197894B2 (en) * 2007-05-04 2012-06-12 H.C. Starck Gmbh Methods of forming sputtering targets
US20090010792A1 (en) * 2007-07-02 2009-01-08 Heraeus Inc. Brittle metal alloy sputtering targets and method of fabricating same
US7901552B2 (en) * 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
KR20150128817A (en) * 2007-12-21 2015-11-18 사푸라스트 리써치 엘엘씨 Method for sputter targets for electrolyte films
GB2459917B (en) * 2008-05-12 2013-02-27 Sinito Shenzhen Optoelectrical Advanced Materials Company Ltd A process for the manufacture of a high density ITO sputtering target
JP5092939B2 (en) * 2008-07-01 2012-12-05 日立電線株式会社 Flat plate copper sputtering target material for TFT and sputtering method
US8040807B2 (en) * 2008-09-05 2011-10-18 Cisco Technology, Inc. QoS on bonded channels of a shared access cable network
US20120017521A1 (en) * 2010-07-26 2012-01-26 Matthew Murray Botke Variable performance building cladding according to view angle

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4103998A (en) * 1975-07-21 1978-08-01 Nippon Kogaku K.K. Automatic alignment apparatus
US4167337A (en) * 1976-06-19 1979-09-11 International Business Machines Corporation Interferometric apparatus and process
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4124458A (en) * 1977-07-11 1978-11-07 Innova, Inc. Mass-transfer membrane and processes using same
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4703434A (en) * 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
US4828392A (en) * 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4820055A (en) * 1985-08-26 1989-04-11 Siemens Aktiengesellschaft Apparatus for adjusting a mask with at least one adjustment mark relative to a semi-conductor wafer provided with at least one lattice structure
US4822740A (en) * 1985-10-07 1989-04-18 Microlife Technics, Inc. Bacteria for expressing a polysaccharide depolymerase containing a novel recombinant plasmid
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4848911A (en) * 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4750836A (en) * 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US5340992A (en) * 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5327221A (en) * 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5182455A (en) * 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5114235A (en) * 1989-07-18 1992-05-19 Canon Kabushiki Kaisha Method of detecting positional deviation
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5172190A (en) * 1990-01-12 1992-12-15 Karl Suss Kg Prazisionsgerate Fur Wissenschaft Und Industrie - Gmbh & Co. Alignment patterns for two objects to be aligned relative to each other
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5343292A (en) * 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
US6178257B1 (en) * 1990-11-16 2001-01-23 Applied Materials, Inc. Substrate inspection method and apparatus
US5607818A (en) * 1991-06-04 1997-03-04 Micron Technology, Inc. Method for making interconnects and semiconductor structures using electrophoretic photoresist deposition
US5910841A (en) * 1991-11-19 1999-06-08 Masao; Katsuya Ellipsometer using an expanded beam
US5479270A (en) * 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5465148A (en) * 1992-10-23 1995-11-07 Canon Kabushiki Kaisha Apparatus and method for detecting the relative positional deviation between two diffraction gratings
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5316984A (en) * 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
US5666196A (en) * 1993-05-31 1997-09-09 Canon Kabushiki Kaisha Optical detection apparatus for detecting information relating to relative displacement of an object on whch a diffraction grating is formed
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5596406A (en) * 1993-07-16 1997-01-21 Therma-Wave, Inc. Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
US5525840A (en) * 1993-11-18 1996-06-11 Nec Corporation Semiconductor device having an alignment mark
US5909333A (en) * 1994-05-27 1999-06-01 International Business Machines Corporation Servo-writing system for use in a data recording disk drive
US5883710A (en) * 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5783342A (en) * 1994-12-28 1998-07-21 Matsushita Electric Industrial Co., Ltd. Method and system for measurement of resist pattern
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5923041A (en) * 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US6323560B1 (en) * 1995-06-27 2001-11-27 Mitsubishi Denki Kabushiki Kaisha Registration accuracy measurement mark, method of repairing defect of the mark, photomask having the mark, method of manufacturing the photo mask and method of exposure thereof
US5596413A (en) * 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
US5739909A (en) * 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
US5939226A (en) * 1996-03-08 1999-08-17 Mitsubishi Denki Kabushiki Kaisha Aberration estimation reticle for determining overlay error
US6130750A (en) * 1996-05-02 2000-10-10 International Business Machines Corporation Optical metrology tool and method of using same
US6081325A (en) * 1996-06-04 2000-06-27 Kla-Tencor Corporation Optical scanning system for surface inspection
US6079256A (en) * 1996-07-12 2000-06-27 Kla Instruments Corporation Overlay alignment measurement of wafers
US6046094A (en) * 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US5872042A (en) * 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
US6301001B1 (en) * 1996-10-03 2001-10-09 Canon Kabushiki Kaisha Optical element manufacturing system, an illumination system, and an exposure apparatus
US6013355A (en) * 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5738961A (en) * 1997-03-03 1998-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step photolithography method for aligning and patterning non-transparent layers
US5902703A (en) * 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US6023238A (en) * 1997-03-28 2000-02-08 Thomson-Csf Method and device for the removal of ambiguity in distance, applied especially to frequency-shift keying continuous-wave radars
US6197679B1 (en) * 1997-06-04 2001-03-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method therefor
US6134011A (en) * 1997-09-22 2000-10-17 Hdi Instrumentation Optical measurement system using polarized light
US6177330B1 (en) * 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6421124B1 (en) * 1997-12-03 2002-07-16 Canon Kabushiki Kaisha Position detecting system and device manufacturing method using the same
US6590656B2 (en) * 1998-03-06 2003-07-08 Kla-Tencor Corporation Spectroscopic scatterometer system
US6476920B1 (en) * 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6611330B2 (en) * 1999-02-09 2003-08-26 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6225189B1 (en) * 1999-03-06 2001-05-01 United Microelectronics Corp. Method of fabricating shallow trench isolation structure
US6420971B1 (en) * 1999-06-23 2002-07-16 Tripseal Limited Electronic seal, methods and security system
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6699630B2 (en) * 2000-07-07 2004-03-02 Nikon Corporation Method and apparatus for exposure, and device manufacturing method
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US6710876B1 (en) * 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US6606152B2 (en) * 2000-09-06 2003-08-12 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6633831B2 (en) * 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6561661B2 (en) * 2000-11-02 2003-05-13 Minebea Co., Ltd. Spread illuminating apparatus with a pair of light sources overlapped in a thickness direction of a transparent substrate
US6699627B2 (en) * 2000-12-08 2004-03-02 Adlai Smith Reference wafer and process for manufacturing same
US6721052B2 (en) * 2000-12-20 2004-04-13 Kla-Technologies Corporation Systems for measuring periodic structures
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6855464B2 (en) * 2001-02-27 2005-02-15 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6856408B2 (en) * 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6522406B1 (en) * 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
US6876092B2 (en) * 2001-05-23 2005-04-05 Asml Netherlands B.V. Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby
US6594024B1 (en) * 2001-06-21 2003-07-15 Advanced Micro Devices, Inc. Monitor CMP process using scatterometry
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements

Cited By (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090161123A1 (en) * 1999-07-09 2009-06-25 Nova Measuring Instruments Ltd. Of Weizmann Scientific Park Method and system for measuring patterned structures
US20100280807A1 (en) * 1999-07-09 2010-11-04 Nova Measuring Instruments Ltd. Method and system for measuring patterned structures
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
US7760368B2 (en) 1999-07-09 2010-07-20 Nova Measuring Instruments Ltd. Method and system for measuring patterned structures
US8023122B2 (en) 1999-07-09 2011-09-20 Nova Measuring Instruments Ltd. Method and system for measuring patterned structures
US7864343B2 (en) 1999-07-09 2011-01-04 Nova Measuring Instruments Ltd. Method and system for measuring patterned structures
US9184102B2 (en) 1999-07-09 2015-11-10 Nova Measuring Instruments Ltd. Method and system for measuring patterned structures
US7791740B2 (en) * 1999-07-09 2010-09-07 Nova Measuring Instruments Ltd. Method and system for measuring patterned structures
US7864344B1 (en) * 1999-07-09 2011-01-04 Nova Measuring Instruments Ltd. Method and system for measuring patterned structures
US20100121627A1 (en) * 1999-07-09 2010-05-13 Nova Measuring Instruments Ltd. Of Weizmann Scientific Park Method and system for measuring patterned structures
US20100324865A1 (en) * 1999-07-09 2010-12-23 Nova Measuring Instruments Ltd. Of Weizmann Scientific Park Method and system for measuring patterned structures
US7515279B2 (en) * 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US20090190138A1 (en) * 2001-03-02 2009-07-30 Nanometrics Incorporated Line Profile Asymmetry Measurement
US20070201043A1 (en) * 2001-03-02 2007-08-30 Accent Optical Technologies, Inc. Line Profile Asymmetry Measurement
US7639371B2 (en) 2001-03-02 2009-12-29 Nanometrics Incorporated Line profile asymmetry measurement
US8274717B2 (en) 2006-08-01 2012-09-25 Xerox Corporation System and method for characterizing color separation misregistration
US7894109B2 (en) 2006-08-01 2011-02-22 Xerox Corporation System and method for characterizing spatial variance of color separation misregistration
US8270049B2 (en) 2006-08-01 2012-09-18 Xerox Corporation System and method for high resolution characterization of spatial variance of color separation misregistration
US20080030788A1 (en) * 2006-08-01 2008-02-07 Xerox Corporation System and method for characterizing color separation misregistration
US7573584B2 (en) 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
EP1903397A3 (en) * 2006-09-25 2009-07-08 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080074666A1 (en) * 2006-09-25 2008-03-27 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
EP1903397A2 (en) * 2006-09-25 2008-03-26 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8237914B2 (en) 2006-12-01 2012-08-07 Asml Netherlands B.V. Process, apparatus, and device for determining intra-field correction to correct overlay errors between overlapping patterns
US20080165332A1 (en) * 2006-12-01 2008-07-10 Asml Netherlands B.V. Lithographic Apparatus, Device Manufacturing Method and Device
US8248579B2 (en) 2006-12-01 2012-08-21 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device for correcting overlay errors between overlapping patterns
US20100092881A1 (en) * 2006-12-01 2010-04-15 Asml Netherlands B.V. Process, Apparatus and Device
US20080170280A1 (en) * 2007-01-16 2008-07-17 Xerox Corporation System and method for estimating color separation misregistration utilizing frequency-shifted halftone patterns that form a moire pattern
US7826095B2 (en) 2007-01-16 2010-11-02 Xerox Corporation System and method for estimating color separation misregistration utilizing frequency-shifted halftone patterns that form a moiré pattern
US20080294363A1 (en) * 2007-05-21 2008-11-27 Xerox Corporation System and method for characterizing color separation misregistration utilizing a broadband multi-channel scanning module
US8228559B2 (en) 2007-05-21 2012-07-24 Xerox Corporation System and method for characterizing color separation misregistration utilizing a broadband multi-channel scanning module
US20080292368A1 (en) * 2007-05-21 2008-11-27 Xerox Corporation System and method for determining and correcting color separation registration errors in a multi-color printing system
KR20190114044A (en) * 2007-12-17 2019-10-08 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
KR102002005B1 (en) 2007-12-17 2019-07-19 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
TWI414910B (en) * 2007-12-17 2013-11-11 Asml Netherlands Bv Method for and detection system configured to determining an overlay error, and lithographic apparatus comprising a detection system
US8670118B2 (en) 2007-12-17 2014-03-11 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
US11644428B2 (en) 2007-12-17 2023-05-09 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
US11619595B2 (en) 2007-12-17 2023-04-04 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
KR102414471B1 (en) 2007-12-17 2022-06-29 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
US20100328655A1 (en) * 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
CN106019855A (en) * 2007-12-17 2016-10-12 Asml荷兰有限公司 Diffraction Based Overlay Metrology Tool and Method
KR20210141774A (en) * 2007-12-17 2021-11-23 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
KR20170031793A (en) * 2007-12-17 2017-03-21 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
KR20180021218A (en) * 2007-12-17 2018-02-28 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
US9909996B2 (en) 2007-12-17 2018-03-06 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
KR102328016B1 (en) 2007-12-17 2021-11-17 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
KR20190029781A (en) * 2007-12-17 2019-03-20 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
US8339595B2 (en) 2007-12-17 2012-12-25 Asml Netherlands B.V. Diffraction based overlay metrology tool and method
KR102029967B1 (en) 2007-12-17 2019-10-08 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
WO2009078708A1 (en) * 2007-12-17 2009-06-25 Asml Netherlands B.V. Diffraction based overlay metrology tool and method
KR20200126021A (en) * 2007-12-17 2020-11-05 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
US10520451B2 (en) 2007-12-17 2019-12-31 Asml Netherlands B.V. Diffraction based overlay metrology tool and method of diffraction based overlay metrology
KR102102302B1 (en) 2007-12-17 2020-04-21 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
KR102173598B1 (en) 2007-12-17 2020-11-04 에이에스엠엘 네델란즈 비.브이. Diffraction based overlay metrology tool and method
US9946167B2 (en) 2010-11-12 2018-04-17 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US9535342B2 (en) 2010-11-12 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
TWI470215B (en) * 2010-11-12 2015-01-21 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method
US8867020B2 (en) 2010-11-12 2014-10-21 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
US20190310080A1 (en) * 2018-04-09 2019-10-10 Kla-Tencor Corporation Localized Telecentricity and Focus Optimization for Overlay Metrology

Also Published As

Publication number Publication date
US20040229471A1 (en) 2004-11-18
EP1390692A1 (en) 2004-02-25
US20050157297A1 (en) 2005-07-21
US9476698B2 (en) 2016-10-25
US8570515B2 (en) 2013-10-29
US9103662B2 (en) 2015-08-11
US20140022563A1 (en) 2014-01-23
US20030002043A1 (en) 2003-01-02
US8525994B2 (en) 2013-09-03
WO2002084213A1 (en) 2002-10-24
US9234745B2 (en) 2016-01-12
US20180100735A1 (en) 2018-04-12
JP4520696B2 (en) 2010-08-11
US9835447B2 (en) 2017-12-05
EP1390692A4 (en) 2007-09-12
US20160084639A1 (en) 2016-03-24
US20090231584A1 (en) 2009-09-17
EP1390692B1 (en) 2015-06-03
US7656528B2 (en) 2010-02-02
JP2004533114A (en) 2004-10-28
US20170038198A1 (en) 2017-02-09
US20070127025A1 (en) 2007-06-07
US20040061857A1 (en) 2004-04-01
US20060065625A1 (en) 2006-03-30
US20060262326A1 (en) 2006-11-23
US20050208685A1 (en) 2005-09-22
US20100073688A1 (en) 2010-03-25
US20150300815A1 (en) 2015-10-22
US10151584B2 (en) 2018-12-11

Similar Documents

Publication Publication Date Title
US10151584B2 (en) Periodic patterns and technique to control misalignment between two layers
US7236244B1 (en) Alignment target to be measured with multiple polarization states
US6992764B1 (en) Measuring an alignment target with a single polarization state
US7230705B1 (en) Alignment target with designed in offset
US7046361B1 (en) Positioning two elements using an alignment target with a designed offset
US6767680B2 (en) Semiconductor structure and method for determining critical dimensions and overlay error
US7440105B2 (en) Continuously varying offset mark and methods of determining overlay
US7193715B2 (en) Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US7333200B2 (en) Overlay metrology method and apparatus using more than one grating per measurement direction
JP4734261B2 (en) Continuously changing offset mark and overlay determination method
KR101071654B1 (en) Line Profile Asymmetry Measurement
JP2004519716A (en) Grid test pattern and overlay measurement method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION