US20050199341A1 - Method and system for analyzing data from a plasma process - Google Patents

Method and system for analyzing data from a plasma process Download PDF

Info

Publication number
US20050199341A1
US20050199341A1 US11/093,277 US9327705A US2005199341A1 US 20050199341 A1 US20050199341 A1 US 20050199341A1 US 9327705 A US9327705 A US 9327705A US 2005199341 A1 US2005199341 A1 US 2005199341A1
Authority
US
United States
Prior art keywords
processing
parameters
plasma
substrate
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/093,277
Inventor
Deana Delp
Lee Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/093,277 priority Critical patent/US20050199341A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, LEE, DELP, DEANA
Publication of US20050199341A1 publication Critical patent/US20050199341A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Definitions

  • the invention generally relates to the field of plasma processing. More particularly, the invention relates to monitoring and analyzing process parameters in a plasma processing facility.
  • critical process parameters may vary significantly. Processing conditions change over time with the slightest changes in critical process parameters creating undesirable results. Small changes can easily occur in the composition or pressure of an etch gas, process chamber, or wafer temperature. As such, plasma processing facilities require constant monitoring.
  • Process control feedback may be used to adjust the process parameters or determine the viability of certain process materials.
  • changes of process data reflecting deterioration of processing characteristics cannot be detected by simply referring to the process data displayed. It is difficult to detect early stage abnormalities and characteristic deterioration of a process, and often may be necessary to obtain prediction and pattern recognition by an Advanced Process Control (APC).
  • API Advanced Process Control
  • Computers are generally used to control, monitor, and analyze manufacturing processes, due to the various complexities that may occur in a semiconductor manufacturing plant from the reentrant wafer flows, critical processing steps, and maintenance of the processes.
  • the software implemented with the data collection system is not applicable to a variety of plasma processes and does not optimize accumulation and analysis of the data and the resulting processing relationships.
  • a system and method that is broadly applicable to a variety of plasma processes is utilized to determine a relationship between process parameters and performance measurements.
  • the interaction between harmonic and other measurements and process variables is determined and matched to the current plasma process to achieve desired process results.
  • FIG. 1 illustrates a plasma processing system in accordance with an embodiment of the present invention
  • FIG. 2 illustrates a plasma processing system in accordance with another embodiment of the present invention
  • FIG. 3 illustrates a plasma processing system in accordance with another embodiment of the present invention
  • FIG. 4 illustrates a plasma processing system in accordance with another embodiment of the present invention
  • FIG. 5 illustrates a plasma processing system in accordance with another embodiment of the present invention
  • FIG. 6 illustrates a simplified diagram of an RF signal and harmonic measurements
  • FIG. 7A illustrates a flow diagram for a response surface method in accordance with an embodiment of the present invention
  • FIG. 7B illustrates an exemplary response surface model in accordance with an embodiment of the present invention
  • FIG. 8 illustrates a flow diagram for a neural network model in accordance an embodiment of the present invention
  • FIG. 9 illustrates neural network model in accordance with an embodiment of the present invention.
  • FIG. 10 illustrates an overall system in accordance with an embodiment of the present invention.
  • FIG. 11 illustrates a neural network model in accordance with an embodiment of the present invention.
  • FIG. 1 illustrates a plasma processing system 100 in accordance with the present invention.
  • System 100 includes a processing chamber 102 , a device 104 configured to measure and adjust at least one processing parameter, a device 106 configured to measure at least one process performance measurement, and a controller 108 .
  • the controller 608 is coupled to the processing chamber 602 , the device 604 and device 606 .
  • the plasma processing system 100 of FIG. 1 utilizes, for example, a plasma for material processing, and includes an etch chamber.
  • the system 100 may include a photoresist coating chamber such as, a photoresist spin coating system.
  • the system may also include a photoresist patterning chamber such as a ultraviolet (UV) lithography system, or a dielectric coating chamber such as a spin-on-glass (SOG) or spin-on-dielectic (SOD) system.
  • UV ultraviolet
  • SOG spin-on-glass
  • SOD spin-on-dielectic
  • the system 100 may include: a deposition chamber such as a chemical vapor deposition (CCVD) or a physical vapor deposition (PVD) system, a rapid thermal processing (RTP) chamber, such as a RTP system for thermal annealing, or a batch diffusion furnace.
  • a deposition chamber such as a chemical vapor deposition (CCVD) or a physical vapor deposition (PVD) system
  • a rapid thermal processing (RTP) chamber such as a RTP system for thermal annealing
  • RTP rapid thermal processing
  • Device 104 can adjust process parameters such as electrode spacing backs holding (He) pressure, total flow rate, flow rate ratio, bottom electrode RF power, top electrode RF power chuck temperature, or the like.
  • He electrode spacing backs holding
  • FIG. 2 illustrates plasma processing system 200 in greater detail in accordance with the present invention.
  • the system 200 may include, as depicted in FIG. 1 , a process chamber 102 , a substrate holder 212 upon which a substrate 204 to be processed may be affixed, a gas injection system 206 , and a vacuum pumping system 208 .
  • the substrate 204 may be, for example, a semiconductor substrate, a wafer, or a liquid crystal display (LCD).
  • Process chamber 102 may be, for example, configured to facilitate the generation of plasma in a processing region 202 adjacent a surface of the substrate 204 .
  • the plasma may be formed by collisions between heated electrons and an ionizable gas within the processing chamber 102 .
  • the ionizable gas or a mixture of gases 210 may be introduced into the region 202 via the gas injection system 206 .
  • a control mechanism which is not illustrated, may be used to throttle the vacuum pumping system 208 .
  • the plasma within the processing region 202 may be utilized to create materials specific to a pre-determined materials process, and to aid the deposition of material to substrate 204 or the removal of material from the exposed surfaces of substrate 204 .
  • Substrate 204 may be, for example, transferred into and out of processing chamber 102 through a slot valve (not illustrated) and a chamber feed-through (not illustrated) via a robotic substrate transfer system.
  • the substrate 204 may be received by substrate lift pins (not illustrated) that may be housed within the substrate holder 212 and mechanically translated by devices that are housed therein.
  • Substrate 204 may be, for example, affixed to the substrate holder 212 via an electrostatic clamping system 214 .
  • the substrate holder 212 may include a cooling system having a re-circulating coolant flow that receives heat from substate holder 212 and transfers the heat to a heat exchanger (not illustrated).
  • the cooling system may also include a device 216 configured to monitor the substrate 204 and/or the substrate holder 212 temperature.
  • the device 216 may be, for example, a thermocouple such as a K-type thermocouple.
  • gas may be delivered to the back-side of the substrate 204 via a backside gas system 218 to improve the gas-gap thermal conductance between the substrate 204 and the substrate holder 212 .
  • the backside gas system may be utilized when the temperature control of the substrate 204 is required to be at an elevated or reduced temperature.
  • temperature control of the substrate 204 may be useful at temperatures in excess of the steady-state temperature achieved due to a balance of the heat flux delivered to the substrate 204 from the plasma and the heat flux removed from the substrate 204 by conduction to the substrate holder 212 .
  • Heating elements such as resistive heating elements or thermo-electric heater/coolers may also be included in the system.
  • Substrate holder 212 may, for example, further serve as an electrode through which RF power may be coupled to plasma in the processing region 202 .
  • substrate holder 212 may be electrically biased at a RF voltage via the transmission of RF power from the RF generator 220 through impedance match network 222 to substrate holder 212 .
  • the RF bias can serve to heat electrons and, thereby, form and maintain plasma.
  • the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 1 MHz to 100 MHz and can be 13.56 MHz.
  • RF systems for plasma processing are well known to those in the art.
  • RF power may be applied to the substrate holder electrode at multiple frequencies.
  • impedance match network 222 may serve to maximize the transfer of RF power to plasma in processing chamber 202 by minimizing the reflected power.
  • Match network topologies e.g. L-type, ⁇ -type, T-type, etc
  • automatic control methods are well known to those skilled in the art.
  • Process gas 210 may be, for example, introduced to processing region 202 through gas injection system 206 .
  • Process gas 210 may, for example, include a mixture of gases such as argon, CF 4 and O 2 , or argon, C 4 F 8 and O 2 for oxide etch applications.
  • Gas injection system 206 may include a showerhead, wherein process gas 210 may be supplied from a gas delivery system (not illustrated) to the processing region 202 through a gas injection plenum (not illustrated), a series of baffle plates (not illustrated) and a multi-orifice showerhead gas injection plate (not shown). Gas injection systems are well known to those skilled in the art.
  • Vacuum pump system 208 may, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed of 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • a 1000 to 3000 liter per second TMP may be employed.
  • TMPs are useful for low pressure processing, typically less than 50 mTorr. At higher pressures, the TMP pumping speed falls off dramatically.
  • a mechanical booster pump and dry roughing pump may be used.
  • a device for monitoring chamber pressure 224 may be coupled to the processing chamber 102 .
  • the pressure measuring device 224 may be, for example, a Type 628B Baratron absolute capacitance manometer that is commercially available from MKS Instruments, Inc. (Andover, Mass.).
  • Plasma processing system 200 may further include a metrology tool 230 configured to measure performance measurements such as, in etch systems, an etch rate, an etch selectivity (i.e. ratio of etch rate of one material to etch rate of a second material), an etch uniformity, a feature profile angle, and a critical dimension.
  • the metrology tool 230 may be either an in-situ or ex-situ device.
  • the metrology tool 230 may be, for example, a scatterometer, incorporating beam profile ellipsometry and beam profile reflectometry.
  • the scatterometer may be positioned within a transfer chamber (not illustrated) to analyze substrates 204 that are transferred into and out of process chamber 102 .
  • the metrology tool 230 may be, for example, a scanning electron microscope (SEM), wherein substrates have been cleaved and features are illuminated to determine the performance parameters.
  • SEM scanning electron microscope
  • the metrology tool 230 may be further coupled to controller 108 to provide controller 108 with spatially resolved measurements of the performance measurements.
  • Controller 108 may comprise a microprocessor, memory, and a digital 1 / 0 port that is capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 100 , as well as monitor outputs from plasma processing system 100 . Moreover, controller 108 is coupled to and exchanges information with RF generator 220 , impedance match network 222 , gas injection system 206 , vacuum pump system 208 , pressure measuring device 224 , backside gas delivery system 218 , substrate/substrate holder temperature measurement system 216 , electrostatic clamping system 214 , and metrology tool 230 . A program stored in the memory may be utilized to activate the inputs to the aforementioned components of a plasma processing system 200 according to a stored process.
  • FIG. 3 illustrates a plasma processing system 300 in accordance with another embodiment of the present invention.
  • the plasma processing system 200 illustrated in FIG. 2 may, for example, further include a mechanically or electrically rotating dc magnetic field system 304 to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIGS. 1 and 2 .
  • controller 108 may be coupled to rotating magnetic field system 304 to regulate the speed of rotation and field strength.
  • the design and implementation of a rotating magnetic field is well known to those skilled in the art.
  • FIG. 4 illustrates a plasma processing system 400 in accordance with another embodiment of the present invention.
  • the plasma processing system 400 is similar to the system depicted in FIGS. 1 and 2 ; however the system 400 of FIG. 4 may further comprise an upper electrode 402 to which RF power may be coupled from a RF generator 404 via impedance match network 222 .
  • a typical frequency for the application of power to the upper electrode 402 can range from 0.1 MHz to 30 MHz and can be 2 MHz.
  • controller 108 may be coupled to RF generator 404 to control the application of RF power to upper electrode 402 .
  • the design and implementation of an upper electrode is well known to those skilled in the art.
  • FIG. 5 illustrates a plasma processing system 500 in accordance with another embodiment of the present invention.
  • the system of FIG. 1 may further include an inductive coil 510 to which RF power may be coupled, via RF generator 520 , to an impedance match network 530 .
  • RF power is inductively coupled from inductive coil 510 through a dielectric window (not illustrated) to plasma processing region 202 .
  • a typical frequency for the application of RF power to the inductive coil 510 may range from 10 MHz to 100 MHz and may be 13.56 MHz.
  • a typical frequency for the application of power to a chuck electrode may range from 0.1 MHz to 30 MHz and may be 13.56 MHz.
  • a slotted Faraday shield (not illustrated) may be employed to reduce capacitive coupling between the inductive coil 510 and plasma.
  • controller 108 may be coupled to RF generator 520 and impedance match network 530 to control the application of power to inductive coil 510 .
  • ICP inductively coupled plasma
  • the plasma may be formed: using electron cyclotron resonance (ECR); from the launching of a Helicon wave; or from a propagating surface wave.
  • ECR electron cyclotron resonance
  • substrate 204 may be processed in process chamber 102 and performance measurements may be measured utilizing, for example, the metrology tool 230 .
  • the performance measurements may include, for example, etch rate, deposition rate, etch selectivity (ratio of the rate at which at first material is etched to the rate at which a second material is etched), and etch critical dimension (e.g. length or width of feature), an etch feature anisotropy (e.g.
  • etch feature sidewall profile e.g., film stress, porosity, etc
  • a film property e.g., film stress, porosity, etc
  • a plasma density obtained, for example, from a Langmuir probe
  • an ion energy obtained, for example, from an ion energy spectrum analyzer
  • a concentration of a chemical specie obtained, for example, from optical emission spectroscopy
  • a mask e.g. photoresist film thickness
  • a mask e.g. photoresist
  • pattern critical dimension e.g. photoresist
  • the non-linear characteristics of the plasma create harmonics that are also measured along with other performance parameters.
  • Processing parameters may include, for example, process pressure, Helium backside gas pressure, process gas (e.g. CF 4 , C 4 F 8 , O 2 , Ar, etc), partial pressure, process gas flow rate, upper electrode RF power, lower electrode RF power, substrate (or chuck) temperature, electrode spacing, and size of focus ring.
  • process pressure may be adjusted and monitored during the process using either change in, for example, the gate valve setting, or the total process gas mass flow rate, in concert with a pressure measuring device 224 .
  • the forward and reflected RF power can be adjusted and monitored using commands to the RF generator 220 , the match network 222 , a dual directional coupler (not illustrated) and power meters (not illustrate).
  • Process gas partial pressures may be adjusted and monitored using a mass flow controller to regulate the flow of process gas components.
  • the (Helium) backside gas pressure may be adjusted and monitored using the backside gas delivery system 218 , which includes a pressure regulator.
  • the substrate temperature may be monitored using temperature monitoring system 216 .
  • processing parameters may include a film material viscosity, a film material surface tension, an exposure time, and a depth of focus.
  • FIG. 6 illustrates a simplified diagram 600 of a RF signal and harmonic components, w 1 -w 5 , and magnitude measurements, x 1 -x 5 measured in the processing chamber.
  • the fundamental frequency 602 is labeled along the x-axis as w.
  • Each harmonic frequency is labeled along the x-axis as w 1 , w 2 , w 3 , w 4 , w 5 , etc.
  • a response surface analysis may be used.
  • Response surface analysis is a mathematical or graphical representation of the connection between important independent variables, controlled factors, and a dependent variable.
  • An independent variable is a factor that is, or conceivably could be, controlled. Examples include flow rate and temperature.
  • the value of the dependent variable is the result of the settings of one or more independent variables.
  • a response surface method is utilized to improve or find the optimal process settings of a plasma process, such that the performance measurements obtained by the device 106 of FIG. 1 are compared against the model measurements of the response surface analysis.
  • the response surface method is designed to estimate interaction and quadratic effects to give an idea of the shape of the response surface.
  • Response surface models may involve just main effects and interactions or they may have quadratic or cubic terms to account for curvature.
  • a response surface may be described with only main effects and interactions, while a complete description of the process behavior may require a quadratic or cubic representation.
  • the response surface method selected important factors influencing the process are varied, measurements are made on the operating capabilities of the process, and this data is analyzed to indicate the ways in which the factors may be adjusted to improve performance of the process.
  • the response surface method of the present invention is based upon a design of experiment (DOE) using, for example, common processing parameters from a silicon etch process.
  • DOE design of experiment
  • TABLE 1 provides exemplary processing parameters that may be measured and adjusted by device 104 .
  • a valid range is formulated for each processing parameter.
  • the processing parameters may be adjusted to a high (+), medium (0), or low ( ⁇ ) level, based on a Box-Behnken design requiring three levels for each factor.
  • a response surface for etch uniformity may be constructed such that data on uniformity, Vdc and power radiated at the first three RF harmonics (W 1 , W 2 , W 3 ) are collected from an etch tool at 100 msec intervals.
  • the 100 msec interval is chosen to correspond to typical servo response times for the system.
  • Systems having a longer response time may have a longer sample interval; system having a shorter servo response time may require a shorter sample interval.
  • Each element of the data set is normalized by subtracting the mean and then dividing by the standard deviation of the measured parameter.
  • the normalized value is represented by the following equation: (Vt ⁇ Vm)/Vsd, where Vt is the measurement at time t, Vm is the mean value for a 30 second run, and Vsd is the standard deviation of the measurement. For example, if the mean Vdc measurement over the total 30 second etch interval is 234 volts, and the standard deviation of the measurements is 6.5 volts, then the normalized value is (Vt ⁇ 234)/6.5.
  • a library of response surfaces may be built from this data. The surface for each run that produces an acceptable result, acceptable uniformity, for example, may be stored in the library for comparison to data taken during later processing runs.
  • FIG. 7A illustrates a flow diagram for the response surface method in accordance with an embodiment of the present invention.
  • the process begins at P 702 and continues to P 704 and P 706 .
  • tool performance trends are measured.
  • the performance measurements may include, for example, critical dimension measurement (CD), peak to peak RF voltage (V pp ), and self-developed DC offset (V DC ), as well as n+1 RF harmonic measurements x to x n .
  • CD critical dimension measurement
  • V pp peak to peak RF voltage
  • V DC self-developed DC offset
  • the process continues to P 708 .
  • the performance and harmonic measurements of P 704 and P 706 are compared with a response surface model. This is accomplished first by determining the optimum response surface 722 having the best fit, for example, to the measured response 720 of FIG. 7B . Next, the difference between the measured response 720 and an optimum response surface 722 is determined. This difference is used to adjust the operating parameters to move the measured response 720 towards the optimum response surface 722 .
  • a measured response 720 may be compared to a response surface model 722 by finding the surface model 722 exhibiting the best fit to the measured response surface, 720 . Then, the processing parameters are adjusted based upon a difference between the processing parameters corresponding to the best fit surface model 722 , and the processing parameters used to create the measured response 720 .
  • the performance and harmonic measurement trends for example, CD, V pp , V DC , x, x 1 , x 2 , . . . x n , may be used to determine the complex relationships between the performance measurements to the processing parameters in the following manner:
  • P 712 it is determined whether the current processing parameters of P 710 meet the expectations of the etching tool as determined by the predicted processing parameters.
  • the expectations of the etching tool are based upon the requirements of the current process, such as uniformity ⁇ 3% or 99.7% yield, or ⁇ 1% shading damage, etc. If the processing parameters do not meet expectations, then the process continues to P 714 where adjustments to the processing parameters may be made via an advanced process control (APC) system. The process returns to P 704 upon the necessary adjustments.
  • API advanced process control
  • a neural network method may be used with the system of the present invention to optimize the overall process performance.
  • a neural network usually involves a large number of processors operating in parallel, each with its own small sphere of knowledge and access to data in its memory.
  • a neural network is “trained” or fed large amounts of data and rules about data relationships.
  • a model of a neural network 900 is illustrated in FIG. 9 .
  • an input layer 904 receives values from inputs 902 .
  • the input of each neuron of the input layer 904 has a weight associated with it.
  • Each neuron also has a threshold value. If the sum of all the weighted active inputs is greater than the threshold, then the neuron is active.
  • inputs 902 to the input layer 904 can include the RF input power RF IN , biasing voltage V DC , performance parameters P 3 -P 5 including harmonic measurements x, x 1 , x 2 , . . . , x n and an indication of the time slice that the other inputs 902 are measured in (t s 2 0 -t s 2 8 ).
  • Middle or hidden layers 906 are provided with a variable number of nodes.
  • the hidden layers 906 perform most of the work of the network.
  • An output layer 908 may have multiple nodes, and as illustrated in FIG. 9 , produce outputs such as Process OK and RF applied .
  • Each node in the hidden layers 906 is fully connected to the outputs of the input layer 904 .
  • the information learned in the hidden nodes is based upon all the inputs taken together, and permits the network to learn the interdependencies in the model.
  • a weighted sum is performed on the inputs for each hidden node and each output node. Each summation is transformed using a nonlinear function before the value is passed to the next hidden layer or to the output layer 908 .
  • the normalized value is determined by the following equation: (Vt ⁇ Vm)/Vsd, where Vt is the measurement at time t, Vm is the mean value for a 30 second run, and Vsd is the standard deviation of the measurement. For example, if the mean Vdc measurement over the total 30 second etch interval is 234 volts, and the standard deviation of the measurements is 6.5 volts, then the normalized value is (Vt ⁇ 234)/6.5. After a statistically significant number of process runs, for example 100, the data are analyzed by computing the eigensolution of the covariance matrix for corresponding time slices of each process run. An example of the computation of the eigensolution of the covariance matrix, using actual data, is provided by the following:
  • BP Back Propagation
  • the BP learning process works in small iterative steps: such that one of the input example cases is applied to the network, and the network produces output based on the current state of it's synaptic weights (initially, the output will be random). This output is compared to the known-good output, and a mean-squared error signal is calculated. The error value is then propagated backwards through the network, and small changes are made to the weights in each layer. The weight changes are calculated to reduce the error signal for the example case in question. The whole process is repeated for each of the example cases, then back to the first case again, and so on. The cycle is repeated until the overall error value drops below some pre-determined threshold. At this point the network has learned the problem, noting that the network will never exactly learn the ideal function, but rather will asymptotically approach the ideal function.
  • FIG. 11 illustrates an example in the modification of the neuron connection weights in accordance with the present invention.
  • I 1 , I 2 ), (H 1 , H 2 ), and (O 1 , O 2 ) are designated as the inputs hidden-layer outputs 1104 and output-layer outputs respectively of a (2,2,2) Back Propagation network.
  • MSE Mean Squared Error
  • the gradient is defined as the vector of partial derivatives of the multivariate function with respect to each of variable. Because the error is a function of the network outputs, first it's necessary to calculate a set of partial derivatives for each output node with respect to each associated connection weight. This turns out to be trivial, since all other variables but the one of interest are held constant when the partial derivative is calculated.
  • the new values for the network weights are calculated by multiplying the negative gradient with a step size parameter (called the learning rate) and adding the resultant vector to the vector of network weights attached to the current layer. This change does not take place, however, until after the hidden-layer weights are updated as well, since this would corrupt the weight-update procedure for the hidden layer.
  • the error at the output will be affected by the weights at the hidden layer, too.
  • the relationship is more complicated. A new gradient is derived, but this time the output weights are treated as constants rather than the hidden-layer weights.
  • the actual output is a function of the weights attached to the hidden layer only (and in a generic network there are LM of those, for L input nodes and M middle-layer nodes).
  • the hidden layer weights of FIG. 11 may be updated using the same procedure as the output layer, and the output layer weights. This completes the training cycle for one piece of training data in the neural network.
  • the input layer of FIG. 11 is really only a buffer to hold the input vector. Therefore, it has no weights which need to be modified. However, in a more generic network, one may have more than one hidden layer. Again, the update procedure is quite similar. Once the modifications have been calculated, all weights (hidden and output) may be updated.
  • FIG. 8 illustrates a flow diagram demonstrating the data collection and APC modifications of a plasma process based on the neural network model in the run mode. The process begins at P 802 and continues to P 804 and P 806 .
  • multiple performance measurements are collected.
  • the multiple performance measurements may include performance measurements CD, V pp , and V DC .
  • a determined number of RF harmonic measurements are taken on a processing tool which may be any semiconductor manufacturing tool, including, without limitation, an etch tool of a plasma process, a photo resistive tool or a patterning tool. These measurements may be used as inputs in the input layer 904 .
  • the performance measurements of P 804 and the n harmonic measurements of P 806 are placed in the input layer 904 of the neural network model illustrated in FIG. 9 .
  • the neural network 900 is used to predict whether the performance measurements will result in a successful process or not as determined by outputs 910 . The process continues to P 812 .
  • time window it is determined whether the time window has expired.
  • the time window may extend for an entire run or for a predetermined time period. If the time window has expired, then the process continues to P 818 .
  • the neural network model weights are updated based on the newly collected data and the process continues back to P 804 .
  • the new values for the network weights are calculated by multiplying the negative gradient with a step size parameter (i.e., the learning rate) and adding the resultant vector to the vector of network weights attached to the current layer.
  • FIG. 10 illustrates a system in accordance with the present invention.
  • System 1000 includes a processing tool 1002 that is connected to an advanced process control (APC) server 1004 .
  • Data from performance measurements 1010 of the processing tool may be sent directly to a data collection hub 1008 located within the APC server.
  • the performance measurements data from the data collection hub 1008 may be sent to a module 1006 that utilizes a response surface method (RSM) or neural network (NN) method, as disclosed in FIGS. 7A and 8 .
  • RSM response surface method
  • N neural network

Abstract

A method and system for analyzing multivariate data of plasma processes in which response surface and neural networks are utilized to improve or find optimal process settings of the plasma process such that performance measurements are compared against model measurements to modify a current plasma process to achieve optimized processing performance.

Description

  • This is a continuation of International Application No. PCT/US03/30741, filed Sep. 30, 2003, which relies for priority upon U.S. Provisional Application No. 60/414,656, filed Oct. 1, 2002, the contents of both of which are incorporated herein by reference in their entireties.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention generally relates to the field of plasma processing. More particularly, the invention relates to monitoring and analyzing process parameters in a plasma processing facility.
  • 2. Background Information
  • Throughout the various stages of plasma processing, such as semiconductor or display manufacturing, etc., critical process parameters may vary significantly. Processing conditions change over time with the slightest changes in critical process parameters creating undesirable results. Small changes can easily occur in the composition or pressure of an etch gas, process chamber, or wafer temperature. As such, plasma processing facilities require constant monitoring.
  • The measuring and monitoring of these process parameters at any given time permits valuable data to be accumulated and analyzed. Process control feedback may be used to adjust the process parameters or determine the viability of certain process materials. However, in many cases, changes of process data reflecting deterioration of processing characteristics cannot be detected by simply referring to the process data displayed. It is difficult to detect early stage abnormalities and characteristic deterioration of a process, and often may be necessary to obtain prediction and pattern recognition by an Advanced Process Control (APC).
  • Computers are generally used to control, monitor, and analyze manufacturing processes, due to the various complexities that may occur in a semiconductor manufacturing plant from the reentrant wafer flows, critical processing steps, and maintenance of the processes. However, the software implemented with the data collection system is not applicable to a variety of plasma processes and does not optimize accumulation and analysis of the data and the resulting processing relationships.
  • SUMMARY OF THE INVENTION
  • In an embodiment of the present invention, a system and method that is broadly applicable to a variety of plasma processes is utilized to determine a relationship between process parameters and performance measurements. The interaction between harmonic and other measurements and process variables is determined and matched to the current plasma process to achieve desired process results.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other features of the present invention are further described in the detailed description which follows, with reference to the drawings, and by way of a non-limiting exemplary embodiment of the present invention, wherein like reference numerals represent similar parts of the present invention throughout the several views and wherein:
  • FIG. 1 illustrates a plasma processing system in accordance with an embodiment of the present invention;
  • FIG. 2 illustrates a plasma processing system in accordance with another embodiment of the present invention;
  • FIG. 3 illustrates a plasma processing system in accordance with another embodiment of the present invention;
  • FIG. 4 illustrates a plasma processing system in accordance with another embodiment of the present invention;
  • FIG. 5 illustrates a plasma processing system in accordance with another embodiment of the present invention;
  • FIG. 6 illustrates a simplified diagram of an RF signal and harmonic measurements;
  • FIG. 7A illustrates a flow diagram for a response surface method in accordance with an embodiment of the present invention;
  • FIG. 7B illustrates an exemplary response surface model in accordance with an embodiment of the present invention;
  • FIG. 8 illustrates a flow diagram for a neural network model in accordance an embodiment of the present invention;
  • FIG. 9 illustrates neural network model in accordance with an embodiment of the present invention;
  • FIG. 10 illustrates an overall system in accordance with an embodiment of the present invention; and
  • FIG. 11 illustrates a neural network model in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • The following detailed description of the present invention refers to the accompanying drawings that illustrate exemplary embodiments consistent with this invention. Other embodiments are possible and modifications may be made to the embodiments without departing from the spirit and scope of the invention. Therefore, the following detailed description is not meant to limit the invention. Rather the scope of the invention is defined by the appended claims.
  • Referring now more particularly to the drawings, FIG. 1 illustrates a plasma processing system 100 in accordance with the present invention. System 100 includes a processing chamber 102, a device 104 configured to measure and adjust at least one processing parameter, a device 106 configured to measure at least one process performance measurement, and a controller 108. The controller 608 is coupled to the processing chamber 602, the device 604 and device 606.
  • The plasma processing system 100 of FIG. 1, utilizes, for example, a plasma for material processing, and includes an etch chamber. In the alternative, the system 100 may include a photoresist coating chamber such as, a photoresist spin coating system. The system may also include a photoresist patterning chamber such as a ultraviolet (UV) lithography system, or a dielectric coating chamber such as a spin-on-glass (SOG) or spin-on-dielectic (SOD) system. Additionally, the system 100 may include: a deposition chamber such as a chemical vapor deposition (CCVD) or a physical vapor deposition (PVD) system, a rapid thermal processing (RTP) chamber, such as a RTP system for thermal annealing, or a batch diffusion furnace. Device 104 can adjust process parameters such as electrode spacing backs holding (He) pressure, total flow rate, flow rate ratio, bottom electrode RF power, top electrode RF power chuck temperature, or the like.
  • FIG. 2 illustrates plasma processing system 200 in greater detail in accordance with the present invention. The system 200 may include, as depicted in FIG. 1, a process chamber 102, a substrate holder 212 upon which a substrate 204 to be processed may be affixed, a gas injection system 206, and a vacuum pumping system 208. The substrate 204 may be, for example, a semiconductor substrate, a wafer, or a liquid crystal display (LCD). Process chamber 102 may be, for example, configured to facilitate the generation of plasma in a processing region 202 adjacent a surface of the substrate 204. The plasma may be formed by collisions between heated electrons and an ionizable gas within the processing chamber 102. The ionizable gas or a mixture of gases 210 may be introduced into the region 202 via the gas injection system 206. A control mechanism, which is not illustrated, may be used to throttle the vacuum pumping system 208. The plasma within the processing region 202 may be utilized to create materials specific to a pre-determined materials process, and to aid the deposition of material to substrate 204 or the removal of material from the exposed surfaces of substrate 204.
  • Substrate 204 may be, for example, transferred into and out of processing chamber 102 through a slot valve (not illustrated) and a chamber feed-through (not illustrated) via a robotic substrate transfer system. The substrate 204 may be received by substrate lift pins (not illustrated) that may be housed within the substrate holder 212 and mechanically translated by devices that are housed therein.
  • Substrate 204 may be, for example, affixed to the substrate holder 212 via an electrostatic clamping system 214. The substrate holder 212, may include a cooling system having a re-circulating coolant flow that receives heat from substate holder 212 and transfers the heat to a heat exchanger (not illustrated). The cooling system may also include a device 216 configured to monitor the substrate 204 and/or the substrate holder 212 temperature. The device 216 may be, for example, a thermocouple such as a K-type thermocouple. Moreover, gas may be delivered to the back-side of the substrate 204 via a backside gas system 218 to improve the gas-gap thermal conductance between the substrate 204 and the substrate holder 212. The backside gas system may be utilized when the temperature control of the substrate 204 is required to be at an elevated or reduced temperature. For example, temperature control of the substrate 204 may be useful at temperatures in excess of the steady-state temperature achieved due to a balance of the heat flux delivered to the substrate 204 from the plasma and the heat flux removed from the substrate 204 by conduction to the substrate holder 212. Heating elements, such as resistive heating elements or thermo-electric heater/coolers may also be included in the system.
  • Substrate holder 212 may, for example, further serve as an electrode through which RF power may be coupled to plasma in the processing region 202. As an example, substrate holder 212 may be electrically biased at a RF voltage via the transmission of RF power from the RF generator 220 through impedance match network 222 to substrate holder 212. The RF bias can serve to heat electrons and, thereby, form and maintain plasma. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias can range from 1 MHz to 100 MHz and can be 13.56 MHz. RF systems for plasma processing are well known to those in the art.
  • Alternatively, RF power may be applied to the substrate holder electrode at multiple frequencies. Furthermore, impedance match network 222 may serve to maximize the transfer of RF power to plasma in processing chamber 202 by minimizing the reflected power. Match network topologies (e.g. L-type, π-type, T-type, etc) and automatic control methods are well known to those skilled in the art.
  • Process gas 210, of FIG. 2, may be, for example, introduced to processing region 202 through gas injection system 206. Process gas 210 may, for example, include a mixture of gases such as argon, CF4 and O2, or argon, C4F8 and O2 for oxide etch applications. Gas injection system 206 may include a showerhead, wherein process gas 210 may be supplied from a gas delivery system (not illustrated) to the processing region 202 through a gas injection plenum (not illustrated), a series of baffle plates (not illustrated) and a multi-orifice showerhead gas injection plate (not shown). Gas injection systems are well known to those skilled in the art.
  • Vacuum pump system 208 may, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed of 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etch, a 1000 to 3000 liter per second TMP may be employed. TMPs are useful for low pressure processing, typically less than 50 mTorr. At higher pressures, the TMP pumping speed falls off dramatically. For high pressure processing, i.e., greater than 100 mTorr, a mechanical booster pump and dry roughing pump may be used. Furthermore, a device for monitoring chamber pressure 224 may be coupled to the processing chamber 102. The pressure measuring device 224 may be, for example, a Type 628B Baratron absolute capacitance manometer that is commercially available from MKS Instruments, Inc. (Andover, Mass.).
  • Plasma processing system 200 may further include a metrology tool 230 configured to measure performance measurements such as, in etch systems, an etch rate, an etch selectivity (i.e. ratio of etch rate of one material to etch rate of a second material), an etch uniformity, a feature profile angle, and a critical dimension. The metrology tool 230 may be either an in-situ or ex-situ device. In the case of an in-situ device, the metrology tool 230 may be, for example, a scatterometer, incorporating beam profile ellipsometry and beam profile reflectometry. The scatterometer may be positioned within a transfer chamber (not illustrated) to analyze substrates 204 that are transferred into and out of process chamber 102. In the case of an ex-situ device, the metrology tool 230 may be, for example, a scanning electron microscope (SEM), wherein substrates have been cleaved and features are illuminated to determine the performance parameters. The metrology tool 230 may be further coupled to controller 108 to provide controller 108 with spatially resolved measurements of the performance measurements.
  • Controller 108 may comprise a microprocessor, memory, and a digital 1/0 port that is capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 100, as well as monitor outputs from plasma processing system 100. Moreover, controller 108 is coupled to and exchanges information with RF generator 220, impedance match network 222, gas injection system 206, vacuum pump system 208, pressure measuring device 224, backside gas delivery system 218, substrate/substrate holder temperature measurement system 216, electrostatic clamping system 214, and metrology tool 230. A program stored in the memory may be utilized to activate the inputs to the aforementioned components of a plasma processing system 200 according to a stored process.
  • FIG. 3 illustrates a plasma processing system 300 in accordance with another embodiment of the present invention. As illustrated in FIG. 3, the plasma processing system 200 illustrated in FIG. 2 may, for example, further include a mechanically or electrically rotating dc magnetic field system 304 to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIGS. 1 and 2. Moreover, controller 108 may be coupled to rotating magnetic field system 304 to regulate the speed of rotation and field strength. The design and implementation of a rotating magnetic field is well known to those skilled in the art.
  • FIG. 4 illustrates a plasma processing system 400 in accordance with another embodiment of the present invention. In FIG. 4, the plasma processing system 400 is similar to the system depicted in FIGS. 1 and 2; however the system 400 of FIG. 4 may further comprise an upper electrode 402 to which RF power may be coupled from a RF generator 404 via impedance match network 222. A typical frequency for the application of power to the upper electrode 402 can range from 0.1 MHz to 30 MHz and can be 2 MHz. Moreover, controller 108 may be coupled to RF generator 404 to control the application of RF power to upper electrode 402. The design and implementation of an upper electrode is well known to those skilled in the art.
  • FIG. 5 illustrates a plasma processing system 500 in accordance with another embodiment of the present invention. As illustrated in FIG. 5, the system of FIG. 1 may further include an inductive coil 510 to which RF power may be coupled, via RF generator 520, to an impedance match network 530. RF power is inductively coupled from inductive coil 510 through a dielectric window (not illustrated) to plasma processing region 202. A typical frequency for the application of RF power to the inductive coil 510 may range from 10 MHz to 100 MHz and may be 13.56 MHz. Similarly, a typical frequency for the application of power to a chuck electrode may range from 0.1 MHz to 30 MHz and may be 13.56 MHz. Additionally, a slotted Faraday shield (not illustrated) may be employed to reduce capacitive coupling between the inductive coil 510 and plasma. Moreover, controller 108 may be coupled to RF generator 520 and impedance match network 530 to control the application of power to inductive coil 510. The design and implementation of an inductively coupled plasma (ICP) source is well known to those skilled in the art.
  • Alternatively, the plasma may be formed: using electron cyclotron resonance (ECR); from the launching of a Helicon wave; or from a propagating surface wave. Each of these plasma sources is well known to those skilled in the art.
  • In each of the FIGS. 1-5, substrate 204 may be processed in process chamber 102 and performance measurements may be measured utilizing, for example, the metrology tool 230. The performance measurements may include, for example, etch rate, deposition rate, etch selectivity (ratio of the rate at which at first material is etched to the rate at which a second material is etched), and etch critical dimension (e.g. length or width of feature), an etch feature anisotropy (e.g. etch feature sidewall profile), a film property (e.g., film stress, porosity, etc), a plasma density (obtained, for example, from a Langmuir probe), an ion energy (obtained, for example, from an ion energy spectrum analyzer), a concentration of a chemical specie (obtained, for example, from optical emission spectroscopy), a mask (e.g. photoresist) film thickness, a mask (e.g. photoresist) pattern critical dimension, a self-induced DC substrate bias VDC that is measurable using a voltage probe, a peak-to-peak RF substrate bias Vpp, and harmonic amplitudes of RF voltage or current. The non-linear characteristics of the plasma create harmonics that are also measured along with other performance parameters.
  • Processing parameters may include, for example, process pressure, Helium backside gas pressure, process gas (e.g. CF4, C4F8, O2, Ar, etc), partial pressure, process gas flow rate, upper electrode RF power, lower electrode RF power, substrate (or chuck) temperature, electrode spacing, and size of focus ring. The process pressure may be adjusted and monitored during the process using either change in, for example, the gate valve setting, or the total process gas mass flow rate, in concert with a pressure measuring device 224. The forward and reflected RF power can be adjusted and monitored using commands to the RF generator 220, the match network 222, a dual directional coupler (not illustrated) and power meters (not illustrate). Process gas partial pressures may be adjusted and monitored using a mass flow controller to regulate the flow of process gas components. The (Helium) backside gas pressure may be adjusted and monitored using the backside gas delivery system 218, which includes a pressure regulator. In addition, the substrate temperature may be monitored using temperature monitoring system 216.
  • Alternatively, processing parameters may include a film material viscosity, a film material surface tension, an exposure time, and a depth of focus.
  • Referring more particularly to measurements of the processing and performance parameters of the processing system, FIG. 6 illustrates a simplified diagram 600 of a RF signal and harmonic components, w1-w5, and magnitude measurements, x1-x5 measured in the processing chamber. In FIG. 6, the fundamental frequency 602 is labeled along the x-axis as w. Each harmonic frequency is labeled along the x-axis as w1, w2, w3, w4, w5, etc.
  • In order to obtain optimal performance in the process system, a response surface analysis may be used. Response surface analysis is a mathematical or graphical representation of the connection between important independent variables, controlled factors, and a dependent variable. An independent variable is a factor that is, or conceivably could be, controlled. Examples include flow rate and temperature. The value of the dependent variable is the result of the settings of one or more independent variables. In an embodiment of the present invention, a response surface method is utilized to improve or find the optimal process settings of a plasma process, such that the performance measurements obtained by the device 106 of FIG. 1 are compared against the model measurements of the response surface analysis. The response surface method is designed to estimate interaction and quadratic effects to give an idea of the shape of the response surface. Response surface models may involve just main effects and interactions or they may have quadratic or cubic terms to account for curvature. In some circumstances, a response surface may be described with only main effects and interactions, while a complete description of the process behavior may require a quadratic or cubic representation. With the response surface method, selected important factors influencing the process are varied, measurements are made on the operating capabilities of the process, and this data is analyzed to indicate the ways in which the factors may be adjusted to improve performance of the process.
  • The response surface method of the present invention is based upon a design of experiment (DOE) using, for example, common processing parameters from a silicon etch process. TABLE 1 provides exemplary processing parameters that may be measured and adjusted by device 104. In TABLE 1, a valid range is formulated for each processing parameter. For example, the processing parameters may be adjusted to a high (+), medium (0), or low (−) level, based on a Box-Behnken design requiring three levels for each factor.
    TABLE 1
    Processing
    Parameters (Factors) Levels
    Gap, electrode spacing +, 0, −
    He, backside He pressure +, 0, −
    P, process pressure +, 0, −
    Q, total flow rate +, 0, −
    % Q, flow rate ratio +, 0, −
    RFb, bottom electrode RF power +, 0, −
    RFt, top electrode RF power +, 0, −
    T, chuck temperature +, 0, −

    This technique is based on systematically varying the levels of independent variables. Careful analysis of the data can provide invaluable information about how the input variables affect the response, which can result in significant improvements to products and processes.
  • A screening design may be used to determine a reasonable number of runs to develop a response surface based on the number of factors involved. The following is provided as an example of the determination of the reasonable runs: For a three-level, four-factor experiment, 81 (34=81) runs are needed for every possible combination of factors. Therefore, response surfaces based on more than four processing parameters use a partial combination of runs to determine the main interactions and response surface.
  • In one embodiment, a response surface for etch uniformity may be constructed such that data on uniformity, Vdc and power radiated at the first three RF harmonics (W1, W2, W3) are collected from an etch tool at 100 msec intervals. The 100 msec interval is chosen to correspond to typical servo response times for the system. Systems having a longer response time may have a longer sample interval; system having a shorter servo response time may require a shorter sample interval. Each element of the data set is normalized by subtracting the mean and then dividing by the standard deviation of the measured parameter. The normalized value is represented by the following equation: (Vt−Vm)/Vsd, where Vt is the measurement at time t, Vm is the mean value for a 30 second run, and Vsd is the standard deviation of the measurement. For example, if the mean Vdc measurement over the total 30 second etch interval is 234 volts, and the standard deviation of the measurements is 6.5 volts, then the normalized value is (Vt−234)/6.5. A library of response surfaces may be built from this data. The surface for each run that produces an acceptable result, acceptable uniformity, for example, may be stored in the library for comparison to data taken during later processing runs.
  • FIG. 7A illustrates a flow diagram for the response surface method in accordance with an embodiment of the present invention. In FIG. 7A, the process begins at P702 and continues to P704 and P706. At P704 and P706, tool performance trends are measured. The performance measurements may include, for example, critical dimension measurement (CD), peak to peak RF voltage (Vpp), and self-developed DC offset (VDC), as well as n+1 RF harmonic measurements x to xn.
  • The process continues to P708. At P708, the performance and harmonic measurements of P704 and P706 are compared with a response surface model. This is accomplished first by determining the optimum response surface 722 having the best fit, for example, to the measured response 720 of FIG. 7B. Next, the difference between the measured response 720 and an optimum response surface 722 is determined. This difference is used to adjust the operating parameters to move the measured response 720 towards the optimum response surface 722.
  • For example, a measured response 720 may be compared to a response surface model 722 by finding the surface model 722 exhibiting the best fit to the measured response surface, 720. Then, the processing parameters are adjusted based upon a difference between the processing parameters corresponding to the best fit surface model 722, and the processing parameters used to create the measured response 720. The performance and harmonic measurement trends, for example, CD, Vpp, VDC, x, x1, x2, . . . xn, may be used to determine the complex relationships between the performance measurements to the processing parameters in the following manner:
      • x=f(gap, He, P, Q, % Q, RFb, RFt, T);
      • x1=g(gap, He, P, Q, % Q, RFb, RFt, T);
      • x2=h(gap, He, P, Q, % Q, RFb, RFt, T);
      • xN=m(gap, He, P, Q, % Q, RFb, RFt, T);
      • CD=p(gap, He, P, Q, % Q, RFb, RFt, T);
      • Vpp=q(gap, He, P, Q, % Q, RFb, RFt, T); and
      • VDC=r(gap, He, P, Q, % Q, RFb, RFt, T).
  • Thus, at P710, based upon the nonlinearity of the performance measurements to the processing parameters of the above-identified relationships, it is possible to predict the in-situ processing parameters to cause the measured response 720 to approach the surface model 722. More particularly, it is possible to determine what needs to be done to drive or converge the performance measurements to the optimum surface.
  • At P712, it is determined whether the current processing parameters of P710 meet the expectations of the etching tool as determined by the predicted processing parameters. The expectations of the etching tool are based upon the requirements of the current process, such as uniformity ±3% or 99.7% yield, or <1% shading damage, etc. If the processing parameters do not meet expectations, then the process continues to P714 where adjustments to the processing parameters may be made via an advanced process control (APC) system. The process returns to P704 upon the necessary adjustments.
  • If the processing parameters meet expectations, then the process returns to P704 to perform the next set of measurements.
  • Like the response surface method of FIG. 7A, a neural network method may be used with the system of the present invention to optimize the overall process performance. A neural network usually involves a large number of processors operating in parallel, each with its own small sphere of knowledge and access to data in its memory. A neural network is “trained” or fed large amounts of data and rules about data relationships. A model of a neural network 900 is illustrated in FIG. 9.
  • In FIG. 9, an input layer 904 receives values from inputs 902. The input of each neuron of the input layer 904 has a weight associated with it. Each neuron also has a threshold value. If the sum of all the weighted active inputs is greater than the threshold, then the neuron is active.
  • The nodes are interconnected in a network that can identify patterns in data as the nodes are exposed to the data. The network is able to “learn” from each exposure and this distinguishes neural networks from traditional computing programs that simply follow instructions in a fixed sequential order. As illustrated in FIG. 9, inputs 902 to the input layer 904 can include the RF input power RFIN, biasing voltage VDC, performance parameters P3 -P5 including harmonic measurements x, x1, x2, . . . , xn and an indication of the time slice that the other inputs 902 are measured in (ts20-ts28). Middle or hidden layers 906 are provided with a variable number of nodes. The hidden layers 906 perform most of the work of the network. An output layer 908 may have multiple nodes, and as illustrated in FIG. 9, produce outputs such as Process OK and RFapplied. Each node in the hidden layers 906 is fully connected to the outputs of the input layer 904. The information learned in the hidden nodes is based upon all the inputs taken together, and permits the network to learn the interdependencies in the model. A weighted sum is performed on the inputs for each hidden node and each output node. Each summation is transformed using a nonlinear function before the value is passed to the next hidden layer or to the output layer 908.
  • In establishing a neural network to model the process, one may want to determine which process parameters affect performance measurements. Multiple performance measurements may be collected. Data on uniformity, Vdc and power radiated at the first three RF harmonics (W1, W2, W3), for example, are collected from an etch tool at 100 msec intervals. The 100 msec interval is chosen to correspond to typical servo response times for the system. Systems having a longer response time may have a longer sample interval; while systems having a shorter servo response time may require a shorter sample interval. Each element of the data set is normalized by subtracting the mean and then dividing by the standard deviation of the measured parameter. The normalized value is determined by the following equation: (Vt−Vm)/Vsd, where Vt is the measurement at time t, Vm is the mean value for a 30 second run, and Vsd is the standard deviation of the measurement. For example, if the mean Vdc measurement over the total 30 second etch interval is 234 volts, and the standard deviation of the measurements is 6.5 volts, then the normalized value is (Vt−234)/6.5. After a statistically significant number of process runs, for example 100, the data are analyzed by computing the eigensolution of the covariance matrix for corresponding time slices of each process run. An example of the computation of the eigensolution of the covariance matrix, using actual data, is provided by the following:
      • S:=Covar(B) S = ( 0.222 0.064 - 0.1 - 0.013 - 1.128 × 10 - 3 0.064 0.137 - 0.107 - 0.014 - 1.929 × 10 - 3 - 0.1 - 0.107 0.097 0.013 1.565 × 10 - 3 - 0.013 - 0.014 0.013 1.653 × 10 - 3 2.055 × 10 - 4 - 1.128 × 10 - 3 - 1.929 × 10 - 3 1.565 × 10 - 3 2.055 × 10 - 4 2.737 × 10 - 5 )
      • Compute and sort eigenvalues:
      • V:=reverse(sort(eigenvals(S))) V = ( 0.34 0.117 0 0 0 ) Pct := V · 100 V Pct = ( 74.461 25.539 1.821 × 10 - 14 2.759 × 10 - 15 - 9.291 × 10 - 15 )
  • In this example it is evident that the 3rd, 4th and 5th harmonics are not related to the measurement of interest and will therefore not be considered for this time slice. Each time slice is examined and all relevant harmonics are selected for generation of the response surface. Further time slice analysis of the example data indicates that the 2nd and 3rd harmonics are of interest and the 4th and 5th harmonics may be discarded.
  • During the “training” of the network, the network is repeatedly shown observations from available data related to problems that need to be solved. For example, a Back Propagation (BP) network learns by example, that is, a learning set is provided that consists of some input examples and the known-correct output for each case.
  • The BP learning process works in small iterative steps: such that one of the input example cases is applied to the network, and the network produces output based on the current state of it's synaptic weights (initially, the output will be random). This output is compared to the known-good output, and a mean-squared error signal is calculated. The error value is then propagated backwards through the network, and small changes are made to the weights in each layer. The weight changes are calculated to reduce the error signal for the example case in question. The whole process is repeated for each of the example cases, then back to the first case again, and so on. The cycle is repeated until the overall error value drops below some pre-determined threshold. At this point the network has learned the problem, noting that the network will never exactly learn the ideal function, but rather will asymptotically approach the ideal function.
  • FIG. 11 illustrates an example in the modification of the neuron connection weights in accordance with the present invention. In FIG. 11 (I1, I2), (H1, H2), and (O1, O2) are designated as the inputs hidden-layer outputs 1104 and output-layer outputs respectively of a (2,2,2) Back Propagation network. The outputs of Hidden Node 1 and 2 are given by H 1 = sgm ( l = 1 2 I l W l1 h ) and ( 1 ) H 2 = sgm ( l = 1 2 I l W l2 h ) where ( 2 ) sgm ( x ) = 1 1 + - x ( 3 )
    The output-layer outputs are given by O 1 = sgm ( m = 1 2 H m W m1 o ) and ( 4 ) O 2 = sgm ( m = 1 2 H m W m2 o ) ( 5 )
    or, using (1) and (2), O 1 = sgm ( m = 1 2 sgm ( l = 1 2 I l W lm h ) W m1 o ) and ( 6 ) O 2 = sgm ( m = 1 2 sgm ( l = 1 2 I l W lm h ) W m2 o ) ( 7 )
  • Based upon the above equations, it's possible to calculate an output given a particular set of inputs. This allows the Mean Squared Error (MSE) to be calculated between the actual output and the desired output for the given input in this training example. The MSE is the average of the squares of the difference between the desired output and the current result. Since we are interested in the shape of the error curve rather than the precise MSE function, there is no need to divide by the number of outputs, and the minimization algorithm will still find the correct minimum. Thus, the error function can be formally written as E = n = 1 2 ( D n - O n ) 2 ( 8 )
    or, using (6) and (7), E = n = 1 2 ( D n - sgm ( m = 1 2 sgm ( l = 1 2 I l W lm h ) W mn o ) ) 2 ( 9 )
    where Dk is the kth desired output.
  • As an example, suppose we have in the output 0.75 and 0.05 and the desired outputs 0.9 and 0.1. The (true) MSE is now ((0.9−0.75)2+(0.1−0.05)2)/2, which is equal to 0.0125 (Note: in the BPN algorithm we wouldn't need to divide by N). Clearly, for any given training example, this value is a function only of the weights of the network. So, to reduce the error, we can try to move to the lowest point on this surface. To find this point, it is necessary to calculate the gradient of the error function with respect to each network weight. One may then move each weight slightly in the opposite direction to the gradient—if the surface is sloping upwards in a particular direction, the weights may be adjusted so that the point on the error surface moves downwards.
  • The gradient is fairly straightforward to calculate, due to the convenient fact that the derivative of the sigmoid function can be expressed in terms of the function itself: x 1 1 + - x = - - x ( 1 + - x ) 2 = ( 1 - sgm ( x ) ) sgm ( x ) ( 10 )
  • The gradient is defined as the vector of partial derivatives of the multivariate function with respect to each of variable. Because the error is a function of the network outputs, first it's necessary to calculate a set of partial derivatives for each output node with respect to each associated connection weight. This turns out to be trivial, since all other variables but the one of interest are held constant when the partial derivative is calculated. Thus, only one linear term is left in the calculation of the partial derivative of the output, and leaving the coefficient the equation is: O n W mn o = W mn o k = 1 2 W kn o H k = H m ( 11 )
    Now, the gradient of the error function can be calculated ( note: S o = k = 1 2 W mn o ) : E W mn o = W mn o n = 1 2 ( D n - O n ) 2 ( 12 ) = - 2 ( D n - O n ) S o sgm ( S o ) S o W mn o = - 2 ( D n - O n ) ( ( 1 - sgm ( S o ) ) sgm ( S o ) ) H m
    The expression −2(Dn−On)((1−sgm(So))sgm(So)) is denoted δn o.
  • The new values for the network weights are calculated by multiplying the negative gradient with a step size parameter (called the learning rate) and adding the resultant vector to the vector of network weights attached to the current layer. This change does not take place, however, until after the hidden-layer weights are updated as well, since this would corrupt the weight-update procedure for the hidden layer.
  • Clearly, the error at the output will be affected by the weights at the hidden layer, too. However, the relationship is more complicated. A new gradient is derived, but this time the output weights are treated as constants rather than the hidden-layer weights. Now, the actual output is a function of the weights attached to the hidden layer only (and in a generic network there are LM of those, for L input nodes and M middle-layer nodes). This relationship may be expressed as: E W lm h = ( ( 1 - sgm ( S h ) ) sgm ( S h ) ) n = 1 2 δ n 0 W mn o I 1 ( 13 )
  • The hidden layer weights of FIG. 11 may be updated using the same procedure as the output layer, and the output layer weights. This completes the training cycle for one piece of training data in the neural network.
  • It should be noted that the input layer of FIG. 11 is really only a buffer to hold the input vector. Therefore, it has no weights which need to be modified. However, in a more generic network, one may have more than one hidden layer. Again, the update procedure is quite similar. Once the modifications have been calculated, all weights (hidden and output) may be updated.
  • Also, the above description assumes a (2, 2, 2) Back Propagation network. The only difference in the mathematics resulting from a larger network are longer summations. All of the principles would remain the same.
  • FIG. 8 illustrates a flow diagram demonstrating the data collection and APC modifications of a plasma process based on the neural network model in the run mode. The process begins at P802 and continues to P804 and P806.
  • At P804, multiple performance measurements are collected. The multiple performance measurements may include performance measurements CD, Vpp, and VDC. At P806, a determined number of RF harmonic measurements are taken on a processing tool which may be any semiconductor manufacturing tool, including, without limitation, an etch tool of a plasma process, a photo resistive tool or a patterning tool. These measurements may be used as inputs in the input layer 904.
  • At P808, the performance measurements of P804 and the n harmonic measurements of P806 are placed in the input layer 904 of the neural network model illustrated in FIG. 9.
  • At P810, the neural network 900 is used to predict whether the performance measurements will result in a successful process or not as determined by outputs 910. The process continues to P812.
  • At P812, it is determined whether the predicted results of the processing tool are acceptable or not. If the predicted result is not acceptable, then a change is needed. The process continues to P814.
  • At P814, adjustments are made to the process parameters through an advanced process control (APC). The process continues to P816.
  • If the predicted results of the processing tool are acceptable, no change is needed. The process continues to P816.
  • At P816, it is determined whether the time window has expired. The time window may extend for an entire run or for a predetermined time period. If the time window has expired, then the process continues to P818.
  • At P818, the neural network model weights are updated based on the newly collected data and the process continues back to P804. As discussed above, the new values for the network weights are calculated by multiplying the negative gradient with a step size parameter (i.e., the learning rate) and adding the resultant vector to the vector of network weights attached to the current layer.
  • If the time window has not expired, then the process returns to P804.
  • FIG. 10 illustrates a system in accordance with the present invention. System 1000 includes a processing tool 1002 that is connected to an advanced process control (APC) server 1004. Data from performance measurements 1010 of the processing tool may be sent directly to a data collection hub 1008 located within the APC server. The performance measurements data from the data collection hub 1008 may be sent to a module 1006 that utilizes a response surface method (RSM) or neural network (NN) method, as disclosed in FIGS. 7A and 8. A control computer 1012 collects tool events, and controls recipe setpoints and maintenance counters, based upon suggestions from the APC server 1004.
  • The foregoing description of the embodiments of the present invention provides illustration and description, but is not intended to be exhaustive or to limit the invention to the precise form disclosed. Modifications and variations are possible consistent with the above teachings or may be acquired from practice of the invention. For example, the various features of the invention, which are described in the contexts of separate embodiments for the purposes of clarity, may also be combined in a single embodiment. Conversely, the various features of the invention which are, for brevity, described in the context of a single embodiment may also be provided separately or in any suitable sub-combination. Accordingly, persons skilled in the art will appreciate that the present invention is not limited to what has been particularly shown and described hereinabove. Rather, the scope of the present invention is defined only by the attached claims and their equivalents.

Claims (13)

1. A system for monitoring and analyzing multivariate plasma processing data, comprising:
a processing chamber configured to facilitate generation of plasma in a processing region;
a first mechanism configured to measure at least one processing parameter;
a second mechanism configured to measure at least one performance parameter;
a device configured to analyze data from the first mechanism and the second mechanism based upon a mathematical model to improve performance of the system; and
a device configured to adjust the at least one processing parameter based upon performance parameters applied to the mathematical model.
2. The system of claim 1, wherein the processing chamber is an etch chamber.
3. The system of claim 1, wherein the processing chamber comprises:
a substrate positioned for processing;
a gas injection mechanism configured to introduce process gas into the processing region; and
a vacuum pumping mechanism, wherein the at least one performance parameters includes a characteristic of the process gas and pressure in the processing chamber.
4. The system of claim 3, wherein the substrate is one of a wafer and a liquid crystal display.
5. The system of claim 3, further comprising a device configured to control the temperature of the substrate, wherein the at least one processing parameters includes a measure of substrate temperature.
6. The system of claim 1, further comprising:
an RF generator configured to couple energy to the processing chamber to generate plasma in the processing region;
a gas injection mechanism coupled to the processing chamber; and
a pressure measuring device coupled to the processing chamber and configured to measure pressure in the processing chamber,
wherein the at least one processing parameters includes characteristics of process gas introduced to the processing region by gas injection mechanism.
7. The system of claim 1, further comprising one of a mechanically and electrically rotating magnetic field mechanism disposed about the processing chamber.
8. The system of claim 1, wherein the mathematical model includes one of a response surface model and a neural network model.
9. A method for monitoring and analyzing multivariate data from a plasma process, comprising:
measuring performance parameters of the plasma process;
measuring a number of harmonic parameters of the plasma process;
determining a mathematical model of the plasma process;
applying the measured performance parameters and harmonic parameters to the mathematical model of the plasma process;
predicting process parameters based upon the performance parameters, harmonic parameters, and the applied mathematical model; and
adjusting the processing parameters in response to the applied mathematical model.
10. The method of claim 9, wherein the mathematical model is one of a response surface model and a neural network model.
11. The method of claim 9, wherein the process parameters are predicted in-situ.
12. The method of claim 9, wherein performance parameters include at least one of etch rate, deposition rate, etch selectivity, etch critical dimension, etch feature anisotrophy, plasma density, ion energy, mask film thickness, mask pattern critical dimension, self-induced DC substrate bias, and peak-to-peak RF substrate bias.
13. The method of claim 9, wherein the process parameters include at least one of process pressure, process gas flow rate, upper electrode RF power, process gas, lower electrode RF power, substrate temperature, electrode sizing, film material viscosity, film material surface tension, exposure time, and depth of focus.
US11/093,277 2002-10-01 2005-03-30 Method and system for analyzing data from a plasma process Abandoned US20050199341A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/093,277 US20050199341A1 (en) 2002-10-01 2005-03-30 Method and system for analyzing data from a plasma process

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US41465602P 2002-10-01 2002-10-01
PCT/US2003/030741 WO2004032194A2 (en) 2002-10-01 2003-09-30 Method and system for analyzing data from a plasma process
US11/093,277 US20050199341A1 (en) 2002-10-01 2005-03-30 Method and system for analyzing data from a plasma process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/030741 Continuation WO2004032194A2 (en) 2002-10-01 2003-09-30 Method and system for analyzing data from a plasma process

Publications (1)

Publication Number Publication Date
US20050199341A1 true US20050199341A1 (en) 2005-09-15

Family

ID=32069752

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/093,277 Abandoned US20050199341A1 (en) 2002-10-01 2005-03-30 Method and system for analyzing data from a plasma process

Country Status (7)

Country Link
US (1) US20050199341A1 (en)
JP (1) JP2006501684A (en)
KR (1) KR101027183B1 (en)
CN (1) CN100353485C (en)
AU (1) AU2003279058A1 (en)
TW (1) TWI264043B (en)
WO (1) WO2004032194A2 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050115924A1 (en) * 2003-12-01 2005-06-02 Justin Sato Integration function of RF signal to analyze steady state and non-steady state ( initializaion) of plasmas
US20060066841A1 (en) * 2004-02-20 2006-03-30 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
US20070077770A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US20070081294A1 (en) * 2005-10-11 2007-04-12 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US20070091538A1 (en) * 2005-10-20 2007-04-26 Buchberger Douglas A Jr Plasma reactor with wafer backside thermal loop, two-phase internal pedestal thermal loop and a control processor governing both loops
US20080118872A1 (en) * 2003-03-25 2008-05-22 Molecular Imprints, Inc. Positive Tone Bi-Layer Method
US20080134131A1 (en) * 2006-10-20 2008-06-05 Masafumi Asano Simulation model making method
US20100319852A1 (en) * 2005-10-11 2010-12-23 Paul Lukas Brillhart Capacitivley coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US20110039355A1 (en) * 2009-08-12 2011-02-17 Tokyo Electron Limited Plasma Generation Controlled by Gravity-Induced Gas-Diffusion Separation (GIGDS) Techniques
US20120095582A1 (en) * 2010-10-15 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US8801893B2 (en) 2005-10-11 2014-08-12 Be Aerospace, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20140375239A1 (en) * 2013-06-25 2014-12-25 Hyundai Motor Company Motor control system and method for environmentally-friendly vehicle
US20150253762A1 (en) * 2012-09-26 2015-09-10 Hitachi Kokusai Electric Inc. Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
TWI559218B (en) * 2015-12-09 2016-11-21 英業達股份有限公司 Data providing method
US20180158657A1 (en) * 2016-12-06 2018-06-07 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
US20200098648A1 (en) * 2018-09-20 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
US10691016B2 (en) 2017-10-31 2020-06-23 Samsung Electronincs Co., Ltd. Methods of forming semiconductors using etching effect predictions and methods for determining input parameters for semiconductor formation
US10909738B2 (en) 2018-01-05 2021-02-02 Nvidia Corporation Real-time hardware-assisted GPU tuning using machine learning
US20210073610A1 (en) * 2017-12-27 2021-03-11 Semiconductor Energy Laboratory Co., Ltd. Thin film manufacturing apparatus and thin film manufacturing apparatus using neural network
US20220254617A1 (en) * 2021-02-10 2022-08-11 Tokyo Electron Limited Plasma processing apparatus and monitoring device
WO2022231718A1 (en) * 2021-04-28 2022-11-03 Advanced Energy Industries, Inc. System and method for improved electrostatic chuck clamping performance
WO2023078170A1 (en) * 2021-11-04 2023-05-11 北京北方华创微电子装备有限公司 Semiconductor process formula acquisition method and system and semiconductor process device

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
JP2008028022A (en) 2006-07-19 2008-02-07 Tokyo Electron Ltd Plasma etching method and computer readable storage medium
KR101051730B1 (en) * 2006-08-01 2011-07-25 가부시키가이샤 시마쓰세사쿠쇼 Board Inspection and Correction Device and Board Evaluation System
US8520194B2 (en) 2006-11-29 2013-08-27 Macronix International Co., Ltd. Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process
US8184288B2 (en) 2006-11-29 2012-05-22 Macronix International Co., Ltd. Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
JP2009290150A (en) * 2008-06-02 2009-12-10 Renesas Technology Corp System and method for manufacturing semiconductor device
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
KR101354343B1 (en) * 2011-11-24 2014-01-27 서울대학교산학협력단 Monitoring Method and Apparatus for Electron Energy Distribution Characteristics of Plasma
CN103020349B (en) * 2012-12-08 2015-05-06 清华大学 Modeling method of etching yield in plasma etching process
SG11201610107TA (en) * 2014-06-05 2017-01-27 Thomas West Inc Centrifugal casting of polymer polish pads
CN104991581B (en) * 2015-06-08 2019-08-23 北京北方华创微电子装备有限公司 A kind of compress control method and device of processing chamber
KR20170103661A (en) * 2016-03-04 2017-09-13 램 리써치 코포레이션 Systems and methods for reducing power reflected towards a higher frequency rf generator during a period of a lower frequency rf generator and for using a relationship to reduce reflected power
JP7220573B2 (en) * 2019-01-24 2023-02-10 株式会社荏原製作所 Information processing system, information processing method, program and substrate processing apparatus
TWI713085B (en) * 2019-05-16 2020-12-11 國立交通大學 Semiconductor process result prediction method
US20220262602A1 (en) * 2019-07-26 2022-08-18 Jusung Engineering Co., Ltd. Substrate processing apparatus and interlock method thereof
CN112530773B (en) * 2020-11-27 2023-11-14 北京北方华创微电子装备有限公司 Semiconductor processing equipment
CN115050644B (en) * 2022-08-17 2022-11-15 合肥晶合集成电路股份有限公司 Wafer etching method and system
CN115097737B (en) * 2022-08-24 2022-11-08 北京航空航天大学 Multi-level regulation and control method capable of being re-entered into manufacturing system

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5467883A (en) * 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5737496A (en) * 1993-11-17 1998-04-07 Lucent Technologies Inc. Active neural network control of wafer attributes in a plasma etch process
US5751582A (en) * 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US5993050A (en) * 1997-04-30 1999-11-30 Oki Electric Industry Co., Ltd. Method of and apparatus for extracting model parameters
US20020119668A1 (en) * 1999-08-12 2002-08-29 Ferdinand Bell Method for monitoring a semiconductor fabrication process for processing a substrate
US20030045009A1 (en) * 2001-09-06 2003-03-06 Junichi Tanaka Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6627464B2 (en) * 2001-02-07 2003-09-30 Eni Technology, Inc. Adaptive plasma characterization system
US20050118812A1 (en) * 2001-12-31 2005-06-02 Tokyo Elecron Limited Method of detecting, identifying and correcting process performance
US20050115824A1 (en) * 2001-12-31 2005-06-02 John Donohue Method of fault detection for material process system
US7054786B2 (en) * 2000-07-04 2006-05-30 Tokyo Electron Limited Operation monitoring method for treatment apparatus

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG70554A1 (en) * 1992-12-14 2000-02-22 At & T Corp Active neural network control of wafer attributes in a plasma etch process
JP3577163B2 (en) * 1995-05-19 2004-10-13 エイ・ティ・アンド・ティ・コーポレーション Determining the end point of the plasma etching process using an active neural network
JPH09266098A (en) * 1996-03-29 1997-10-07 Seiko Epson Corp Plasma condition detecting device and method, and etching terminating point detecting device and method
JP2001516963A (en) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 System and method for monitoring and managing gas plasma processing
EP0942453A3 (en) * 1998-03-11 2001-02-07 Axcelis Technologies, Inc. Monitoring of plasma constituents using optical emission spectroscopy
JP4610021B2 (en) * 2000-07-04 2011-01-12 東京エレクトロン株式会社 Processing device operating method and processing device abnormality detection method
JP4570736B2 (en) * 2000-07-04 2010-10-27 東京エレクトロン株式会社 How to monitor operating conditions
JP4213871B2 (en) * 2001-02-01 2009-01-21 株式会社日立製作所 Manufacturing method of semiconductor device
JP4128339B2 (en) * 2001-03-05 2008-07-30 株式会社日立製作所 Process monitor for sample processing apparatus and method for manufacturing sample
KR20010106372A (en) * 2001-11-02 2001-11-29 진천웅 A mobile phone which has function of enlarging characters on the display panel

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5467883A (en) * 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
US5737496A (en) * 1993-11-17 1998-04-07 Lucent Technologies Inc. Active neural network control of wafer attributes in a plasma etch process
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5751582A (en) * 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US5993050A (en) * 1997-04-30 1999-11-30 Oki Electric Industry Co., Ltd. Method of and apparatus for extracting model parameters
US20020119668A1 (en) * 1999-08-12 2002-08-29 Ferdinand Bell Method for monitoring a semiconductor fabrication process for processing a substrate
US7054786B2 (en) * 2000-07-04 2006-05-30 Tokyo Electron Limited Operation monitoring method for treatment apparatus
US6627464B2 (en) * 2001-02-07 2003-09-30 Eni Technology, Inc. Adaptive plasma characterization system
US20030045009A1 (en) * 2001-09-06 2003-03-06 Junichi Tanaka Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US20050118812A1 (en) * 2001-12-31 2005-06-02 Tokyo Elecron Limited Method of detecting, identifying and correcting process performance
US20050115824A1 (en) * 2001-12-31 2005-06-02 John Donohue Method of fault detection for material process system

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670953B2 (en) 2003-03-25 2010-03-02 Molecular Imprints, Inc. Positive tone bi-layer method
US20080118872A1 (en) * 2003-03-25 2008-05-22 Molecular Imprints, Inc. Positive Tone Bi-Layer Method
US20050115924A1 (en) * 2003-12-01 2005-06-02 Justin Sato Integration function of RF signal to analyze steady state and non-steady state ( initializaion) of plasmas
US20060066841A1 (en) * 2004-02-20 2006-03-30 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
US8027813B2 (en) * 2004-02-20 2011-09-27 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
US20070077770A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7259102B2 (en) 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US8801893B2 (en) 2005-10-11 2014-08-12 Be Aerospace, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20100319852A1 (en) * 2005-10-11 2010-12-23 Paul Lukas Brillhart Capacitivley coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US20070081294A1 (en) * 2005-10-11 2007-04-12 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8157951B2 (en) 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8337660B2 (en) 2005-10-11 2012-12-25 B/E Aerospace, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8980044B2 (en) 2005-10-20 2015-03-17 Be Aerospace, Inc. Plasma reactor with a multiple zone thermal control feed forward control apparatus
US20070091538A1 (en) * 2005-10-20 2007-04-26 Buchberger Douglas A Jr Plasma reactor with wafer backside thermal loop, two-phase internal pedestal thermal loop and a control processor governing both loops
US20110068085A1 (en) * 2005-10-20 2011-03-24 Paul Lukas Brillhart Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8546267B2 (en) 2005-10-20 2013-10-01 B/E Aerospace, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8221580B2 (en) * 2005-10-20 2012-07-17 Applied Materials, Inc. Plasma reactor with wafer backside thermal loop, two-phase internal pedestal thermal loop and a control processor governing both loops
US8608900B2 (en) 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
US8329586B2 (en) 2005-10-20 2012-12-11 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using feed forward thermal control
US20080134131A1 (en) * 2006-10-20 2008-06-05 Masafumi Asano Simulation model making method
WO2011019790A1 (en) * 2009-08-12 2011-02-17 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (gigds) techniques
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
US20110039355A1 (en) * 2009-08-12 2011-02-17 Tokyo Electron Limited Plasma Generation Controlled by Gravity-Induced Gas-Diffusion Separation (GIGDS) Techniques
TWI481316B (en) * 2009-08-12 2015-04-11 Tokyo Electron Ltd A method for processing a substrate using a gravity-induced gas-diffusion separation-controlled (gigdsc) plasma processing subsystem
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US20120095582A1 (en) * 2010-10-15 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US20150253762A1 (en) * 2012-09-26 2015-09-10 Hitachi Kokusai Electric Inc. Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
US20140375239A1 (en) * 2013-06-25 2014-12-25 Hyundai Motor Company Motor control system and method for environmentally-friendly vehicle
US9083271B2 (en) * 2013-06-25 2015-07-14 Hyundai Motor Company Motor control system and method for environmentally-friendly vehicle
TWI559218B (en) * 2015-12-09 2016-11-21 英業達股份有限公司 Data providing method
US10438805B2 (en) * 2016-12-06 2019-10-08 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
US20180158657A1 (en) * 2016-12-06 2018-06-07 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
US10691016B2 (en) 2017-10-31 2020-06-23 Samsung Electronincs Co., Ltd. Methods of forming semiconductors using etching effect predictions and methods for determining input parameters for semiconductor formation
US20210073610A1 (en) * 2017-12-27 2021-03-11 Semiconductor Energy Laboratory Co., Ltd. Thin film manufacturing apparatus and thin film manufacturing apparatus using neural network
US10909738B2 (en) 2018-01-05 2021-02-02 Nvidia Corporation Real-time hardware-assisted GPU tuning using machine learning
US11481950B2 (en) 2018-01-05 2022-10-25 Nvidia Corporation Real-time hardware-assisted GPU tuning using machine learning
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
US20200098648A1 (en) * 2018-09-20 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
US20220254617A1 (en) * 2021-02-10 2022-08-11 Tokyo Electron Limited Plasma processing apparatus and monitoring device
US20220367225A1 (en) * 2021-04-28 2022-11-17 Advanced Energy Industries, Inc. System and method for improved electrostatic chuck clamping performance
WO2022231718A1 (en) * 2021-04-28 2022-11-03 Advanced Energy Industries, Inc. System and method for improved electrostatic chuck clamping performance
US11817340B2 (en) * 2021-04-28 2023-11-14 Advanced Energy Industries, Inc. System and method for improved electrostatic chuck clamping performance
WO2023078170A1 (en) * 2021-11-04 2023-05-11 北京北方华创微电子装备有限公司 Semiconductor process formula acquisition method and system and semiconductor process device

Also Published As

Publication number Publication date
CN100353485C (en) 2007-12-05
KR20050054985A (en) 2005-06-10
CN1682338A (en) 2005-10-12
JP2006501684A (en) 2006-01-12
AU2003279058A1 (en) 2004-04-23
TW200419631A (en) 2004-10-01
WO2004032194A2 (en) 2004-04-15
AU2003279058A8 (en) 2004-04-23
KR101027183B1 (en) 2011-04-05
TWI264043B (en) 2006-10-11
WO2004032194A3 (en) 2004-07-15

Similar Documents

Publication Publication Date Title
US20050199341A1 (en) Method and system for analyzing data from a plasma process
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
JP4971132B2 (en) Run-to-run control method and system
CN101542280B (en) Transforming metrology data from a semiconductor treatment system using multivariate analysis
US8501499B2 (en) Adaptive recipe selector
US7993937B2 (en) DC and RF hybrid processing system
US7713760B2 (en) Process system health index and method of using the same
JP4699367B2 (en) Method and apparatus for diagnosing processing equipment using adaptive multivariable analysis
KR101530098B1 (en) Using multi-layer/multi-input/multi-output (mlmimo) models for metal-gate structures
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7972483B2 (en) Method of fault detection for material process system
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US6825920B2 (en) Method and system of determining chamber seasoning condition by optical emission
JP4660091B2 (en) Material processing system and method for characterizing material processing system
Le Variation reduction in plasma etching via run-to-run process control and endpoint detection
Patterson et al. Methodology for feedback variable selection for control of semiconductor manufacturing processes-Part 2: Application to reactive ion etching

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DELP, DEANA;CHEN, LEE;REEL/FRAME:016295/0589;SIGNING DATES FROM 20050407 TO 20050503

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION