US20050133166A1 - Tuned potential pedestal for mask etch processing apparatus - Google Patents

Tuned potential pedestal for mask etch processing apparatus Download PDF

Info

Publication number
US20050133166A1
US20050133166A1 US10/782,300 US78230004A US2005133166A1 US 20050133166 A1 US20050133166 A1 US 20050133166A1 US 78230004 A US78230004 A US 78230004A US 2005133166 A1 US2005133166 A1 US 2005133166A1
Authority
US
United States
Prior art keywords
reticle
pedestal
substrate
support base
fabricated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/782,300
Inventor
Peter Satitpunwaycha
Khiem Nguyen
Alfred Mak
Kenneth Collins
Turgut Sahin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/782,300 priority Critical patent/US20050133166A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAK, ALFRED W., COLLINS, KEN, NGUYEN, KHIEM, SATITPUNWAYCHA, PETER, SAHIN, TURGUT
Priority to PCT/US2004/042471 priority patent/WO2005064670A1/en
Priority to TW093139448A priority patent/TW200525605A/en
Publication of US20050133166A1 publication Critical patent/US20050133166A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Definitions

  • the present invention generally relates to the fabrication of integrated circuits. More specifically, the invention relates to an apparatus for manufacturing a photomask, or “reticle,” useful in manufacturing semiconductors.
  • Integrated circuits are manufactured by forming discrete semiconductor devices on a surface of a semiconductor substrate.
  • a substrate is a silicon (Si) or silicon dioxide (SiO 2 ) wafer.
  • Si silicon
  • SiO 2 silicon dioxide
  • circuit densities have placed additional demands on processes used to fabricate semiconductor devices. For example, as circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions. However, the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Reliable formation of high aspect ratio features is important to the success of sub-micron technology and to the continued effort to increase circuit density and the quality of individual substrates and die.
  • a technique commonly used to form precise patterns on substrates is photolithography.
  • the technique generally involves the direction of light energy through a lens, or “reticle” and onto the substrate.
  • a photoresist material is first applied on a substrate layer to be etched.
  • the resist material is sensitive to light energy, such as ultraviolet or laser sources.
  • the resist material defines a polymer that is tuned to respond to the specific wavelength of light used, and to different exposing sources.
  • the light source is actuated to emit ultraviolet (UV) light or low X-ray light, for example, directed at the resist-covered substrate.
  • UV ultraviolet
  • the selected light source chemically alters the composition of the photoresist material.
  • the photoresist layer is only selectively exposed.
  • a photomask, or “reticle,” is positioned between the light source and the substrate being processed.
  • the photomask is patterned to contain the desired configuration of features for the substrate.
  • the patterned photomask causes the light energy to strike the resist material in accordance with the pattern.
  • Photolithographic reticles are fabricated from an optically transparent material, such as quartz (i.e., silicon dioxide, SiO 2 ).
  • the reticle includes a pattern of opaque material that inhibits the light from exposing portions of the substrate in accordance with the desired pattern.
  • a thin opaque layer of metal, typically chromium, is disposed on the surface of the reticle.
  • This light-shielding layer is patterned to correspond to the features to be transferred to the substrate, such as transistors or polygates.
  • the metallic material is patterned using conventional laser or electron beam patterning equipment to define the critical dimensions to be transferred to the metal layer.
  • the metal layer is then etched to remove the metal material not protected by the patterned resist, thereby exposing the underlying quartz material and forming a patterned photomask layer. Photomask layers thus allow light to pass therethrough in a precise pattern onto the substrate surface.
  • the exposed material may either be a positive resist or a negative resist.
  • a positive resist the exposed resist material on the substrate is removed, while in a negative resist, the unexposed portions are removed. Removal is typically by a chemical process to expose an underlying substrate material.
  • the exposed underlying substrate material may then be etched to form patterned features in the substrate surface while the retained resist material remains as a protective coating for the unexposed underlying substrate material.
  • contacts, vias, or interconnects may be formed by exposing the resist to a pattern of light through a photolithographic reticle having a photomask layer disposed thereon.
  • the method for fabricating a patterned reticle itself involves a deposition and subsequent etching process.
  • a metal layer is first deposited on a top surface of a glass reticle. Thereafter, selected portions of the metal layer are removed through etching.
  • Various types of etching processes are used for etching the metal layer from a reticle.
  • One such etching method is known as plasma etching.
  • plasma etching In order to perform plasma etching, a glass reticle is first placed within a process chamber. More specifically, the glass reticle is placed on a pedestal. In a plasma etching process, the pedestal serves as a cathode. To this end, the metallic pedestal is given RF power.
  • Power applied to the pedestal creates a substrate bias in the form of a negative voltage on the upper surface of the reticle.
  • This negative voltage is used to attract ions from a plasma formed above the reticle in the chamber.
  • the plasma is formed by the application of power to one or more inductive coils at the top of the chamber.
  • the inductive coils generate and sustain the plasma above the pedestal and reticle.
  • a voltage drop is induced across the pedestal that draws ions to the upper surface of the reticle, thereby etching a metallic layer.
  • the amount of RF power that is coupled through the reticle is low. This inhibits the gas plasma in reacting with the reticle surface. This limitation is compounded by a gap typically existing between the reticle and the supporting pedestal therebelow.
  • the RF power may preferentially couple to other regions of the pedestal, producing a loss of RF power.
  • a pedestal cover e.g., cover ring and capture ring, fabricated from a dielectric material is inadequate to lessen the power coupled through the region of the pedestal that is not immediately below the reticle.
  • a plasma etching apparatus that aids in the chemical reaction between a gas plasma and a reticle.
  • a pedestal fabricated from a material that does not contribute to the power loss across the reticle during a plasma etching procedure.
  • the present invention generally provides an improved pedestal for supporting a substrate and related substrate support hardware.
  • the pedestal has greatest application during a plasma etching process, such as for a quartz photomask, or “reticle.”
  • the pedestal defines a body, and a base along on an upper surface of the body.
  • the body receives an RF power during substrate processing.
  • the substrate support base has an outer edge, and an intermediate substrate support ridge for receiving and supporting the substrate. At least a portion of the substrate support base outside of the intermediate substrate support ridge is fabricated from a dielectric material, or material having a lower dielectric constant than the remaining support base.
  • An example is quartz. Quartz has a lower dielectric constant than the materials typically used for fabricating the pedestal body or cover, e.g., alumina. The placement of quartz allows greater RF power to be coupled through the reticle, thereby enhancing the plasma etching process. It also provides greater control over the relative amount of RF power coupled through the reticle.
  • a layer of dielectric material is placed along the top of the support base of the pedestal body.
  • the entire cross-sectional thickness of the support base that encompasses the supporting ridge is fabricated from a dielectric material.
  • a separate substrate support assembly is disposed on the base to facilitate the transfer of the substrate onto and off of the pedestal, with the substrate support assembly being fabricated from a dielectric material.
  • FIG. 1 is a cross-sectional view of a plasma etching chamber as might contain the pedestal of the present invention.
  • the chamber shown in FIG. 1 is exemplary.
  • FIG. 2 presents an exploded perspective view of the substrate support member of FIG. 1 .
  • FIG. 3 shows a perspective cutaway view of one embodiment of a pedestal of the present invention.
  • FIG. 4 provides a cross-sectional schematic view of a pedestal of the present invention. A portion fabricated from a dielectric material is shown.
  • FIG. 5 presents a cross-sectional schematic view of a pedestal of the present invention, in an alternate embodiment. A portion fabricated from a dielectric material is again shown.
  • Suitable inductively coupled plasma etch chambers include the Decoupled Plasma Source (DPSTM) chamber available from Applied Materials, Inc., of Santa Clara, Calif., or the ETEC TetraTM photomask etch chamber available from ETEC of Hayward, Calif.
  • DPSTM Decoupled Plasma Source
  • a two-coil chamber such as the Tetra IITM decoupled plasma source chamber available from Applied Materials, Inc. may also be employed.
  • Other process chambers may be used including, for example, capacitively coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs.
  • a substrate e.g., a glass reticle
  • a processing chamber An example of such a chamber is schematically shown in FIG. 1 .
  • the process chamber 100 of FIG. 1 has a substrate support member 200 disposed therein, and a substrate handler blade 300 positioned adjacent thereto.
  • Substrates 222 are shown positioned on both the substrate support member 200 and the handler blade 300 .
  • the processing chamber 100 is configured to receive a substrate 222 , such as a glass reticle to be processed through plasma etching.
  • the substrate 222 enters and exits the chamber 100 through a gate 161 .
  • the gate 161 serves as a port, and also isolates the chamber 100 environment during reticle processing.
  • the substrate 222 is transported via a substrate cassette, using the substrate handling blade 300 .
  • the substrate handling blade 300 transfers the substrate 222 between a separate transfer chamber (not shown) and various processing chambers.
  • a separate transfer chamber not shown
  • An example of such a processing system is a CenturaTM processing system available from Applied Materials, Inc. of Santa Clara, Calif.
  • the process chamber 100 generally includes a cylindrical side wall 162 .
  • the side wall 162 helps define the chamber body, and also supports the gate 161 .
  • the chamber 100 is also defined by a chamber bottom 167 , and an energy transparent ceiling or lid 163 .
  • An inductive coil 176 is disposed around at least a portion of the lid 163 .
  • the chamber body 162 and chamber bottom 167 of the chamber 100 can be made from a metal, such as anodized aluminum.
  • the lid 163 is fabricated from an energy transparent material such as a ceramic or other dielectric material.
  • the chamber 100 holds a substrate support member 200 .
  • the support member 200 supports the substrate 222 during processing.
  • a plasma zone 164 is defined by the process chamber 100 above an upper surface of the substrate support member 200 .
  • process gases are introduced into the plasma etch chamber 100 through a gas distributor 172 .
  • the gas distributor 172 is peripherally disposed about the substrate support member 200 .
  • the gas distributor 172 is shown illustratively, and may be disposed in other configurations, such as disposed at the top of lid 163 .
  • Process gases and etchant byproducts may be exhausted from the process chamber 100 through an exhaust system (not shown).
  • An optional cooling line 184 is provided in the pedestal 200 . for controlling the pressure in the plasma etch chamber 100 .
  • An endpoint measurement device may optionally be included to determine the endpoint of a process performed in the chamber 100 .
  • the support member 200 defines a pedestal for the substrate 222 during processing.
  • the support member 200 first comprises a body 206 .
  • the body 206 has an upper surface that defines a substrate support base 210 (seen in FIG. 2 ).
  • the substrate support base 210 is a separate piece mounted on an upper surface of the body 206 .
  • An optional substrate supporting assembly 215 is preferably provided over the base 210 to aid in transporting the substrate 222 into and out of the chamber 100 .
  • the substrate supporting assembly 215 is shown in detail in FIG. 2 . Only the capture ring 216 of the supporting assembly 215 is seen in FIG. 1 .
  • the body 206 of the substrate support member 200 is mounted on a bulk head assembly, or shaft, 102 .
  • the body 206 is stationary in the chamber 100 ; however, in an alternative embodiment, the body 206 (or a portion of the body 206 ) may be moveable within the chamber 100 .
  • the body 206 of the substrate support member 200 is mounted on a stainless steel base 104 .
  • the base 104 is typically disposed on the bottom of the processing chamber (not shown in FIG. 2 ), with the bulk head assembly 102 mounted through the bottom of the processing chamber 100 and coupled to the body 206 .
  • the substrate support member 200 is adapted to maintain vacuum isolation between the interior of the chamber 100 and the outside environment. Power, electrical controls, and backpressure gases may be provided to the substrate support member 200 via the shaft 102 .
  • FIG. 2 presents an exploded perspective view of one embodiment of a substrate support member 200 .
  • the body 206 and support base 210 are more clearly seen.
  • a cathode 112 is disposed in the support base 210 .
  • the cathode 112 may optionally vertically extend above the surface of the body 206 .
  • the cathode 112 is electrically coupled to an electrode power supply 178 to generate a capacitive electric field in the plasma etch chamber 100 .
  • an RF voltage is applied to the cathode 112 while the chamber body 162 is electrically grounded. Power applied to the pedestal 200 creates a substrate bias in the form of a negative voltage on the upper surface of the substrate 222 .
  • This negative voltage is used to attract ions from the plasma formed in the chamber 100 to the upper surface of the substrate 222 .
  • the capacitive electric field forms a bias which accelerates inductively formed plasma species toward the substrate 222 to provide a more vertically oriented anisotropic etching of the substrate 222 .
  • Channels 211 are also disposed through the body 206 , and house internally movable lift pins 214 therein. As will be discussed further below, the lift pins 214 engage the lower surface of a capture ring 220 to move the capture ring 220 vertically within the chamber 100 relative to the cover ring 216 .
  • the body 206 may comprise a temperature controlled base adapted to regulate the temperature of the substrate support assembly 215 , and thus, a substrate 222 disposed thereon.
  • the body 206 can be made of a material inert to the process formed in the processing chamber including, for example, aluminum oxide, or aluminum, and substrate support assembly 215 components can be made of aluminum or aluminum oxide.
  • the body 206 may include fluid channels, heating elements, e.g., resistive heating elements or other temperature control members.
  • the substrate support member 200 includes a separate substrate supporting assembly 215 .
  • the substrate supporting assembly 215 generally includes a cover ring 216 and a capture ring 220 .
  • the cover ring 216 is preferably a circular ring having an upper surface 219 and support shoulders 218 .
  • the substrate supports 218 define shoulders for receiving a substrate (not shown).
  • the substrate supports 218 define opposing raised surfaces 221 , 223 that each includes an inner sloped surface for receiving a substrate.
  • a central opening 225 is formed in the upper surface 219 of the cover ring 216 .
  • the two raised surfaces 221 , 223 are generally disposed on opposing sides of the central opening 225 .
  • the first raised surface 221 defines an essentially linear raised surface extending along the length of one side of the central opening 225 .
  • the second raised surface 223 defines an arcuate raised surface 221 having an outer diameter 224 and an inner diameter 226 .
  • the outer diameter 224 generally matches the radius of the cover ring 216
  • the inner diameter 226 conforms to the geometry of the central bore 225 along one or more sides of the bore 225 .
  • the upper surface 219 and the raised surfaces 221 , 223 may be monolithic or may be made of separate components connected together.
  • the capture ring 220 defines an arcuate base plate having an inner diameter 207 and an outer diameter 202 .
  • a central bore 206 is formed within the inner diameter 207 of the capture ring 220 .
  • the diameters 207 , 202 of the capture ring 220 are not continuous, but retain an opening that serves as part of the bore 206 .
  • the capture ring 220 includes substrate supports 204 , 205 .
  • the substrate supports 204 , 205 generally follow the inner diameter 207 of the capture ring 220 .
  • the supports 204 , 205 define shoulders disposed along the inner perimeter 207 .
  • the substrate supports 204 , 205 and the base plate 202 form a substrate receiving area.
  • the shoulders 204 , 205 and the base plate 202 are adapted to mate with the substrate supports 218 on the cover ring 216 .
  • the substrate supports 205 for the capture ring 220 are co-planar with the substrate supports 218 for the cover ring.
  • the capture ring 220 is dimensioned to rest on the cover ring 216 without covering the two raised surfaces 221 , 222 on the cover ring 216 . Together, the substrate supports 205 , 218 may then seamlessly receive a substrate (not shown).
  • the capture ring 220 moves vertically above the cover ring 216 .
  • the lift pins 214 move the capture ring 220 vertically above the cover ring 216 during substrate transfer, and then lower the capture ring 220 onto the cover ring 216 for substrate processing.
  • the use of lift pins in the semiconductor fabrication business is known, and those of ordinary skill in the art will understand from this disclosure how the lift pins may be fabricated.
  • Channels 217 are formed through the cover ring 216 to enable the lift pins 214 disposed through the body 206 to move therethrough and lift the capture ring 220 vertically.
  • the vertical movement imparted by the lift pins 214 is used to lift the capture ring 220 to effectuate substrate transfer between the substrate handler blade 300 and the capture ring 220 .
  • the lift pins 214 move the capture ring 220 vertically above the cover ring 216 during substrate transfer, and then lower the capture ring 220 onto the cover ring 216 for substrate processing.
  • the reticle 222 (or other substrate) is positioned on the surface of the pedestal 200 .
  • Etch gases are then introduced into the chamber 100 .
  • a process gas source supplies gas, such as an oxygen based gas, through a gas input line 172 .
  • the input line 172 feeds gas into the side of the lid 163 .
  • gas may also be introduced through nozzles (not shown) in the top of the lid 163 .
  • Chamber pressure is controlled by a closed-loop pressure control system (not shown).
  • Plasma is formed by the application of power to one or more inductive coils 176 at the top of the lid 163 .
  • two RF coils 176 are used, with one being an outer coil and one being an inner coil.
  • a power supply 177 and matching network is used to apply power to the inductive coils 176 .
  • the inductive coils 176 generate and sustain the plasma above the pedestal 200 and substrate 222 . In one arrangement, approximately 125 Watts is applied to the coils 176 at a frequency of about 13.56 MHz, to produce and maintain an oxygen-comprising plasma over the surface of the reticle 222 .
  • the coils 176 In one arrangement for a dual coil system, approximately 400 Watts is applied to the coils 176 at a frequency of about 13.56 MHz, to produce and maintain a chlo7rine-and-oxygen-comprising plasma over the surface of the reticle 222 .
  • the coils may provide a DC bias of about 340 to 410 Volts on the reticle surface.
  • FIG. 3 shows a perspective cutaway view of one embodiment of a pedestal 300 of the present invention.
  • the pedestal 300 is configured to receive and support a substrate in a plasma etching chamber.
  • the substrate is a photolithographic reticle
  • the chamber is a plasma etching chamber, such as the chamber shown in FIG. 1 , and discussed above.
  • the pedestal first comprises a body 306 .
  • the body 306 is a generally cylindrical object, though other shapes may be employed.
  • the body 306 includes an upper surface 310 that serves as a substrate support base.
  • the support base 310 has a radial outer diameter 324 .
  • the base 310 also has an intermediate shoulder 326 that forms a four-sided support ridge 325 .
  • the support ridge 325 serves to support the reticle above the pedestal 300 during processing.
  • the support ridge 325 is preferably fabricated from a metallic material.
  • support ridge means any raised surface feature of any height or shape along the support base 310 that contacts and supports a substrate 222 during processing.
  • the support base 310 is typically configured to receive a cover (not shown) to further support a reticle during processing.
  • the cover may be configured to operate as the substrate support assembly 215 described above.
  • the body 306 is fabricated from a dielectric material.
  • the dielectric material portion of the body 306 is shown at 318 .
  • Dielectric material 318 is selectively used in the upper surface 310 so as to define a dielectric ring generally about the perimeter of the body 306 .
  • the dielectric material 318 is placed outside of the contact point, e.g., support ridge 326 , for the reticle 222 on the pedestal 300 .
  • the dielectric material portion 318 of the body 306 may comprise two or more separate components (not shown) joined together to form the dielectric portion 318 of the body 306 .
  • the two or more dielectric members may be fabricated from materials having different dielectric properties.
  • the benefit of using material of different dielectric properties is to control the relative amount of RF power coupled through the reticle, as the thickness and dielectric property of the reticle substrate, e.g., quartz, is fixed.
  • the dielectric material portion 318 of the body 306 may be of different thicknesses. This is demonstrated in the schematic embodiments shown in FIGS. 4 and 5 .
  • FIG. 4 provides a cross-sectional view of a pedestal 300 ′ of the present invention.
  • the pedestal 300 ′ is shown schematically.
  • FIG. 5 presents a cross-sectional view of a pedestal 300 ′ of the present invention, in an alternate embodiment.
  • the pedestal 300 ′′ is again shown schematically.
  • a reticle 222 is shown being supported on the respective pedestal 300 ′, 300 ′′.
  • a cover 315 is provided in each view.
  • the cover 315 may be configured in accordance with the cover 215 shown in the exploded view of FIG. 2 .
  • the cover 315 is preferably fabricated from a dielectric material.
  • the use of different dielectric material thickness is to adjust or control the relative RF power coupled to the reticle.
  • One benefit of using a dielectric material is it enables the use of two control knobs, that is knobs for dielectric constant and thickness. This, in turn, enables the operator to change the relative amounts of RF that goes into the reticle versus the RF power that goes to the pedestal area surrounding the reticle.
  • the dielectric thickness and type may be such that the relative amount is the same for uniform power distribution, or different if needed for compensating for the etch process.
  • Dielectric material is shown at 318 in both FIG. 4 and in FIG. 5 .
  • the dielectric material 318 resides along the top of the upper support base 306 .
  • the dielectric material 318 defines substantially the entire thickness of the upper support base 306 . In either instance, the dielectric material 318 is preferably placed outside of the contact point for the reticle 222 on the pedestal 300 .
  • the pedestals 300 , 300 ′, 300 ′′ place dielectric material along a periphery of the upper substrate support body 306 .
  • the dielectric material 318 may be polymeric or ceramic.
  • An example of a polymeric material is ArdelTM polyarylate material manufactured by Amoco polymers.
  • Another example is VespelTM polyimide from DuPont.
  • Still another example is a plastic material sold under the trade name UltemTM.
  • Yet another example is a synthetic rubber material.
  • An example of a suitable ceramic material is aluminum oxide.
  • Another example of an acceptable dielectric material is quartz.
  • the selected use of dielectric material 318 has the effect of changing the amount of RF power coupling into the reticle during a plasma etching procedure.
  • the body 306 receives power, such as an RF power.
  • power such as an RF power.
  • the potential drop across the pedestal is changed to have a value less than the region where the reticle rests, i.e., inside of the substrate support ridge 326 .
  • the portion of the pedestal 300 within the substrate support ridge 326 remains metallic in order to efficiently conduct waste heat away from the reticle 222 .

Abstract

The present invention generally provides an improved pedestal for supporting a substrate. The pedestal has greatest application during a plasma etching process, such as for a quartz photomask, or “reticle.” The pedestal defines a body, and a substrate support base along an upper surface of the body. The substrate support base has an outer edge, and an intermediate substrate support ridge for receiving and supporting the substrate. At least a portion of the substrate support base outside of the intermediate substrate support ridge is fabricated from a dielectric material. The purpose is to couple greater RF power through the reticle in order to enhance the plasma etching process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority to previously filed provisional patent application Ser. No. 60/531,062, filed Dec. 19, 2003, entitled “Tuned Potential Pedestal for Mask Etch Processing Apparatus.” The provisional application is incorporated herein by referenced in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to the fabrication of integrated circuits. More specifically, the invention relates to an apparatus for manufacturing a photomask, or “reticle,” useful in manufacturing semiconductors.
  • 2. Description of the Related Art
  • Integrated circuits (IC) are manufactured by forming discrete semiconductor devices on a surface of a semiconductor substrate. An example of such a substrate is a silicon (Si) or silicon dioxide (SiO2) wafer. To interconnect the devices on the substrate, a multi-level network of interconnect structures is formed. Material is deposited on the substrate in layers and selectively removed in a series of controlled steps.
  • Increasing circuit densities have placed additional demands on processes used to fabricate semiconductor devices. For example, as circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions. However, the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Reliable formation of high aspect ratio features is important to the success of sub-micron technology and to the continued effort to increase circuit density and the quality of individual substrates and die.
  • Reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate. A technique commonly used to form precise patterns on substrates is photolithography. The technique generally involves the direction of light energy through a lens, or “reticle” and onto the substrate. In conventional photolithographic processes, a photoresist material is first applied on a substrate layer to be etched. In the context of optical resists, the resist material is sensitive to light energy, such as ultraviolet or laser sources. The resist material defines a polymer that is tuned to respond to the specific wavelength of light used, and to different exposing sources.
  • After the resist is deposited onto the substrate, the light source is actuated to emit ultraviolet (UV) light or low X-ray light, for example, directed at the resist-covered substrate. The selected light source chemically alters the composition of the photoresist material. However, the photoresist layer is only selectively exposed. In this respect, a photomask, or “reticle,” is positioned between the light source and the substrate being processed. The photomask is patterned to contain the desired configuration of features for the substrate. The patterned photomask causes the light energy to strike the resist material in accordance with the pattern.
  • Photolithographic reticles are fabricated from an optically transparent material, such as quartz (i.e., silicon dioxide, SiO2). The reticle includes a pattern of opaque material that inhibits the light from exposing portions of the substrate in accordance with the desired pattern. A thin opaque layer of metal, typically chromium, is disposed on the surface of the reticle. This light-shielding layer is patterned to correspond to the features to be transferred to the substrate, such as transistors or polygates. The metallic material is patterned using conventional laser or electron beam patterning equipment to define the critical dimensions to be transferred to the metal layer. The metal layer is then etched to remove the metal material not protected by the patterned resist, thereby exposing the underlying quartz material and forming a patterned photomask layer. Photomask layers thus allow light to pass therethrough in a precise pattern onto the substrate surface.
  • In photolithography, the exposed material may either be a positive resist or a negative resist. In a positive resist, the exposed resist material on the substrate is removed, while in a negative resist, the unexposed portions are removed. Removal is typically by a chemical process to expose an underlying substrate material. The exposed underlying substrate material may then be etched to form patterned features in the substrate surface while the retained resist material remains as a protective coating for the unexposed underlying substrate material. In this manner, contacts, vias, or interconnects may be formed by exposing the resist to a pattern of light through a photolithographic reticle having a photomask layer disposed thereon.
  • In an iterative convergence, the method for fabricating a patterned reticle itself involves a deposition and subsequent etching process. In this respect, a metal layer is first deposited on a top surface of a glass reticle. Thereafter, selected portions of the metal layer are removed through etching. Various types of etching processes are used for etching the metal layer from a reticle. One such etching method is known as plasma etching. In order to perform plasma etching, a glass reticle is first placed within a process chamber. More specifically, the glass reticle is placed on a pedestal. In a plasma etching process, the pedestal serves as a cathode. To this end, the metallic pedestal is given RF power. Power applied to the pedestal creates a substrate bias in the form of a negative voltage on the upper surface of the reticle. This negative voltage is used to attract ions from a plasma formed above the reticle in the chamber. The plasma is formed by the application of power to one or more inductive coils at the top of the chamber. The inductive coils generate and sustain the plasma above the pedestal and reticle. Thus, a voltage drop is induced across the pedestal that draws ions to the upper surface of the reticle, thereby etching a metallic layer.
  • Because the reticle is formed from a material having a low dielectric constant, e.g., glass or quartz, the amount of RF power that is coupled through the reticle is low. This inhibits the gas plasma in reacting with the reticle surface. This limitation is compounded by a gap typically existing between the reticle and the supporting pedestal therebelow. In addition, when the surface area of the pedestal is large compared to the reticle area, the RF power may preferentially couple to other regions of the pedestal, producing a loss of RF power. Further, it has been observed that the use of a pedestal cover, e.g., cover ring and capture ring, fabricated from a dielectric material is inadequate to lessen the power coupled through the region of the pedestal that is not immediately below the reticle.
  • Therefore, there is a need for a plasma etching apparatus that aids in the chemical reaction between a gas plasma and a reticle. In addition, there is a need for a pedestal fabricated from a material that does not contribute to the power loss across the reticle during a plasma etching procedure.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides an improved pedestal for supporting a substrate and related substrate support hardware. The pedestal has greatest application during a plasma etching process, such as for a quartz photomask, or “reticle.”
  • The pedestal defines a body, and a base along on an upper surface of the body. The body receives an RF power during substrate processing. The substrate support base has an outer edge, and an intermediate substrate support ridge for receiving and supporting the substrate. At least a portion of the substrate support base outside of the intermediate substrate support ridge is fabricated from a dielectric material, or material having a lower dielectric constant than the remaining support base. An example is quartz. Quartz has a lower dielectric constant than the materials typically used for fabricating the pedestal body or cover, e.g., alumina. The placement of quartz allows greater RF power to be coupled through the reticle, thereby enhancing the plasma etching process. It also provides greater control over the relative amount of RF power coupled through the reticle.
  • In one aspect, a layer of dielectric material is placed along the top of the support base of the pedestal body. In another embodiment, the entire cross-sectional thickness of the support base that encompasses the supporting ridge is fabricated from a dielectric material. In one embodiment, a separate substrate support assembly is disposed on the base to facilitate the transfer of the substrate onto and off of the pedestal, with the substrate support assembly being fabricated from a dielectric material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are, therefore, not to be considered limiting of its scope.
  • FIG. 1 is a cross-sectional view of a plasma etching chamber as might contain the pedestal of the present invention. The chamber shown in FIG. 1 is exemplary.
  • FIG. 2 presents an exploded perspective view of the substrate support member of FIG. 1.
  • FIG. 3 shows a perspective cutaway view of one embodiment of a pedestal of the present invention.
  • FIG. 4 provides a cross-sectional schematic view of a pedestal of the present invention. A portion fabricated from a dielectric material is shown.
  • FIG. 5 presents a cross-sectional schematic view of a pedestal of the present invention, in an alternate embodiment. A portion fabricated from a dielectric material is again shown.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Aspects of the invention will be described below in reference to an inductively coupled plasma etch chamber. Suitable inductively coupled plasma etch chambers include the Decoupled Plasma Source (DPS™) chamber available from Applied Materials, Inc., of Santa Clara, Calif., or the ETEC Tetra™ photomask etch chamber available from ETEC of Hayward, Calif. A two-coil chamber, such as the Tetra II™ decoupled plasma source chamber available from Applied Materials, Inc. may also be employed. Other process chambers may be used including, for example, capacitively coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs. Although the processes are advantageously performed with the DPS™ processing chamber, the description in conjunction with the DPS™ processing chamber is illustrative and should not be construed or interpreted to limit the scope of aspects of the invention.
  • In order to perform plasma etching, a substrate, e.g., a glass reticle, is placed within a processing chamber. An example of such a chamber is schematically shown in FIG. 1. The process chamber 100 of FIG. 1 has a substrate support member 200 disposed therein, and a substrate handler blade 300 positioned adjacent thereto. Substrates 222 are shown positioned on both the substrate support member 200 and the handler blade 300.
  • The processing chamber 100 is configured to receive a substrate 222, such as a glass reticle to be processed through plasma etching. The substrate 222 enters and exits the chamber 100 through a gate 161. The gate 161 serves as a port, and also isolates the chamber 100 environment during reticle processing. The substrate 222 is transported via a substrate cassette, using the substrate handling blade 300. The substrate handling blade 300 transfers the substrate 222 between a separate transfer chamber (not shown) and various processing chambers. In this respect, it is understood that the reticle fabrication process involves multiple steps, and that different steps are typically conducted in different chambers that mechanically cooperate with the substrate handling blade 300. An example of such a processing system is a Centura™ processing system available from Applied Materials, Inc. of Santa Clara, Calif.
  • The process chamber 100 generally includes a cylindrical side wall 162. The side wall 162 helps define the chamber body, and also supports the gate 161. The chamber 100 is also defined by a chamber bottom 167, and an energy transparent ceiling or lid 163. An inductive coil 176 is disposed around at least a portion of the lid 163. The chamber body 162 and chamber bottom 167 of the chamber 100 can be made from a metal, such as anodized aluminum. The lid 163 is fabricated from an energy transparent material such as a ceramic or other dielectric material.
  • As mentioned above, the chamber 100 holds a substrate support member 200. The support member 200 supports the substrate 222 during processing. A plasma zone 164 is defined by the process chamber 100 above an upper surface of the substrate support member 200. During processing, process gases are introduced into the plasma etch chamber 100 through a gas distributor 172. The gas distributor 172 is peripherally disposed about the substrate support member 200. The gas distributor 172 is shown illustratively, and may be disposed in other configurations, such as disposed at the top of lid 163. Process gases and etchant byproducts may be exhausted from the process chamber 100 through an exhaust system (not shown). An optional cooling line 184 is provided in the pedestal 200. for controlling the pressure in the plasma etch chamber 100. An endpoint measurement device may optionally be included to determine the endpoint of a process performed in the chamber 100.
  • With respect to the substrate support member 200 itself, the support member 200 defines a pedestal for the substrate 222 during processing. The support member 200 first comprises a body 206. The body 206 has an upper surface that defines a substrate support base 210 (seen in FIG. 2). In one arrangement, the substrate support base 210 is a separate piece mounted on an upper surface of the body 206. An optional substrate supporting assembly 215 is preferably provided over the base 210 to aid in transporting the substrate 222 into and out of the chamber 100. The substrate supporting assembly 215 is shown in detail in FIG. 2. Only the capture ring 216 of the supporting assembly 215 is seen in FIG. 1.
  • Referring back to FIG. 1, the body 206 of the substrate support member 200 is mounted on a bulk head assembly, or shaft, 102. In the embodiment shown, the body 206 is stationary in the chamber 100; however, in an alternative embodiment, the body 206 (or a portion of the body 206) may be moveable within the chamber 100. In one arrangement, the body 206 of the substrate support member 200 is mounted on a stainless steel base 104. The base 104 is typically disposed on the bottom of the processing chamber (not shown in FIG. 2), with the bulk head assembly 102 mounted through the bottom of the processing chamber 100 and coupled to the body 206. The substrate support member 200 is adapted to maintain vacuum isolation between the interior of the chamber 100 and the outside environment. Power, electrical controls, and backpressure gases may be provided to the substrate support member 200 via the shaft 102.
  • FIG. 2 presents an exploded perspective view of one embodiment of a substrate support member 200. From FIG. 2, the body 206 and support base 210 are more clearly seen. It can also be seen that a cathode 112 is disposed in the support base 210. The cathode 112 may optionally vertically extend above the surface of the body 206. The cathode 112 is electrically coupled to an electrode power supply 178 to generate a capacitive electric field in the plasma etch chamber 100. Typically an RF voltage is applied to the cathode 112 while the chamber body 162 is electrically grounded. Power applied to the pedestal 200 creates a substrate bias in the form of a negative voltage on the upper surface of the substrate 222. This negative voltage is used to attract ions from the plasma formed in the chamber 100 to the upper surface of the substrate 222. The capacitive electric field forms a bias which accelerates inductively formed plasma species toward the substrate 222 to provide a more vertically oriented anisotropic etching of the substrate 222.
  • Channels 211 (three are shown) are also disposed through the body 206, and house internally movable lift pins 214 therein. As will be discussed further below, the lift pins 214 engage the lower surface of a capture ring 220 to move the capture ring 220 vertically within the chamber 100 relative to the cover ring 216. The body 206 may comprise a temperature controlled base adapted to regulate the temperature of the substrate support assembly 215, and thus, a substrate 222 disposed thereon. The body 206 can be made of a material inert to the process formed in the processing chamber including, for example, aluminum oxide, or aluminum, and substrate support assembly 215 components can be made of aluminum or aluminum oxide. The body 206 may include fluid channels, heating elements, e.g., resistive heating elements or other temperature control members.
  • In the support member arrangement of FIG. 2, the substrate support member 200 includes a separate substrate supporting assembly 215. The substrate supporting assembly 215 generally includes a cover ring 216 and a capture ring 220.
  • Referring first to the cover ring 216, the cover ring 216 is preferably a circular ring having an upper surface 219 and support shoulders 218. The substrate supports 218 define shoulders for receiving a substrate (not shown). In one arrangement, the substrate supports 218 define opposing raised surfaces 221, 223 that each includes an inner sloped surface for receiving a substrate. A central opening 225 is formed in the upper surface 219 of the cover ring 216. The two raised surfaces 221, 223 are generally disposed on opposing sides of the central opening 225. The first raised surface 221 defines an essentially linear raised surface extending along the length of one side of the central opening 225. The second raised surface 223 defines an arcuate raised surface 221 having an outer diameter 224 and an inner diameter 226. The outer diameter 224 generally matches the radius of the cover ring 216, while the inner diameter 226 conforms to the geometry of the central bore 225 along one or more sides of the bore 225. The upper surface 219 and the raised surfaces 221, 223 may be monolithic or may be made of separate components connected together.
  • The capture ring 220 defines an arcuate base plate having an inner diameter 207 and an outer diameter 202. A central bore 206 is formed within the inner diameter 207 of the capture ring 220. The diameters 207, 202 of the capture ring 220 are not continuous, but retain an opening that serves as part of the bore 206. As with the cover ring 216, the capture ring 220 includes substrate supports 204, 205. The substrate supports 204, 205 generally follow the inner diameter 207 of the capture ring 220. In the arrangement of FIG. 2, the supports 204, 205 define shoulders disposed along the inner perimeter 207. The substrate supports 204, 205 and the base plate 202 form a substrate receiving area. The shoulders 204, 205 and the base plate 202 are adapted to mate with the substrate supports 218 on the cover ring 216. When the capture ring 220 is rested upon the cover ring 216, the substrate supports 205 for the capture ring 220 are co-planar with the substrate supports 218 for the cover ring. The capture ring 220 is dimensioned to rest on the cover ring 216 without covering the two raised surfaces 221, 222 on the cover ring 216. Together, the substrate supports 205, 218 may then seamlessly receive a substrate (not shown).
  • The capture ring 220 moves vertically above the cover ring 216. In operation, the lift pins 214 move the capture ring 220 vertically above the cover ring 216 during substrate transfer, and then lower the capture ring 220 onto the cover ring 216 for substrate processing. The use of lift pins in the semiconductor fabrication business is known, and those of ordinary skill in the art will understand from this disclosure how the lift pins may be fabricated.
  • Channels 217 are formed through the cover ring 216 to enable the lift pins 214 disposed through the body 206 to move therethrough and lift the capture ring 220 vertically. The vertical movement imparted by the lift pins 214 is used to lift the capture ring 220 to effectuate substrate transfer between the substrate handler blade 300 and the capture ring 220. The lift pins 214 move the capture ring 220 vertically above the cover ring 216 during substrate transfer, and then lower the capture ring 220 onto the cover ring 216 for substrate processing.
  • To begin processing, the reticle 222 (or other substrate) is positioned on the surface of the pedestal 200. Etch gases are then introduced into the chamber 100. To this end, a process gas source supplies gas, such as an oxygen based gas, through a gas input line 172. In the arrangement of FIG. 1, the input line 172 feeds gas into the side of the lid 163. However, gas may also be introduced through nozzles (not shown) in the top of the lid 163. Chamber pressure is controlled by a closed-loop pressure control system (not shown).
  • As gas is injected into the chamber 100, a gas plasma is created. Plasma is formed by the application of power to one or more inductive coils 176 at the top of the lid 163. In the chamber 100 of FIG. 1, two RF coils 176 are used, with one being an outer coil and one being an inner coil. A power supply 177 and matching network is used to apply power to the inductive coils 176. The inductive coils 176 generate and sustain the plasma above the pedestal 200 and substrate 222. In one arrangement, approximately 125 Watts is applied to the coils 176 at a frequency of about 13.56 MHz, to produce and maintain an oxygen-comprising plasma over the surface of the reticle 222. In one arrangement for a dual coil system, approximately 400 Watts is applied to the coils 176 at a frequency of about 13.56 MHz, to produce and maintain a chlo7rine-and-oxygen-comprising plasma over the surface of the reticle 222. For a single coil system, the coils may provide a DC bias of about 340 to 410 Volts on the reticle surface.
  • FIG. 3 shows a perspective cutaway view of one embodiment of a pedestal 300 of the present invention. The pedestal 300 is configured to receive and support a substrate in a plasma etching chamber. Preferably, the substrate is a photolithographic reticle, and the chamber is a plasma etching chamber, such as the chamber shown in FIG. 1, and discussed above.
  • The pedestal first comprises a body 306. In the arrangement of FIG. 3, the body 306 is a generally cylindrical object, though other shapes may be employed. The body 306 includes an upper surface 310 that serves as a substrate support base. In the arrangement shown in FIG. 3, the support base 310 has a radial outer diameter 324. The base 310 also has an intermediate shoulder 326 that forms a four-sided support ridge 325. The support ridge 325 serves to support the reticle above the pedestal 300 during processing. The support ridge 325 is preferably fabricated from a metallic material. The term “support ridge” means any raised surface feature of any height or shape along the support base 310 that contacts and supports a substrate 222 during processing.
  • The support base 310 is typically configured to receive a cover (not shown) to further support a reticle during processing. The cover may be configured to operate as the substrate support assembly 215 described above.
  • In the novel pedestal 300 of the present invention, at least a portion of the body 306 is fabricated from a dielectric material. In the cutaway view of FIG. 3, the dielectric material portion of the body 306 is shown at 318. Dielectric material 318 is selectively used in the upper surface 310 so as to define a dielectric ring generally about the perimeter of the body 306. The dielectric material 318 is placed outside of the contact point, e.g., support ridge 326, for the reticle 222 on the pedestal 300. The dielectric material portion 318 of the body 306 may comprise two or more separate components (not shown) joined together to form the dielectric portion 318 of the body 306. The two or more dielectric members may be fabricated from materials having different dielectric properties. The benefit of using material of different dielectric properties is to control the relative amount of RF power coupled through the reticle, as the thickness and dielectric property of the reticle substrate, e.g., quartz, is fixed.
  • The dielectric material portion 318 of the body 306 may be of different thicknesses. This is demonstrated in the schematic embodiments shown in FIGS. 4 and 5. FIG. 4 provides a cross-sectional view of a pedestal 300′ of the present invention. The pedestal 300′ is shown schematically. Likewise, FIG. 5 presents a cross-sectional view of a pedestal 300′ of the present invention, in an alternate embodiment. The pedestal 300″ is again shown schematically. In each view, a reticle 222 is shown being supported on the respective pedestal 300′, 300″. Further, in each view a cover 315 is provided. The cover 315 may be configured in accordance with the cover 215 shown in the exploded view of FIG. 2. The cover 315 is preferably fabricated from a dielectric material. The use of different dielectric material thickness is to adjust or control the relative RF power coupled to the reticle. One benefit of using a dielectric material is it enables the use of two control knobs, that is knobs for dielectric constant and thickness. This, in turn, enables the operator to change the relative amounts of RF that goes into the reticle versus the RF power that goes to the pedestal area surrounding the reticle. The dielectric thickness and type may be such that the relative amount is the same for uniform power distribution, or different if needed for compensating for the etch process.
  • Dielectric material is shown at 318 in both FIG. 4 and in FIG. 5. In FIG. 4, the dielectric material 318 resides along the top of the upper support base 306. In FIG. 5, the dielectric material 318 defines substantially the entire thickness of the upper support base 306. In either instance, the dielectric material 318 is preferably placed outside of the contact point for the reticle 222 on the pedestal 300.
  • As can be seen, the pedestals 300, 300′, 300″ place dielectric material along a periphery of the upper substrate support body 306. The dielectric material 318 may be polymeric or ceramic. An example of a polymeric material is Ardel™ polyarylate material manufactured by Amoco polymers. Another example is Vespel™ polyimide from DuPont. Still another example is a plastic material sold under the trade name Ultem™. Yet another example is a synthetic rubber material. An example of a suitable ceramic material is aluminum oxide. Another example of an acceptable dielectric material is quartz. The selected use of dielectric material 318 has the effect of changing the amount of RF power coupling into the reticle during a plasma etching procedure. In this respect, during a plasma etching procedure, the body 306 receives power, such as an RF power. By using dielectric material on the periphery of the body, the potential drop across the pedestal is changed to have a value less than the region where the reticle rests, i.e., inside of the substrate support ridge 326. The portion of the pedestal 300 within the substrate support ridge 326 remains metallic in order to efficiently conduct waste heat away from the reticle 222.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (19)

1. A pedestal for supporting a substrate in a plasma etching chamber, comprising:
a body, the body being configured to receive an RF power; and
a substrate support base along an upper surface of the body, the substrate support base having an outer edge, and an intermediate substrate support ridge for receiving and supporting the substrate;
and wherein at least a portion of the substrate support base outside of the intermediate substrate support ridge is fabricated from a dielectric material.
2. The pedestal of claim 1, wherein the portion of the substrate support base within the substrate support ridge is fabricated from a metallic material.
3. The pedestal of claim 2, wherein the portion of the substrate support base fabricated from a dielectric material is formed by placing a layer of dielectric material along a top surface of the substrate support base outside of the substrate support ridge in order to form a dielectric ring.
4. The pedestal of claim 3, wherein the substrate support ridge is fabricated from a metallic material.
5. The pedestal of claim 3, wherein the dielectric material is fabricated from materials selected from the group consisting of a polymeric material, a ceramic material, and combinations thereof.
6. The pedestal of claim 2, wherein the portion of the substrate support base fabricated from a dielectric material defines substantially the entire thickness of the substrate support base outside of the substrate support ridge.
7. The pedestal of claim 6, wherein the substrate support ridge is fabricated from a metallic material.
8. The pedestal of claim 6, wherein the dielectric material is fabricated from materials selected from the group consisting of a polymeric material, a ceramic material, and combinations thereof.
9. The pedestal of claim 1, further comprising a cover configured to be received on the substrate support base.
10. A pedestal for supporting a reticle in a plasma etching chamber, comprising:
a body, the body being configured to receive an RF power;
a reticle support base along an upper surface of the body, the reticle support base having an outer edge, and an intermediate reticle support ridge for receiving and supporting the reticle;
and wherein at least a portion of the reticle support base outside of the intermediate substrate support ridge is fabricated from a dielectric material.
11. The pedestal of claim 10, wherein:
the portion of the reticle support base within the reticle support ridge is fabricated from a metallic material;
the reticle support ridge is fabricated from a metallic material; and
12. The pedestal of claim 10, wherein the dielectric material is fabricated from at least one of a polymeric material and a ceramic material.
13. The pedestal of claim 12, wherein the portion of the reticle support base fabricated from a dielectric material is formed by placing a layer of dielectric material along a top surface of the reticle support base outside of the reticle support ridge in order to form a dielectric ring.
14. The pedestal of claim 12, wherein the portion of the reticle support base fabricated from a dielectric material defines substantially the entire thickness of the reticle support base outside of the reticle support ridge
15. A plasma etching chamber having a pedestal therein for supporting a reticle, comprising:
a chamber body defining a base wall, a side wall and a dome;
a gate along the side wall for permitting a reticle to be moved into the plasma etching chamber; and
a reticle support member for supporting a reticle within the plasma etching chamber during processing, the reticle support member comprising:
a body, the body being configured to receive an RF power;
a reticle support base along an upper surface of the body, the reticle support base having an outer edge, and an intermediate reticle support ridge for receiving and supporting the reticle;
and wherein at least a portion of the reticle support base outside of the intermediate substrate support ridge is fabricated from a dielectric material.
16. The chamber of claim 15, wherein:
the portion of the reticle support base within the reticle support ridge is fabricated from a metallic material;
the reticle support ridge is fabricated from a metallic material; and
17. The chamber of claim 16, wherein the dielectric material is fabricated from at least one of a polymeric material and a ceramic material.
18. The chamber of claim 17, wherein the portion of the reticle support base fabricated from a dielectric material is formed by placing a layer of dielectric material along a top surface of the reticle support base outside of the reticle support ridge in order to form a dielectric ring.
19. The chamber of claim 17, wherein the portion of the reticle support base fabricated from a dielectric material defines substantially the entire thickness of the reticle support base outside of the reticle support ridge
US10/782,300 2003-12-19 2004-02-18 Tuned potential pedestal for mask etch processing apparatus Abandoned US20050133166A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/782,300 US20050133166A1 (en) 2003-12-19 2004-02-18 Tuned potential pedestal for mask etch processing apparatus
PCT/US2004/042471 WO2005064670A1 (en) 2003-12-19 2004-12-16 A pedestal for an etch processing apparatus
TW093139448A TW200525605A (en) 2003-12-19 2004-12-17 Tuned potential pedestal for mask etch processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53106203P 2003-12-19 2003-12-19
US10/782,300 US20050133166A1 (en) 2003-12-19 2004-02-18 Tuned potential pedestal for mask etch processing apparatus

Publications (1)

Publication Number Publication Date
US20050133166A1 true US20050133166A1 (en) 2005-06-23

Family

ID=34681603

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/782,300 Abandoned US20050133166A1 (en) 2003-12-19 2004-02-18 Tuned potential pedestal for mask etch processing apparatus

Country Status (3)

Country Link
US (1) US20050133166A1 (en)
TW (1) TW200525605A (en)
WO (1) WO2005064670A1 (en)

Cited By (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060292727A1 (en) * 2005-05-26 2006-12-28 Takeharu Motokawa Photomask plasma etching apparatus, etching method, and photomask forming method
US20070007660A1 (en) * 2003-10-21 2007-01-11 Khiem Nguyen Mask etch processing apparatus
US20070217119A1 (en) * 2006-03-17 2007-09-20 David Johnson Apparatus and Method for Carrying Substrates
US20070293311A1 (en) * 2005-12-02 2007-12-20 Walker Jay S Problem gambling detection in tabletop games
US20090321019A1 (en) * 2008-06-25 2009-12-31 Zhigang Chen Rf power delivery system in a semiconductor apparatus
WO2011119471A2 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
US20140220244A1 (en) * 2013-02-07 2014-08-07 Uchicago Argonne Llc Ald reactor for coating porous substrates
US20160024652A1 (en) * 2014-07-24 2016-01-28 Nuflare Technology, Inc. Film forming apparatus, susceptor, and film forming method
CN107086196A (en) * 2016-02-16 2017-08-22 朗姆研究公司 The wafer lift loop system transmitted for chip
CN107546096A (en) * 2016-06-24 2018-01-05 东京毅力科创株式会社 Plasma film forming apparatus and substrate-placing platform
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
CN108987304A (en) * 2017-05-30 2018-12-11 Asm知识产权私人控股有限公司 Substrate processing apparatus, substrate processing method using same and baseplate support device
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US20220157572A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Deposition ring for thin substrate handling via edge clamping
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
CN114714268A (en) * 2020-12-22 2022-07-08 浙江蓝晶芯微电子有限公司 Ultrahigh frequency ultrathin quartz wafer mask positioning tool and positioning method
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010080864A1 (en) * 2009-01-12 2010-07-15 Array Biopharma Inc. Piperidine-containing compounds and use thereof

Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4586743A (en) * 1984-09-24 1986-05-06 Intelledex Incorporated Robotic gripper for disk-shaped objects
US4676193A (en) * 1984-02-27 1987-06-30 Applied Magnetics Corporation Stabilized mask assembly for direct deposition of a thin film pattern onto a substrate
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4869801A (en) * 1988-02-05 1989-09-26 Leybold Aktiengesellschaft Apparatus for mounting workpieces
US5022695A (en) * 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5133635A (en) * 1990-03-05 1992-07-28 Tet Techno Investment Trust Settlement Method and apparatus for holding and conveying platelike substrates
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5324155A (en) * 1987-05-11 1994-06-28 Advanced Semiconductor Materials America, Inc. Wafer handling system with bernoulli pick-up
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5647626A (en) * 1995-12-04 1997-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer pickup system
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5702228A (en) * 1995-07-31 1997-12-30 Sumitomo Heavy Industries, Ltd. Robotic arm supporting an object by interactive mechanism
US5720590A (en) * 1993-04-16 1998-02-24 Brooks Automation, Inc. Articulated arm transfer device
US5733096A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Multi-stage telescoping structure
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
US5820685A (en) * 1996-01-17 1998-10-13 Applied Materials, Inc. Wafer support device
US6203617B1 (en) * 1998-03-26 2001-03-20 Tokyo Electron Limited Conveying unit and substrate processing unit
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US20020162630A1 (en) * 2000-10-19 2002-11-07 Kiyoshi Satoh Semiconductor substrate-supporting apparatus
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
US6921457B2 (en) * 2000-07-11 2005-07-26 Oki Electric Industry Co., Ltd. Semiconductor manufacturing apparatus, and positioning jig used for same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0742579A2 (en) * 1995-05-11 1996-11-13 Applied Materials, Inc. A method and apparatus for concentrating plasma on a substrate surface during processing
JP2001196309A (en) * 2000-01-06 2001-07-19 Hitachi Ltd Plasma treater
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
JP4098975B2 (en) * 2001-10-29 2008-06-11 キヤノンアネルバ株式会社 Double electrode wafer holder for plasma assisted wafer processing equipment
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US4676193A (en) * 1984-02-27 1987-06-30 Applied Magnetics Corporation Stabilized mask assembly for direct deposition of a thin film pattern onto a substrate
US4586743A (en) * 1984-09-24 1986-05-06 Intelledex Incorporated Robotic gripper for disk-shaped objects
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5324155A (en) * 1987-05-11 1994-06-28 Advanced Semiconductor Materials America, Inc. Wafer handling system with bernoulli pick-up
US4869801A (en) * 1988-02-05 1989-09-26 Leybold Aktiengesellschaft Apparatus for mounting workpieces
US5022695A (en) * 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5133635A (en) * 1990-03-05 1992-07-28 Tet Techno Investment Trust Settlement Method and apparatus for holding and conveying platelike substrates
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5720590A (en) * 1993-04-16 1998-02-24 Brooks Automation, Inc. Articulated arm transfer device
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5702228A (en) * 1995-07-31 1997-12-30 Sumitomo Heavy Industries, Ltd. Robotic arm supporting an object by interactive mechanism
US5733096A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Multi-stage telescoping structure
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5647626A (en) * 1995-12-04 1997-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer pickup system
US5820685A (en) * 1996-01-17 1998-10-13 Applied Materials, Inc. Wafer support device
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6203617B1 (en) * 1998-03-26 2001-03-20 Tokyo Electron Limited Conveying unit and substrate processing unit
US6921457B2 (en) * 2000-07-11 2005-07-26 Oki Electric Industry Co., Ltd. Semiconductor manufacturing apparatus, and positioning jig used for same
US20020162630A1 (en) * 2000-10-19 2002-11-07 Kiyoshi Satoh Semiconductor substrate-supporting apparatus
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal

Cited By (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070007660A1 (en) * 2003-10-21 2007-01-11 Khiem Nguyen Mask etch processing apparatus
US7879151B2 (en) * 2003-10-21 2011-02-01 Applied Materials, Inc. Mask etch processing apparatus
US20060292727A1 (en) * 2005-05-26 2006-12-28 Takeharu Motokawa Photomask plasma etching apparatus, etching method, and photomask forming method
US20070293311A1 (en) * 2005-12-02 2007-12-20 Walker Jay S Problem gambling detection in tabletop games
US20100279765A9 (en) * 2005-12-02 2010-11-04 Walker Jay S Problem gambling detection in tabletop games
US20070217119A1 (en) * 2006-03-17 2007-09-20 David Johnson Apparatus and Method for Carrying Substrates
US20140150246A1 (en) * 2006-03-17 2014-06-05 Plasma-Therm Llc Apparatus and Method for Carrying Substrates
US20090321019A1 (en) * 2008-06-25 2009-12-31 Zhigang Chen Rf power delivery system in a semiconductor apparatus
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
WO2011119471A2 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
US20110236806A1 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
WO2011119471A3 (en) * 2010-03-25 2012-01-19 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20140220244A1 (en) * 2013-02-07 2014-08-07 Uchicago Argonne Llc Ald reactor for coating porous substrates
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10584417B2 (en) * 2014-07-24 2020-03-10 Nuflare Technology, Inc. Film forming apparatus, susceptor, and film forming method
US20160024652A1 (en) * 2014-07-24 2016-01-28 Nuflare Technology, Inc. Film forming apparatus, susceptor, and film forming method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN107086196A (en) * 2016-02-16 2017-08-22 朗姆研究公司 The wafer lift loop system transmitted for chip
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107546096A (en) * 2016-06-24 2018-01-05 东京毅力科创株式会社 Plasma film forming apparatus and substrate-placing platform
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN108987304A (en) * 2017-05-30 2018-12-11 Asm知识产权私人控股有限公司 Substrate processing apparatus, substrate processing method using same and baseplate support device
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US20220157572A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Deposition ring for thin substrate handling via edge clamping
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
CN114714268A (en) * 2020-12-22 2022-07-08 浙江蓝晶芯微电子有限公司 Ultrahigh frequency ultrathin quartz wafer mask positioning tool and positioning method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
WO2005064670A1 (en) 2005-07-14
TW200525605A (en) 2005-08-01

Similar Documents

Publication Publication Date Title
US20050133166A1 (en) Tuned potential pedestal for mask etch processing apparatus
US7909961B2 (en) Method and apparatus for photomask plasma etching
US7128806B2 (en) Mask etch processing apparatus
US7943005B2 (en) Method and apparatus for photomask plasma etching
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20070017898A1 (en) Method and apparatus for photomask plasma etching
KR101445153B1 (en) Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
KR100929279B1 (en) Hardware development to reduce slope deposition
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
KR20100099219A (en) Silicon carbide focus ring for plasma etching system
US10115572B2 (en) Methods for in-situ chamber clean in plasma etching processing chamber
US20090220865A1 (en) Method and apparatus for source field shaping in a plasma etch reactor

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SATITPUNWAYCHA, PETER;NGUYEN, KHIEM;MAK, ALFRED W.;AND OTHERS;REEL/FRAME:015032/0007;SIGNING DATES FROM 20040124 TO 20040211

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION