US20050042859A1 - Etching process for high-k gate dielectrics - Google Patents

Etching process for high-k gate dielectrics Download PDF

Info

Publication number
US20050042859A1
US20050042859A1 US10/961,707 US96170704A US2005042859A1 US 20050042859 A1 US20050042859 A1 US 20050042859A1 US 96170704 A US96170704 A US 96170704A US 2005042859 A1 US2005042859 A1 US 2005042859A1
Authority
US
United States
Prior art keywords
layer
gate
patterned
chemistry
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/961,707
Inventor
Mo-Chiun Yu
Yuan-Hung Chiu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US10/961,707 priority Critical patent/US20050042859A1/en
Publication of US20050042859A1 publication Critical patent/US20050042859A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method of forming a gate electrode comprising the following steps. A substrate having a high-k gate dielectric layer formed thereover is provided. A gate layer is formed over the high-k gate dielectric layer. A gate ARC layer is formed over the gate layer. The gate ARC layer and the gate layer are patterned to form a patterned gate ARC layer and a patterned gate layer. The high-k gate dielectric layer not under the patterned gate layer is partially etched and a smooth exposed upper surface of the patterned gate layer is formed. The partially etched high-k gate dielectric layer portions not under the patterned gate layer are removed to form the gate electrode comprised of the patterned gate layer and the etched high-k gate dielectric layer.

Description

    CROSS-REFERENCE
  • This application is a divisional of U.S. patent application Ser. No. 10/146,315, filed May 15, 2002, and entitled, “Etching Process for High-K gate Dielectrics,” assigned to a common assignee and hereby incorporated by reference in its entirety.
  • FIELD OF THE INVENTION
  • The present invention relates generally to semiconductor fabrication and more specifically to processes of etching high-k gate dielectric layers.
  • BACKGROUND
  • High dielectric constant (high-k) dielectrics were thought to replace silicon oxide (SiO2) in the near future due to their low leakage current as compared to SiO2 of the same equivalent oxide thickness (EOT). But there have been many problems in attempting to incorporate high-k dielectrics into the current complimentary metal-oxide semiconductor (CMOS) process flow such as thermal instability (the high-k material degrades under high temperature), transconductance, cross-contamination (metal out-diffusion from high-k dielectric metal oxides during thermal processes) and Gm/Idsat degradation (due to the presence of fixed charges and unstable high-k dielectric/poly-Si interface, mobility degradation of the MOS).
  • The high-k material has a slow etch rate compared to SiO2 and further, the high-k gate dielectric reacts with the poly-Si gate to form an interfacial layer therebetween which is difficult to etch. In the high-k gate dielectric etching process, H3PO4 and HF based chemical etches are not considered because of the concerns on poly-Si gate damage and shallow trench isolation (STI) over-loss (i.e. the STI will be over-etched as compared to the high-k gate dielectric layer). The present embodiments focus upon these etching issues.
  • U.S. Pat. No. 6,271,094 B1 to Boyd et al. describes a high-k layer and gate patterning process.
  • U.S. Pat. No. 6,210,999 B1 to Gardner et al. describes a high-k gate dielectric and gate etch process.
  • U.S. Pat. No. 6,069,381 to Black et al. and U.S. Pat. No. 6,100,173 to Gardner et al. describe other high-k gate dielectric and gate patterning processes.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be more clearly understood from the following description taken in conjunction with the accompanying drawings in which like reference numerals designate similar or corresponding elements, regions and portions and in which:
  • FIGS. 1 to 5 schematically illustrate a first embodiment of the present invention.
  • FIGS. 6 to 9 schematically illustrate a second embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Unless otherwise specified, all structures, layers, steps, methods, etc. may be formed or accomplished by conventional steps or methods known in the prior art.
  • First Embodiment
  • Initial Structure
  • As shown in FIG. 1, structure 10 may include (shallow trench isolation) structures 12. Structure 10 is preferably a silicon substrate and is understood to possibly include a semiconductor wafer or substrate. STIs 12 are preferably comprised of plasma oxide formed by an high-density plasma (HDP) process or a sub-atmospheric chemical vapor deposition (SACVD) process.
  • High-k gate dielectric layer 14 is formed over silicon substrate 10 to a thickness of preferably from about 20 to 100 Å and more preferably from about 30 to 50 Å. High-k gate dielectric layer 14 is preferably comprised of ZrSiO4, HfSiO4, LaSiO4, YSiO4, ZrSixOy or HfSixOy and is more preferably comprised of ZrSixOy or HfSixOy.
  • In a step of the first embodiment, gate layer 16 is formed over high-k gate dielectric layer 14 to a thickness of preferably from about 400 to 3000 Å and more preferably from about 1200 to 1800 Å which is slightly thicker than in conventional processes so as to compensate for the subsequent etch loss from the Ar sputter or the F-based-chemistry plasma etch 24 as described below.
  • Gate layer 16 is preferably comprised of polysilicon (poly-Si), polycide or a poly-Si/poly-Ge stack structure and is more preferably comprised of poly-Si.
  • High-k gate dielectric layer 14 reacts with the poly-Si gate layer 16 to form interfacial layer 18 which is very hard to etch. Interfacial layer 18 is preferably from about 3 to 10 Å thick and is more preferably from about 3 to 5 Å thick.
  • Gate anti-reflective coating (ARC) 20 is formed over poly-Si gate layer 16 to a thickness of preferably from about 100 to 500 Å and more preferably from about 200 to 400 Å. Gate ARC 20 is preferably comprised of SiN, SiON, silicon oxide, organic ARC or an organic ARC/SiON stack structure and is more preferably comprised of an organic ARC/SiON stack structure.
  • Gate Patterning
  • As shown in FIG. 2, gate ARC 20 and poly-Si gate layer 16 are patterned to form patterned gate ARC 20′ and patterned poly-Si gate 16′. ARC 20 and poly-Si gate 16 may be patterned using, for example, an overlying patterned photoresist layer (not shown).
  • Removal of Patterned Gate ARC 20
  • As shown in FIG. 3, patterned gate ARC 20′ is stripped from patterned poly-Si gate layer 16′. This leaves the upper surface 22 of patterned poly-Si gate layer 16′ rough.
  • Argon (Ar) Sputter or Fluorine (F)-Based-Chemistry Plasma Etch 24
  • In another step of the present embodiment, and as shown in FIG. 4, the structure of FIG. 3 is subjected to an argon (Ar) sputter or a fluorine (F)-based-chemistry plasma etch 24 which thins patterned gate layer 16′ to form thinner patterned gate layer 16″ having a smooth upper surface 22′. Ar sputter/F-based-chemistry plasma etch 24 also removes: (1) the exposed portions of interfacial layer 18 not under patterned gate layer 16′ to form patterned interfacial layer 18′; and (2) a portion of the exposed portions of high-k gate dielectric layer 14 not under patterned gate layer 16′ to form partially etched high-k gate dielectric layer 14′.
  • Thinner patterned gate layer 16″ has a thickness of preferably from about 300 to 2000 Å and more preferably from about 1000 to 1500 Å.
  • The F-based-chemistry of the F-based-chemistry plasma etch 24 is preferably: (1) CxFy such as CF4, C2F6, C4F6 or C4F8; (2) CxHyFz such as CHF3, CH2F2 or CH3F; or SxFy such as SF6; and is more preferably: CF4, C4F6, CH2F2 or CH3F. The F-based-chemistry may also include an inert gas such as helium (He) or Ar, for example: CF4/Ar/O2 or CF4/Ar and is more preferably CF4/Ar/O2.
  • The smooth upper surface 22′ of patterned gate layer 16′ is better for any subsequent silicide process to form a silicide portion over patterned gate layer 16′.
  • If an Ar sputter 24 is selected, it is conducted at the following parameters:
      • Ar: preferably from about 20 to 500 sccm; and more preferably from about 100 to 200 sccm;
      • power: preferably from about 200 to 2000 Watts; and more preferably from about 300 to 500 Watts;
      • temperature: preferably from about 0 to 100° C.; and more preferably from about 80 to 90° C.;
      • pressure: preferably from about 5 to 50 mTorr; and more preferably from about 20 to 50 mTorr; and
      • time: preferably from about 5 to 30 seconds; and more preferably from about 5 to 10 seconds.
        If an F-based-chemistry plasma etch 24 is selected, it is conducted at the following parameters:
      • CF4: preferably from about 1 to 100 sccm; and more preferably from about 5 to 30 sccm;
      • Ar: preferably from about 10 to 1000 sccm; and more preferably from about 50 to 300 sccm;
      • top power: preferably from about 100 to 1000 Watts; and more preferably from about 300 to 700 Watts;
      • bottom power: preferably from about 0 to 500 Watts; and more preferably from about 50 to 200 Watts; and
      • pressure: preferably from about 1 to 200 mTorr; and more preferably from about 2 to 50 mTorr.
        Wet Etch 26 to Remove Remaining Exposed Portions of High-K Gate Dielectric Layer 14
  • As shown in FIG. 5, a wet etch 26 is used to remove the remaining exposed portions of partially etched high-k gate dielectric layer 14′ from over silicon substrate 10 not under patterned gate layer 16′ to form etched high-k gate dielectric layer 14″. Patterned gate layer 16′, patterned interfacial layer 18′ and etched high-k gate dielectric layer 14comprise gate electrode 28.
  • Wet etch 26 is preferably a sulfuric acid (H2SO4) wet etch conducted at the following parameters:
      • H2SO4: preferably from about 2 to 20% by volume; and more preferably from about 2 to 5% by volume;
      • temperature: preferably from about 25 to 130° C. and more preferably from about 25 to 50° C.; and
      • time: preferably from about 10 to 30 seconds and more preferably from about 10 to 20 seconds.
  • Further processing may then continue such as, for example, silicide formation, LDD implants, gate sidewall spacer formation, HDD implants, etc.
  • By using an H2SO4 wet etch 26 instead of an H3PO4 wet etch chemistry, the poly-Si sidewalls the source/drain (S/D) areas of the silicon substrate adjacent the patterned gate layer 16″/high-k gate dielectric layer 14″. Also less STI 12 over-loss will be achieved than if an HF wet etch chemistry were used.
  • An acceptable etching rate is achieved by using the two step etch process of the first embodiment of the present invention, i.e. (1) Ar sputter 24 followed by (2) an H2SO4 wet etch 26. Another point of the present embodiment is that neither the Ar sputter 24 nor the H2SO4 wet etch 26 require masking due the selectivity of each.
  • Second Embodiment
  • Initial Structure
  • As shown in FIG. 6, structure 110 may include (shallow trench isolation) structures 112. Structure 110 is preferably a silicon substrate and is understood to possibly include a semiconductor wafer or substrate. STIs 112 are preferably comprised of HDP oxide or SACVD oxide.
  • High-k gate dielectric layer 114 is formed over silicon substrate 110 to a thickness of preferably from about 10 to 50 Å and more preferably from about 20 to 50 Å. High-k gate dielectric layer 114 is preferably comprised of ZrSiO4, HfSiO4, LaSiO4, YSiO4, ZrSixOy or HfSixOy and is more preferably comprised of ZrSixOy or HfSixOy.
  • Gate layer 116 is formed over high-k gate dielectric layer 114 to a thickness of preferably from about 400 to 3000 Å and more preferably from about 1200 to 1800 Å which is comparable to the thickness in conventional processes.
  • Gate layer 116 is preferably comprised of polysilicon (poly-Si), polycide or a poly-Si/poly-Ge stack structure and is more preferably comprised of poly-Si.
  • High-k gate dielectric layer 114 reacts with the poly-Si gate layer 116 to form interfacial layer 118 which is very hard to etch. Interfacial layer 118 is preferably from about 3 to 10 Å thick and is more preferably from about 3 to 5 Å thick.
  • Gate anti-reflective coating (ARC) 120 is formed over poly-Si gate layer 116 to a thickness of preferably from about 100 to 500A and more preferably from about 200 to 400 Å. Gate ARC 120 is preferably comprised of SiN, SiON, silicon oxide, organic ARC or an organic ARC/SiON stack structure and is more preferably comprised of an organic ARC/SiON stack structure.
  • Gate Patterning
  • As shown in FIG. 7, gate ARC 120 and poly-Si gate layer 116 are patterned to form patterned gate ARC 120′ and patterned poly-Si gate 116′. ARC 120 and poly-Si gate 116 may be patterned using, for example, an overlying patterned photoresist layer (not shown).
  • Argon (Ar) Sputter or Plasma Etch with a Fluorine (F)-Based-Chemistry 124
  • It is noted that the patterned gate ARC 120′ is not removed from over patterned poly-Si gate layer 116′ before the Ar sputter/F-based-chemistry plasma etch 124.
  • In one step of the embodiment, and as shown in FIG. 8, the structure of FIG. 7 is subjected to an argon (Ar) sputter/F-based-chemistry plasma etch 124 which removes gate ARC 120 from over patterned poly-Si gate layer 116′, leaving the upper surface 122 of exposed patterned poly-Si gate layer 116′ smooth. Gate ARC 120 minimizes poly-Si loss from the patterned poly-Si gate layer 116′. Ar sputter/F-based-chemistry plasma etch 124 also removes: (1) the exposed portions of interfacial layer 118 not under patterned gate layer 116′ to form patterned interfacial layer 118′; and (2) a portion of the exposed portions of high-k gate dielectric layer 114 not under patterned gate layer 116′ to form partially etched high-k gate dielectric layer 114′.
  • The F-based-chemistry of the F-based-chemistry plasma etch 124 is preferably: (1) CxFy such as CF4, C2F6, C4F6 or C4F8; (2) CxHyFz such as CHF3, CH2F2 or CH3F; or SxFy such as SF6; and is more preferably: CF4, C4F6, CH2F2 or CH3F. The F-based-chemistry may also include an inert gas such as helium (He) or Ar, for example: CF4/Ar/O2 or CF4/Ar and is more preferably CF4/Ar/O2.
  • The smooth upper surface 122′ of patterned gate layer 16′ is better for any subsequent silicide process to form a silicide portion over patterned gate layer 116′.
  • If an Ar sputter 124 is selected, it is conducted at the following parameters:
      • Ar: preferably from about 20 to 500 sccm; and more preferably from about 100 to 200 sccm;
      • power: preferably from about 200 to 2000 Watts; and more preferably from about 300 to 500 Watts;
      • temperature: preferably from about 0 to 100° C.; and more preferably from about 80 to 90° C.;
      • pressure: preferably from about 5 to 50 mTorr; and more preferably from about 20 to 50 mTorr; and
      • time: preferably from about 5 to 30 seconds; and more preferably from about 5 to 10 seconds.
  • If an F-based-chemistry plasma etch 124 is selected, it is conducted at the following parameters:
      • CF4: preferably from about 1 to 100 sccm; and more preferably from about 5 to 30 sccm;
      • Ar: preferably from about 10 to 1000 sccm; and more preferably from about 50 to 300 sccm;
      • top power: preferably from about 100 to 1000 Watts; and more preferably from about 300 to 700 Watts;
      • bottom power: preferably from about 0 to 500 Watts; and more preferably from about 50 to 200 Watts; and
      • pressure: preferably from about 1 to 200 mTorr; and more preferably from about 2 to 50 mTorr.
        Wet Etch 126 to Remove Remaining Exposed Portions of High-K Gate Dielectric Layer 114
  • As shown in FIG. 9, a wet etch 126 is used to remove the remaining exposed portions of partially etched high-k gate dielectric layer 114′ from over silicon substrate 110 not under patterned gate layer 116′ to form etched high-k gate dielectric layer 114″. Patterned gate layer 116′, patterned interfacial layer 118′ and etched high-k gate dielectric layer 114comprise gate electrode 128.
  • Wet etch 126 is preferably a sulfuric acid (H2SO4) wet etch conducted at the following parameters:
      • H2SO4: preferably from about 2 to 20% by volume; and more preferably from about 2 to 5% by volume;
      • temperature: preferably from about 25 to 130° C. and more preferably from about 25 to 50° C.; and
      • time: preferably from about 10 to 30 seconds and more preferably from about 10 to 20 seconds.
  • Further processing may then continue such as, for example, silicide formation, LDD implants, gate sidewall spacer formation, HDD implants, etc.
  • By using an H2SO4 wet etch 126 instead of an H3PO4 wet etch chemistry, the poly-Si sidewalls the source/drain (S/D) areas of the silicon substrate adjacent the patterned gate layer 116′/high-k gate dielectric layer 114″. Also less STI 112 over-loss will be achieved than if an HF wet etch chemistry were used. Further, poly-Si gate layer 116 is deposited to a thickness substantially equal to those thicknesses used in conventional processes.
  • Advantages
  • The advantages of one or more embodiments of the present invention include: a smooth upper surface of the patterned poly-Si gate layer is achieved which provides for better subsequent silicide process formation; the sidewalls of the patterned poly-Si gate layer are not deleteriously affected by the wet etch process; the S/D areas of the silicon substrate are not deleteriously affected by the wet etch process; less STI over-loss is achieved; acceptable etching rates are achieved by using the two step etching process, i.e. the Ar sputter and the H2SO4 wet etch; and the poly-Si gate layer is deposited to a thickness substantially equal to those thicknesses used in conventional processes.
  • While particular embodiments of the present invention have been illustrated and described, it is not intended to limit the invention, except as defined by the following claims.

Claims (37)

1. A method of forming a gate electrode, comprising the steps of:
providing a substrate having a high-k gate dielectric layer formed thereover;
forming a gate layer over the high-k gate dielectric layer;
forming a gate ARC layer over the gate layer;
patterning the gate ARC layer and the gate layer to form a patterned gate ARC layer and a patterned gate layer;
partially etching the high-k gate dielectric layer not under the patterned gate layer using an F-based-chemistry plasma etch including an F-based-chemistry, and forming a smooth exposed upper surface of the patterned gate layer; and
then removing the partially etched high-k gate dielectric layer portions not under the patterned gate layer to form the gate electrode comprised of the patterned gate layer and the etched high-k gate dielectric layer.
2. The method of claim 1, wherein the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CxFy, CxHyFz and SxFy.
3. The method of claim 1, wherein the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CF4, C2F6, C4F6, C4F8, CHF3, CH2F2, CH3F, SF6, CF4/Ar/O2 and CF4/Ar.
4. The method of claim 1, wherein the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CF4/Ar/O2 and CF4/Ar.
5. The method of claim 1, wherein the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry including an inert gas.
6. The method of claim 1, wherein the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch conducted at the following parameters:
CF4: from about 1 to 100 sccm;
Ar: from about 10 to 1000 sccm;
top power: from about 100 to 1000 Watts;
bottom power: from about 0 to 500 Watts; and
pressure: from about 1 to 200 mTorr.
7. The method of claim 1, wherein the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch conducted at the following parameters:
CF4: from about 5 to 30 sccm;
Ar: from about 50 to 300 sccm;
top power: from about 300 to 700 Watts;
bottom power: from about 50 to 200 Watts; and
pressure: from about 2 to 50 mTorr.
8. The method of claim 1, wherein the patterned gate ARC layer is removed from over the patterned gate layer by the Ar sputter or the F-based-chemistry plasma etch.
9. The method of claim 1, wherein the patterned gate ARC layer is removed from over the patterned gate layer by the Ar sputter or the F-based-chemistry plasma etch and whereby the patterned gate ARC layer minimizes loss of the patterned gate layer during the Ar sputter or the F-based-chemistry plasma etch.
10. The method of claim 1, wherein the high-k gate dielectric layer not under the patterned gate layer is etched using an Ar sputter or an F based chemistry plasma and the partially etched high-k gate dielectric layer portions not under the patterned gate layer is etched using an H2SO4 wet etch chemistry process.
11. A method of forming a gate electrode, comprising the steps of:
providing a substrate having a high-k gate dielectric layer formed thereover;
forming a gate layer over the high-k gate dielectric layer;
forming a gate ARC layer over the gate layer;
patterning the gate ARC layer and the gate layer to form a patterned gate ARC layer and a patterned gate layer;
removing the patterned ARC layer from over the patterned gate layer;
subjecting the structure to an Ar sputter or an F-based-chemistry plasma etch to partially etch the high-k gate dielectric layer not under the patterned gate layer using an F-based-chemistry plasma etch, and to form a smooth exposed upper surface of the patterned gate layer; and
removing the partially etched high-k gate dielectric layer portions not under the patterned gate layer using an H2SO4 wet etch chemistry process to form the gate electrode comprised of the patterned gate layer and the etched high-k gate dielectric layer.
12. The method of claim 11, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CxFy, CxHyFz and SxFy.
13. The method of claim 11, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CF4, C2F6, C4F6, C4F8, CHF3, CH2F2, CH3F, SF6, CF4/Ar/O2 and CF4/Ar.
14. The method of claim 11, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CF4/Ar/O2 and CF4/Ar.
15. The method of claim 11, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry including an inert gas.
16. The method of claim 11, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch conducted at the following parameters:
CF4: from about 1 to 100 sccm;
Ar: from about 10 to 1000 sccm;
top power: from about 100 to 1000 Watts;
bottom power: from about 0 to 500 Watts; and
pressure: from about 1 to 200 mTorr.
17. The method of claim 11, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch conducted at the following parameters:
CF4: from about 5 to 30 sccm;
Ar: from about 50 to 300 sccm;
top power: from about 300 to 700 Watts;
bottom power: from about 50 to 200 Watts; and
pressure: from about 2 to 50 mTorr.
18. A method of forming a gate electrode, comprising the steps of:
providing a substrate having a high-k gate dielectric layer formed thereover;
forming a gate layer over the high-k gate dielectric layer;
forming a gate ARC layer over the gate layer;
patterning the gate ARC layer and the gate layer to form a patterned gate ARC layer and a patterned gate layer;
subjecting the structure to an Ar sputter or an F-based-chemistry plasma etch to partially etch the high-k gate dielectric layer not under the patterned gate layer and to remove the patterned ARC layer leaving a smooth exposed upper surface of the patterned gate layer; and
removing the partially etched high-k gate dielectric layer portions not under the patterned gate layer using an H2SO4 wet etch chemistry process to form the gate electrode comprised of the patterned gate layer and the etched high-k gate dielectric layer.
19. The method of claim 18, wherein the substrate is a silicon substrate; the high-k gate dielectric layer is comprised of a material selected from the group consisting of ZrSO4, HfSO4, LaSO4, YSO4, ZrSixOy and HfSixOy; the gate layer is comprised of a material selected from the group consisting of polysilicon, polycide and a poly-Si/poly-Ge stack structure; and the gate ARC layer is comprised of a material selected from the group consisting of SiN, SiON, silicon oxide, organic ARC and an organic ARC/SiON stack structure.
20. The method of claim 18, wherein the substrate is a silicon substrate; the high-k gate dielectric layer is comprised of a material selected from the group consisting of ZrSixOy and HfSixOy; the gate layer is comprised of polysilicon; and the gate ARC layer is comprised of an organic ARC/SiON stack structure.
21. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an Ar sputter conducted at the following parameters:
Ar: from about 20 to 500 sccm;
power: from about 200 to 2000 Watts;
temperature: from about 0 to 100° C.;
pressure: from about 5 to 50 mTorr; and
time: from about 5 to 30 seconds.
22. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an Ar sputter conducted at the following parameters:
Ar: from about 100 to 200 sccm;
power: from about 300 to 500 Watts;
temperature: from about 80 to 90° C.;
pressure: from about 20 to 50 mTorr; and
time: from about 5 to 10 seconds.
23. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CxFy, CxHyFz and SxFy.
24. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CF4, C2F6, C4F6, C4F8, CHF3, CH2F2, CH3F, SF6, CF4/Ar/O2 and CF4/Ar.
25. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry selected from the group consisting of CF4/Ar/O2 and CF4/Ar.
26. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch including an F-based-chemistry including an inert gas.
27. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch conducted at the following parameters:
CF4: from about 1 to 100 sccm;
Ar: from about 10 to 1000 sccm;
top power: from about 100 to 1000 Watts;
bottom power: from about 0 to 500 Watts; and
pressure: from about 1 to 200 mTorr.
28. The method of claim 18, wherein the partially etched the high-k gate dielectric layer not under the patterned gate layer is etched using an F-based-chemistry plasma etch conducted at the following parameters:
CF4: from about 5 to 30 sccm;
Ar: from about 50 to 300 sccm;
top power: from about 300 to 700 Watts;
bottom power: from about 50 to 200 Watts; and
pressure: from about 2 to 50 mTorr.
29. The method of claim 18, wherein the H2SO4 wet etch chemistry process is conducted at the following parameters:
H2SO4: from about 2 to 20% by volume;
temperature: from about 25 to 130° C.; and
time: from about 10 to 30 seconds.
30. The method of claim 18, wherein the H2SO4 wet etch chemistry process is conducted at the following parameters:
H2SO4: from about 2 to 5% by volume;
temperature: from about 25 to 50° C.; and
time: from about 10 to 20 seconds.
31. The method of claim 18, wherein the high-k gate dielectric layer interacts with the gate layer to form an interfacial layer therebetween.
32. The method of claim 18, wherein the high-k gate dielectric layer interacts with the gate layer to form an interfacial layer therebetween; and wherein the Ar sputter or the F-based-chemistry plasma etch also etches and removes the interfacial layer not under the patterned gate layer.
33. The method of claim 18, wherein the substrate further includes STIs formed therein adjacent to the high-k gate dielectric layer.
34. The method of claim 18, wherein the substrate further includes STIs formed therein adjacent to the high-k gate dielectric layer; and wherein the STIs are not substantially affected by the H2SO4 wet etch chemistry process.
35. The method of claim 18, wherein high-k gate dielectric layer has a thickness of from about 10 to 50 Å; the gate layer has a thickness of from about 400 to 3000 Å; and the gate ARC layer has a thickness of from about 100 to 500 Å.
36. The method of claim 18 wherein high-k gate dielectric layer has a thickness of from about 20 to 50 Å; the gate layer has a thickness of from about 1200 to 1800 Å; and the gate ARC layer has a thickness of from about 200 to 400 Å.
37. The method of claim 18, wherein the patterned gate ARC layer minimizes loss of the patterned gate layer during the Ar sputter or the F-based-chemistry plasma etch.
US10/961,707 2002-05-15 2004-10-08 Etching process for high-k gate dielectrics Abandoned US20050042859A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/961,707 US20050042859A1 (en) 2002-05-15 2004-10-08 Etching process for high-k gate dielectrics

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/146,315 US6818553B1 (en) 2002-05-15 2002-05-15 Etching process for high-k gate dielectrics
US10/961,707 US20050042859A1 (en) 2002-05-15 2004-10-08 Etching process for high-k gate dielectrics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/146,315 Division US6818553B1 (en) 2002-05-15 2002-05-15 Etching process for high-k gate dielectrics

Publications (1)

Publication Number Publication Date
US20050042859A1 true US20050042859A1 (en) 2005-02-24

Family

ID=33415273

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/146,315 Expired - Fee Related US6818553B1 (en) 2002-05-15 2002-05-15 Etching process for high-k gate dielectrics
US10/961,707 Abandoned US20050042859A1 (en) 2002-05-15 2004-10-08 Etching process for high-k gate dielectrics

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/146,315 Expired - Fee Related US6818553B1 (en) 2002-05-15 2002-05-15 Etching process for high-k gate dielectrics

Country Status (1)

Country Link
US (2) US6818553B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040011763A1 (en) * 2000-09-07 2004-01-22 Masataka Hirose Dry etching gas and method for dry etching
US20060145294A1 (en) * 2003-08-06 2006-07-06 Vishwanath Bhat Methods of forming capacitors
US20070148864A1 (en) * 2005-12-23 2007-06-28 Hynix Semiconductor Inc. Method of manufacturing flash memory device
US20110039416A1 (en) * 2009-08-17 2011-02-17 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
CN106206284A (en) * 2014-10-02 2016-12-07 台湾积体电路制造股份有限公司 Modified model etch process

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
US20050064716A1 (en) * 2003-04-14 2005-03-24 Hong Lin Plasma removal of high k metal oxide
US7413996B2 (en) * 2003-04-14 2008-08-19 Lsi Corporation High k gate insulator removal
KR100639204B1 (en) * 2003-04-30 2006-10-30 주식회사 하이닉스반도체 Method for fabricating semiconductor device using high dielectric material
JP4229762B2 (en) * 2003-06-06 2009-02-25 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
US20080050871A1 (en) * 2006-08-25 2008-02-28 Stocks Richard L Methods for removing material from one layer of a semiconductor device structure while protecting another material layer and corresponding semiconductor device structures
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069381A (en) * 1997-09-15 2000-05-30 International Business Machines Corporation Ferroelectric memory transistor with resistively coupled floating gate
US6100173A (en) * 1998-07-15 2000-08-08 Advanced Micro Devices, Inc. Forming a self-aligned silicide gate conductor to a greater thickness than junction silicide structures using a dual-salicidation process
US6210999B1 (en) * 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6656852B2 (en) * 2001-12-06 2003-12-02 Texas Instruments Incorporated Method for the selective removal of high-k dielectrics
US7037849B2 (en) * 2003-06-27 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Process for patterning high-k dielectric material

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069381A (en) * 1997-09-15 2000-05-30 International Business Machines Corporation Ferroelectric memory transistor with resistively coupled floating gate
US6100173A (en) * 1998-07-15 2000-08-08 Advanced Micro Devices, Inc. Forming a self-aligned silicide gate conductor to a greater thickness than junction silicide structures using a dual-salicidation process
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6210999B1 (en) * 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6656852B2 (en) * 2001-12-06 2003-12-02 Texas Instruments Incorporated Method for the selective removal of high-k dielectrics
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7037849B2 (en) * 2003-06-27 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Process for patterning high-k dielectric material

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040011763A1 (en) * 2000-09-07 2004-01-22 Masataka Hirose Dry etching gas and method for dry etching
US7931820B2 (en) * 2000-09-07 2011-04-26 Daikin Industries, Ltd. Dry etching gas and method for dry etching
US20060145294A1 (en) * 2003-08-06 2006-07-06 Vishwanath Bhat Methods of forming capacitors
US7759717B2 (en) * 2003-08-06 2010-07-20 Micron Technology, Inc. Capacitors comprising dielectric regions having first and second oxide material portions of the same chemical compositon but different densities
US20070148864A1 (en) * 2005-12-23 2007-06-28 Hynix Semiconductor Inc. Method of manufacturing flash memory device
US8048739B2 (en) * 2005-12-23 2011-11-01 Hynix Semiconductor Inc. Method of manufacturing flash memory device
US20110039416A1 (en) * 2009-08-17 2011-02-17 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
CN106206284A (en) * 2014-10-02 2016-12-07 台湾积体电路制造股份有限公司 Modified model etch process
US9601333B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process

Also Published As

Publication number Publication date
US6818553B1 (en) 2004-11-16

Similar Documents

Publication Publication Date Title
US6818553B1 (en) Etching process for high-k gate dielectrics
US20050106888A1 (en) Method of in-situ damage removal - post O2 dry process
US6242350B1 (en) Post gate etch cleaning process for self-aligned gate mosfets
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6165881A (en) Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US7109085B2 (en) Etching process to avoid polysilicon notching
US6251764B1 (en) Method to form an L-shaped silicon nitride sidewall spacer
US6828205B2 (en) Method using wet etching to trim a critical dimension
US20060199370A1 (en) Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
US7906407B2 (en) Shallow trench isolation structures and a method for forming shallow trench isolation structures
US6716766B2 (en) Process variation resistant self aligned contact etch
US6468904B1 (en) RPO process for selective CoSix formation
US6376384B1 (en) Multiple etch contact etching method incorporating post contact etch etching
US6083815A (en) Method of gate etching with thin gate oxide
US7186657B2 (en) Method for patterning HfO2-containing dielectric
US6436841B1 (en) Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
US6417056B1 (en) Method to form low-overlap-capacitance transistors by forming microtrench at the gate edge
US20060063348A1 (en) Method of forming improved rounded corners in STI features
US6027959A (en) Methods for in-situ removal of an anti-reflective coating during a nitride resistor protect etching process
US6828187B1 (en) Method for uniform reactive ion etching of dual pre-doped polysilicon regions
US6579766B1 (en) Dual gate oxide process without critical resist and without N2 implant
US6346366B1 (en) Method for making an advanced guard ring for stacked film using a novel mask design
US20050121733A1 (en) Method of forming a semiconductor device with a high dielectric constant material and an offset spacer
CN114267639A (en) Semiconductor device and method for manufacturing the same
US20130122684A1 (en) Semiconductor process for removing oxide layer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION