US20040073398A1 - Methods and systems for determining a critical dimension and a thin film characteristic of a specimen - Google Patents

Methods and systems for determining a critical dimension and a thin film characteristic of a specimen Download PDF

Info

Publication number
US20040073398A1
US20040073398A1 US10/670,183 US67018303A US2004073398A1 US 20040073398 A1 US20040073398 A1 US 20040073398A1 US 67018303 A US67018303 A US 67018303A US 2004073398 A1 US2004073398 A1 US 2004073398A1
Authority
US
United States
Prior art keywords
specimen
measurement device
coupled
property
stage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/670,183
Inventor
Mehrdad Nikoonahad
Ady Levy
Kyle Brown
Gary Bultman
Dan Wack
John Fielden
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Priority to US10/670,183 priority Critical patent/US20040073398A1/en
Assigned to KLA-TENCOR, INC. reassignment KLA-TENCOR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROWN, KYLE A., BULTMAN, GARY, FIELDEN, JOHN, LEVY, ADY, NIKOONAHAD, MEHRDAD, WACK, DAN
Publication of US20040073398A1 publication Critical patent/US20040073398A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/636Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited using an arrangement of pump beam and probe beam; using the measurement of optical non-linear properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/94Investigating contamination, e.g. dust
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70658Electrical testing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • G01N21/6456Spatial resolved fluorescence measurements; Imaging
    • G01N2021/646Detecting fluorescent inhomogeneities at a position, e.g. for detecting defects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8822Dark field detection
    • G01N2021/8825Separate detection of dark field and bright field
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • G01N21/9503Wafer edge inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing

Definitions

  • This invention generally relates to methods and systems for semiconductor fabrication processes. Certain embodiments relate to a method and a system for evaluating and/or controlling a semiconductor fabrication process by determining at least two properties of a specimen.
  • Fabrication of semiconductor devices typically includes a number of processes that may be used to form various features and multiple levels or layers of semiconductor devices on a surface of a semiconductor wafer or another appropriate substrate.
  • lithography is a process that typically involves transferring a pattern to a resist arranged on a surface of a semiconductor wafer.
  • Additional examples of semiconductor fabrication processes may include chemical-mechanical polishing, etch, deposition, ion implantation, plating, and cleaning.
  • Semiconductor devices are significantly smaller than a typical semiconductor wafer or substrate, and an array of semiconductor devices may be formed on a semiconductor wafer. After processing is complete, the semiconductor wafer may be separated into individual semiconductor devices.
  • semiconductor fabrication processes are among the most sophisticated and complex processes used in manufacturing. In order to perform efficiently, semiconductor fabrication processes may require frequent monitoring and careful evaluation. For example, semiconductor fabrication processes may introduce a number of defects (e.g., non-uniformities) into a semiconductor device. As an example, defects may include contamination introduced to a wafer during a semiconductor fabrication process by particles in process chemicals and/or in a clean room environment. Such defects may adversely affect the performance of the process to an extent that overall yield of the fabrication process may be reduced below acceptable levels. Therefore, extensive monitoring and evaluation of semiconductor fabrication processes may typically be performed to ensure that the process is within design tolerance and to increase the overall yield of the process. Ideally, extensive monitoring and evaluation of the process may take place both during process development and during process control of semiconductor fabrication processes.
  • defects may include contamination introduced to a wafer during a semiconductor fabrication process by particles in process chemicals and/or in a clean room environment. Such defects may adversely affect the performance of the process to an extent that overall yield of the fabrication process may be reduced below acceptable levels. Therefore, extensive monitoring and evaluation of
  • a minimum feature size that may be fabricated may often be limited by the performance characteristics of a semiconductor fabrication process.
  • performance characteristics of a semiconductor fabrication process include, but are not limited to, resolution capability, across chip variations, and across wafer variations.
  • performance characteristics such as resolution capability of a lithography process may be limited by the quality of the resist application, the performance of the resist material, the performance of the exposure tool, and the wavelength of light used to expose the resist.
  • the ability to resolve a minimum feature size may also be strongly dependent on other critical parameters of the lithography process such as a temperature of a post exposure bake process and an exposure dose of an exposure process.
  • controlling the parameters of processes that may be critical to the resolution capability of a semiconductor fabrication process such as a lithography process is becoming increasingly important to the successful fabrication of semiconductor devices.
  • stand-alone metrology/inspection system may generally refer a system that is not coupled to a process tool and is operated independently of any other process tools and/or metrology/inspection systems. Multiple metrology/inspection systems, however, may occupy a relatively large amount of clean room space due to the footprints of each of the metrology and/or inspection systems.
  • testing time and process delays associated with measuring and/or inspecting a specimen with multiple metrology/inspection systems may increase the overall cost of manufacturing and the manufacturing time for fabricating a semiconductor device.
  • process tools may often be idle while metrology and/or inspection of a specimen is performed such that the process may be evaluated before additional specimens are processed thereby increasing manufacturing delays.
  • processing problems can not be detected before additional wafers have been processed, wafers processed during this time may need to be scrapped, which increases the overall cost of manufacturing.
  • buying multiple metrology/inspection systems increases the cost of fabrication.
  • determining a characteristic of a specimen during a process may be difficult if not impossible. For example, measuring and/or inspecting a specimen with multiple currently available systems during a lithography process may introduce a delay time between or after process steps of the process. If the delay time is relatively long, the performance of the resist may be adversely affected, and the overall yield of semiconductor devices may be reduced. As such, there may also be limitations on process enhancement, control, and yield of semiconductor fabrication processes due to the limitations associated with metrology and/or inspection using multiple currently available systems. Process enhancement, control, and yield may also be limited by an increased potential for contamination associated with metrology and/or inspection using multiple currently available metrology/inspection systems.
  • An embodiment relates to a system that may be configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include a critical dimension of the specimen.
  • the second property may include overlay misregistration of the specimen.
  • the processor may be configured to determine a third and/or a fourth property of the specimen from the one or more output signals.
  • a third property of the specimen may include a presence of defects on the specimen, and the fourth property of the specimen may include a flatness measurement of the specimen.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine the third property and/or the fourth property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen.
  • the method may also include detecting energy propagating from the surface of the specimen.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a critical dimension of the specimen.
  • the second property may include overlay misregistration of the specimen.
  • the method may further include processing the one or more output signals to determine a third and/or a fourth property of the specimen.
  • a third and a fourth property of the specimen may include a presence of defects on the specimen and a flatness measurement of the specimen.
  • a semiconductor device may be fabricated by the method.
  • the method may include forming a portion of a semiconductor device upon the specimen.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a critical dimension of the specimen.
  • the second property may include overlay misregistration of the specimen.
  • the computer-implemented method may also include processing the one or more output signals to determine a third and/or fourth properties of the specimen.
  • the third and fourth properties of the specimen may include a presence of defects on the specimen and a flatness measurement of the specimen.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include a presence of defects on specimen.
  • the second property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a dual beam spectrophotometer, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen.
  • the method may also include detecting energy propagating from the surface of the specimen.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a presence of defects on specimen.
  • the second property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a presence of defects on specimen.
  • the second property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include a presence of defects on specimen.
  • the second property may include a critical dimension of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a presence of defects on specimen.
  • the second property may include a critical dimension of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a presence of defects on specimen.
  • the second property may include a critical dimension of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include a critical dimension of the specimen.
  • the second property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a dual beam spectrophotometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a critical dimension of the specimen.
  • the second property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a critical dimension of the specimen.
  • the second property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least three properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property, a second property and a third property of the specimen from the one or more output signals.
  • the first property may include a critical dimension of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the third property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-image imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property, the second property and the third property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first, second, or third property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least three properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property, a second property, and a third property of the specimen.
  • the first property may include a critical dimension of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the third property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least three properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen.
  • the first property may include a critical dimension of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the third property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include a presence of macro defects on the specimen.
  • the second property may a presence of micro defects on the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device or a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a presence of macro defects on the specimen.
  • the second property may be a presence of micro defects on the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a presence of macro defects on the specimen.
  • the second property may be a presence of micro defects on the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least three properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property, a second property and a third property of the specimen from the one or more output signals.
  • the first property may include a flatness measurement of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the third property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property, the second property and the third property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first second or third property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least three properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property, a second property, and a third property of the specimen.
  • the first property may include a flatness measurement of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the third property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least three properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen.
  • the first property may include a flatness measurement of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the third property may include a thin film characteristic of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the detected light.
  • the first property may include overlay misregistration of the specimen.
  • the second property may include a flatness measurement of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include overlay misregistration of the specimen.
  • the second property may include a flatness measurement of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include overlay misregistration of the specimen.
  • the second property may include a flatness measurement of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include a characteristic of an implanted region of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device; for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a characteristic of an implanted region of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the semiconductor fabrication process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a characteristic of an implanted region of the specimen.
  • the second property may include a presence of defects on the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may be configured to generate one or more output signals in response to the detected light.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include an adhesion characteristic of the specimen.
  • the second property may include a thickness of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include an adhesion characteristic of the specimen.
  • the second property may include a thickness of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include an adhesion characteristic of the specimen.
  • the second property may include a thickness of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system configured to determine at least two properties of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating from the surface of the specimen.
  • the measurement device may be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the process may be configured to determine at least a first property and a second property of the specimen from the one or more output signals.
  • the first property may include a concentration of an element in the specimen.
  • the second property may include a thickness of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, an ellipsometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a concentration of an element in the specimen.
  • the second property may include a thickness of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen.
  • the first property may include a concentration of an element in the specimen.
  • the second property may include a thickness of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system coupled to a deposition tool.
  • the deposition tool may be configured to form a layer of material on a specimen.
  • the layer of material may be formed on the specimen by the deposition tool.
  • the measurement device may be configured to determine a characteristic of a layer of material prior to, during, or after formation of the layer.
  • the system may include a stage configured to support the specimen.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen prior to, during ,or after formation of the layer.
  • the measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen prior to, during, or after formation of the layer.
  • the measurement device may be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine a characteristic of the layer from the one or more output signals.
  • the processor may also be coupled to the deposition tool.
  • the processor may be configured to alter a parameter of one or more instruments coupled to the deposition tool. Additionally, the processor may be configured to alter a parameter of the instruments coupled to the deposition tool in response to the determined characteristic of the formed layer.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the deposition tool may include any tool configured to form a layer upon a semiconductor substrate. Deposition tools may include chemical vapor deposition tools, physical vapor deposition tool, atomic layer deposition tools, and electroplating tools.
  • the processor may include a local processor coupled to the measurement device and/or the deposition tool and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine a characteristic of the formed layer on the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may also be coupled to a deposition tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the deposition tool in response to at least the determined characteristic of a layer formed upon the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method of evaluating a characteristic of a layer formed upon a specimen.
  • the method may include depositing a layer upon a specimen using a deposition tool.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen using the illumination system.
  • the method may also include detecting energy propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected light.
  • the method may include processing the one or more output signals to determine a characteristic of the formed layer.
  • the processor may be configured to determine a characteristic of the formed layer.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method.
  • the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine a characteristic of a formed layer may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to the deposition tool.
  • the method may include altering a parameter of one or more instruments coupled to the deposition tool using the remote controller computer in response to at least the determined characteristic of the formed layer on the specimen.
  • Altering the parameter of the deposition tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes a deposition tool and a measurement device.
  • Controlling the system may include controlling the measurement device, the deposition tool, or both.
  • the measurement device may include an illumination system and a detection system.
  • the measurement device may also be coupled to a stage.
  • Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen.
  • controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a characteristic of the layer as it is formed or after it is formed.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system that includes an etch tool coupled to a beam profile ellipsometer.
  • the etch tool may be configured to direct chemically reactive and/or ionic species toward a specimen.
  • the beam profile ellipsometer may be configured to determine a property of an etched region of the specimen during or after the etching process.
  • the beam profile ellipsometer may include an illumination system configured to direct an incident beam of light having a known polarization state toward a surface of the specimen during or after etching of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to generate one or more output signals representative of light returned from the specimen during or after etching of the specimen.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine a property of the etched region of a specimen from the one or more output signals.
  • the processor may also be coupled to the etch tool.
  • the processor may alter a parameter of one or more instruments coupled to the etch tool. Additionally, the processor may be configured to alter a parameter of the instruments coupled to the etch tool in response to the properties of the etched layer.
  • the system may also include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, or any combination thereof.
  • the system may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the beam profile ellipsometer and/or the etch tool and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine a property of an etched region on the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may also be coupled to a etch tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the etch tool in response to at least the determined property of the etched region of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method of evaluating an etched region of a specimen with a beam profile ellipsometer.
  • the method may include etching a layer upon a specimen using an etch tool.
  • the beam profile ellipsometer may include an illumination system and a detection system.
  • the method may include directing light toward a surface of the specimen using the illumination system.
  • the method may also include detecting light propagating from the surface of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected light.
  • the method may include processing the one or more output signals to a property of the etched region of the specimen.
  • the method may include processing the one or more output signals to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method.
  • the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine a property of an etched region of a specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the beam profile ellipsometer.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to the etch tool.
  • the method may include altering a parameter of one or more instruments coupled to the etch tool using the remote controller computer in response to at least the determined characteristic of the formed layer on the specimen.
  • Altering the parameter of the etch tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes an etch tool and a beam profile ellipsometer.
  • Controlling the system may include controlling the beam profile ellipsometer, the etch tool, or both.
  • the beam profile ellipsometer may include an illumination system and a detection system.
  • the beam profile ellipsometer may also be coupled to a stage.
  • Controlling the beam profile ellipsometer may include controlling the illumination system to direct light toward a surface of the specimen.
  • controlling the beam profile ellipsometer may include controlling the detection system to detect light propagating from the surface of the specimen.
  • the method may also include generating one or more output signals in response to the detected light.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a property of an etched region of a specimen during etching, after the region is etched, or both.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system that includes an ion implanter coupled to a measurement device.
  • the measurement device may be configured to determine at least a characteristic of an implanted region of a specimen.
  • the measurement device may be configured to determine a characteristic of an implanted region of a specimen during or after implantation of the specimen.
  • the system may include a stage configured to support the specimen.
  • the measurement device may include an illumination system configured to periodically direct two or more beams of light toward a surface of the specimen during or after implantation.
  • the measurement device may direct an incident beam of light to a specimen to periodically excite a region of the specimen during implantation.
  • the measurement device may direct a sample beam of light to the excited region of the specimen.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to measure an intensity of the sample beam reflected from the excited region of the specimen.
  • the measurement device may also be configured to generate one or more output signals in response to the measured intensity.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to determine a characteristic of an implanted region from the one or more output signals.
  • the processor may also be coupled to the ion implanter.
  • the processor may be configured to alter a parameter coupled to one or more instruments coupled to the ion implanter. Additionally, the processor may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic of the implanted region.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a modulated optical reflectance device, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and/or the ion implanter and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine a characteristic of the implanted region of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may also be coupled to an ion implanter.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the ion implanter in response to at least the determined property of the ion implantation region of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method of evaluating an implanted region of a specimen.
  • the method may include implanting ions into a region of a specimen using an ion implanter.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing an incident beam of light toward a region of the specimen to periodically excite the region of the specimen during implantation or after implantation.
  • a sample beam may also be directed to the excited region of the specimen.
  • the method may also include measuring an intensity of light propagating from the excited region of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the measured intensity.
  • the method may include processing the one or more output signals to determine a characteristic of the implanted region.
  • the method may include processing the one or more output signals to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method.
  • the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine a property of an ion implantation region may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to the ion implanter.
  • the method may include altering a parameter of one or more instruments coupled to the ion implanter using the remote controller computer in response to at least the determined property of the ion implanted region of the specimen.
  • Altering the parameter of the ion implanter may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes an ion implanter and a measurement device.
  • Controlling the system may include controlling the measurement device, the ion implanter, or both.
  • the measurement device may include an illumination system and a detection system.
  • the measurement device may also be coupled to a stage.
  • Controlling the measurement device may include controlling the illumination system to direct light toward a surface of the specimen.
  • controlling the measurement device may include controlling the detection system to detect light propagating from the surface of the specimen.
  • the method may also include generating one or more output signals in response to the detected light.
  • the computer-implemented method may further include processing the one or more output signals to determine at least a characteristic an implanted region of the specimen.
  • the method may include determining other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system that includes a process chamber coupled to a measurement device.
  • the process chamber may be configured to fabricate a portion of a semiconductor device on a specimen.
  • the measurement device may be configured to determine a presence of defects on a specimen.
  • the measurement device may be configured to determine a presence of defects on a specimen prior to, during, or after fabrication of a portion of the semiconductor device on the specimen.
  • the measurement device may be configured to detect micro defects.
  • the system may include a stage configured to support the specimen. The stage may be configured to rotate.
  • the measurement device may include an illumination system configured to direct energy toward a surface of the specimen prior to, during, or after fabrication. Additionally, the measurement device may be configured to direct energy toward a surface of the specimen while the stage is stationary or while the stage is rotating.
  • the measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The detection system may detect energy prior to, during, or after fabrication. The detection system may also be configured to detect energy while the stage is stationary or rotating. The measurement device may also be configured to generate one or more output signals in response to the detected energy.
  • the system may also include a processor coupled to the measurement device.
  • the processor may be configured to a presence of defects on a surface of the specimen from the one or more output signals.
  • the processor may also be coupled to the process chamber.
  • the processor may control a parameter of one or more instruments coupled to the process chamber. Additionally, the processor may be configured to alter a parameter of one or more instruments coupled to the process chamber in response to the detection of micro defects on the surface of the specimen.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and/or the process chamber and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor.
  • the remote controller computer may be configured to determine a presence of defects on the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may also be coupled the process chamber. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process chamber in response to a determined presence of defects on the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method of evaluating a presence of defects on a surface of a specimen using a system that includes a process tool and a measurement device.
  • the method may be used to detect a presence of micro defects on a specimen.
  • the method may include fabricating a portion of a semiconductor device on a specimen using a process tool.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of the specimen.
  • the method may also include detecting energy propagating from the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine a presence of defects on the specimen.
  • the measurement device may be configured to determine the presence of defects prior to, during, or after a process.
  • the specimen may also be placed on a stage.
  • the method may include determining a presence of defects on the specimen while the stage is stationary or a while the stage is rotating.
  • the method may include determining other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method.
  • the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine a presence of defects on a specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to the process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the one or more output signals. Altering the parameter of the process tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes a process tool and a measurement device.
  • Controlling the system may include controlling the measurement device, the process tool, or both.
  • the measurement device may include an illumination system and a detection system.
  • the measurement device may also be coupled to a stage.
  • Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen.
  • controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen.
  • the method may also include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine a presence of defects on the specimen prior to, during, or subsequent to processing.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • An embodiment relates to a system that may be configured to determine a presence of defects on multiple surfaces of a specimen.
  • the system may include a stage configured to support the specimen.
  • the system may also include a measurement device coupled to the stage.
  • the stage may be configured to move.
  • the measurement device may include an illumination system configured to direct energy toward a front side and a back side of the specimen.
  • the illumination system may be used while the stage is stationary or moving.
  • the measurement device may also include a detection system coupled to the illumination system.
  • the detection system may be configured to detect energy propagating along multiple paths from the front and back sides of the specimen.
  • the system may also include a processor coupled to the measurement device.
  • the measurement device may be configured to generate one or more output signals in response to the detected light.
  • the processor may be configured to determine a presence of defects on the front and back sides of the specimen from the one or more output signals.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor.
  • the local processor may be configured to at least partially process the one or more output signals.
  • the remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor.
  • the remote controller computer may be configured to determine a presence of defects on the front and back sides of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • An additional embodiment relates to a method for determining defects on multiple surfaces of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a front side and a back side of the specimen using the illumination system.
  • the method may also include detecting energy propagating along multiple paths from the front and back sides of the specimen using the detection system.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the method may include processing the one or more output signals to determine the presence of defects on the front and back sides of the specimen.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • a semiconductor device may be fabricated by the method.
  • the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate.
  • processing the one or more output signals to determine the presence of defects on multiple surfaces of the specimen may include at least partially processing the one or more output signals using a local processor.
  • the local processor may be coupled to the measurement device.
  • Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer.
  • processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer.
  • the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to a determined presence of defects on multiple surfaces of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique.
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine defects on multiple surfaces of a specimen.
  • the system may include a measurement device. In this manner, controlling the system may include controlling the measurement device.
  • the measurement device may include an illumination system and a detection system.
  • the measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen.
  • the stage may be configured to move.
  • the method may also include controlling the stage such that the specimen is moved during analysis.
  • the method may further include generating one or more output signals in response to the detected energy.
  • the computer-implemented method may further include processing the one or more output signals to determine a presence of defects on multiple surfaces of the specimen.
  • any of the systems, as described herein, may be used during the production of a semiconductor device.
  • a semiconductor device may be formed using one or more semiconductor processing steps. Each processing step may cause a change to a specimen. After a processing step, a portion of the semiconductor device may be formed upon a specimen. Prior to, during, or subsequent to a processing step, the specimen may be placed on a stage of a system configured to determine at least two properties of the specimen.
  • the system may be configured according to any of the above embodiments.
  • these properties may be used to determine further processing steps for formation of the semiconductor device.
  • the system may be used to evaluate if a semiconductor process is performing adequately. If a semiconductor process is not performing adequately, data obtained from the system may be used to determine further processing the specimen. In another embodiment, detection of an incorrectly processed specimen may indicate that the specimen should be removed from the semiconductor process.
  • processing of semiconductor devices may be enhanced. The time required for testing may be reduced. Also, the use of multiple tests may ensure that only apparently properly processed specimens are advanced to the next processing steps. In this manner, yield of semiconductor devices may increase.
  • FIG. 1 depicts a schematic top view of an embodiment of a specimen having a plurality of dies and a plurality of defects on a surface of a specimen;
  • FIG. 2 a depicts a schematic top view of an embodiment of a stage configured to move rotatably during use and a measurement device configured to move linearly during use;
  • FIG. 2 b depicts a schematic top view of an embodiment of a stage configured to move rotatably during use and a stationary measurement device;
  • FIG. 3 depicts a schematic side view of an embodiment of a system having one illumination system and one detection system
  • FIG. 4 depicts a schematic side view of an embodiment of a system having multiple illumination systems and one detection system
  • FIG. 5 depicts a schematic side view of an embodiment of a system having multiple illumination systems and multiple detection system
  • FIG. 6 depicts a schematic side view of an embodiment of a system having one illumination system and multiple detection systems
  • FIG. 7 depicts a schematic side view of an embodiment of a system having one illumination system and multiple detection systems
  • FIG. 8 depicts a schematic side view of an embodiment of a specimen
  • FIG. 9 depicts a schematic top view of an embodiment of a system having a plurality of measurement devices
  • FIG. 10 depicts a schematic side view of an embodiment of a system configured to determine a critical dimension of a specimen
  • FIG. 11 a depicts a schematic side view of an embodiment of a measurement device configured to determine a critical dimension of a specimen
  • FIG. 11 b depicts a schematic side view of an embodiment of a portion of a measurement device configured to determine a critical dimension of a specimen
  • FIG. 12 depicts a schematic side view of an embodiment of a system configured to determine multiple properties of multiple surfaces of a specimen
  • FIG. 13 depicts a schematic top view of an embodiment of a system coupled to a semiconductor fabrication process tool
  • FIG. 14 depicts a perspective view of an embodiment of a system configured to be coupled to a semiconductor fabrication process tool
  • FIG. 15 depicts a perspective view of an embodiment of a system coupled to a semiconductor fabrication process tool
  • FIG. 16 depicts a schematic side view of an embodiment of a system disposed within a measurement chamber
  • FIG. 17 depicts a schematic side view of an embodiment of a measurement chamber arranged laterally proximate to a process chamber of a semiconductor fabrication process tool
  • FIG. 18 depicts a schematic side view of an embodiment of a system coupled to a process chamber of a semiconductor fabrication process tool
  • FIG. 19 depicts a flow chart illustrating an embodiment of a method for determining at least two properties of a specimen
  • FIG. 20 depicts a flow chart illustrating an embodiment of a method for processing detected light returned from a surface of the specimen
  • FIG. 21 depicts a flow chart illustrating an embodiment of a method for controlling a system configured to determine at least two properties of a specimen
  • FIG. 22 depicts a schematic side view of an embodiment of a system coupled to a chemical-mechanical polishing tool
  • FIG. 23 depicts a schematic side view of an embodiment of a system coupled to a chemical vapor deposition tool
  • FIG. 24 depicts a schematic side view of an embodiment of a system coupled to an etch tool
  • FIG. 25 depicts a schematic side view of an embodiment of a system coupled to an ion implanter
  • FIG. 26 depicts a schematic side view of an embodiment of a system configured to determine a characteristic of micro defects on a surface of a specimen.
  • FIG. 27 depicts a schematic side view of an embodiment of a system configured to determine a characteristic of defects of multiple surfaces of a specimen.
  • FIG. 1 illustrates a schematic top view of an embodiment of a surface of specimen 10 .
  • Specimen 10 may include a substrate such as a monocrystalline silicon substrate, a silicon germanium substrate, or a gallium arsenide substrate.
  • specimen 10 may include any substrate suitable for fabrication of semiconductor devices.
  • Specimen 10 may include plurality of dies 12 having repeatable pattern features.
  • specimen 10 may be unpatterned such as a virgin semiconductor wafer or an unprocessed wafer.
  • specimen 10 may include a glass substrate or any substrate formed from a substantially transparent material, which may be suitable for fabrication of a reticle.
  • specimen 10 may include any specimen known in the art.
  • specimen 10 may include one or more layers arranged upon a substrate.
  • layers which may be formed on a substrate may include, but are not limited to, a resist, a dielectric material, and/or a conductive material.
  • the resist may include photoresist materials that may be patterned by an optical lithography technique.
  • the resist may include other resists, however, such as e-beam resists or X-ray resists that may be patterned by an e-beam or an X-ray lithography technique, respectively.
  • Examples of an appropriate dielectric material may include, but are not limited to, silicon dioxide, silicon nitride, silicon oxynitride, and titanium nitride.
  • examples of an appropriate conductive material may include aluminum, polysilicon, and copper.
  • a specimen may also include semiconductor devices such as transistors formed on a substrate such as a wafer.
  • FIGS. 2 a and 2 b illustrate a schematic top view of an embodiment of stage 24 configured to support a specimen.
  • the stage may be a vacuum chuck or an electrostatic chuck. In this manner, a specimen may be held securely in place on the stage.
  • the stage may be a motorized translation stage, a robotic wafer handler, or any other suitable mechanical device known in the art.
  • the system may include measurement device 26 coupled to the stage. As such, the stage may be configured to impart relative motion to the specimen with respect to the measurement device. In an example, the stage may be configured to move specimen relative to the measurement device in a linear direction.
  • the relative motion of the stage may cause an incident beam of energy from an energy source of a measurement device to traverse the surface of the specimen while leaving the angle of incidence at which light strikes the surface of the specimen substantially unchanged.
  • the term “measurement device” is generally used to refer to a metrology device, an inspection device, or a combination metrology and inspection device.
  • stage 24 may be configured to rotate in clockwise and counterclockwise directions as indicated by vector 28 such that a specimen may be oriented with respect to measurement device 26 in a plurality of directions. As such, the stage may also be used to correct an orientation of a specimen such that a specimen may be substantially aligned with respect to a measurement device during measurement or inspection. In addition, stage 24 may be further configured to rotate and to move linearly simultaneously. Examples of methods for aligning a specimen to a measurement device are illustrated in U.S. Pat. No. 5,682,242 to Eylon, U.S. Pat. No. 5,867,590 to Eylon, and U.S. Pat. No. 6,038,029 to Finarov, and are incorporated by reference as if fully set forth herein.
  • stage 24 may be further configured to move along a z-axis to alter a distance between a specimen and measurement device 26 .
  • altering a distance between a specimen and a measurement device may substantially focus a beam of energy from an energy source of the measurement device on the surface of the specimen.
  • Examples of focusing systems are illustrated in U.S. Pat. No. 5,604,344 to Finarov, and U.S. Pat. No. 6,124,924 to Feldman et al., which are incorporated by reference as if fully set forth herein.
  • An example for focusing a charged particle beam on a specimen is illustrated in European Patent Application No. EP 1 081 741 A2 to Pearl et al., and is incorporated by reference as if fully set forth herein.
  • stage 24 may be configured to move with respect to measurement device 26 , and the measurement device may be configured to move with respect to the stage.
  • measurement device 26 may be configured to move linearly along a direction indicated by vector 29 while stage 24 may be configured to move rotatably.
  • an incident beam of energy from an energy source of the measurement device may traverse a radius of the stage as the stage is rotating.
  • measurement device 30 may be configured to be relatively stationary in a position relative to stage 24 .
  • Devices including, but not limited to, a deflector such as an acousto-optical deflector (“AOD”) within measurement device 30 may be configured to linearly alter a position of an incident beam with respect to the stage.
  • AOD acousto-optical deflector
  • An example of an AOD is illustrated in PCT Application No. WO 01/14925 A1 to Allen et al., and is incorporated by reference as if fully set forth herein. In this manner, the incident beam may be traverse a radius of the stage as the stage is rotating.
  • the device may be configured to cause an incident beam of energy from an energy source of the measurement device to traverse the surface of the specimen while leaving the angle of incidence at which the beam of energy strikes the surface of the specimen substantially unchanged.
  • measurement device 30 may include a plurality of energy sources such as illumination systems and a plurality of detection systems.
  • the plurality of illumination systems and the plurality of detection systems may be arranged in two linear arrays.
  • the illumination systems and the detection systems may be arranged such that each illumination system may be coupled to one of the detection systems.
  • measurement device 30 may be configured as a linear imaging device.
  • the measurement device may be configured to measure or inspect any location on a surface of specimen substantially simultaneously or sequentially.
  • the measurement device may be configured such that measurements may be made at multiple locations on a specimen substantially simultaneously while the stage may be rotating.
  • the stage and the measurement device may be configured to move substantially continuously or intermittently. For example, the stage and the measurement device may be moved intermittently such that the system may be configured as a move-acquire-measure system.
  • a measurement device and stage configured, as described above, to control and alter the measurement or inspection location of the specimen may provide several advantages in comparison to currently used systems.
  • currently used systems configured to inspect multiple locations on a specimen may include a stationary measurement device and a stage configured to move laterally in two independent directions.
  • currently used systems may include a stationary stage and a measurement device configured to alter a position of an beam of energy incident on a specimen by altering a position of two mirrors in a first direction and a position of two mirrors in a second direction.
  • An example of such a system is illustrated in U.S. Pat. No. 5,517,312 to Finarov and U.S. Pat. No.
  • An additional system may include a stage configured to rotate and a laser light source configured to move radially. Such a system may be unsuitable for measurement or inspecting a patterned specimen. Additional examples of currently used systems are illustrated in U.S. Pat. No. 5,943,122 to Holmes, and is incorporated by reference as if fully set forth herein.
  • a system configured as described in above embodiments may be configured to inspect or measure an entire surface of a specimen without linearly moving the specimen.
  • FIG. 3 illustrates a schematic side view of an embodiment of system 32 configured to determine at least two properties of a specimen.
  • System 32 may include measurement device 34 having illumination system 36 and detection system 38 .
  • Illumination system 36 may be configured to direct light toward a surface of specimen 40 disposed upon stage 42 .
  • Stage 42 may be configured as described in above embodiments.
  • Detection system 38 may be coupled to illumination system 36 and may be configured to detect light propagating from the surface of the specimen.
  • detection system 38 , illumination system 36 , and additional optical components may be arranged such that spectrally reflected light or scattered light propagating from the surface of specimen 40 may be detected by the detection system.
  • Illumination system 36 may include energy source 44 .
  • Energy source 44 may be configured to emit monochromatic light.
  • a suitable monochromatic light source may be a gas laser or a solid state laser diode.
  • the energy source may be configured to emit electromagnetic radiation of multiple wavelengths, which may include ultraviolet light, visible light, infra-red light, X-rays, gamma rays, microwaves, or radio-frequencies.
  • the energy source may be configured to emit another source of energy source such as an beam of electrons, protons, neutrons, ion, or molecules.
  • a thermal field emission source is typically used as an electron source.
  • Detection system 38 may include detector 46 .
  • Detector 46 may include light sensitive sensor devices including, but not limited to, a photodetector, a multi-cell photodetector, an interferometer, an array of photodiodes such as a linear sensor array, a conventional spectrophotometer, a position sensitive detector, photomultiplier tubes, avalanche photodiodes, a charge-coupled device (“CCD”) camera, a time delay integration (“TDI”) camera, a video camera, a pattern recognition device, and an imaging system.
  • the detector may include solid state detectors such as Schottky solid state barrier detectors.
  • measurement device 34 may include any number of additional optical components (not shown).
  • Appropriate optical components may include, but are not limited to, beam splitters or dichroic mirrors, quarter wave plates, polarizers such as linear and circular polarizers, rotating polarizers, rotating analyzers, collimators, focusing lenses, additional lenses, folding mirrors, partially transmissive mirrors, filters such as spectral or polarizing filter, spatial filters, reflectors, deflectors, and modulators.
  • Each of the additional optical components may be coupled to or disposed within the illumination system or the detection system.
  • the measurement device may include a number of additional electromagnetic devices (not shown) that may include magnetic condenser lenses, magnetic objective lenses, electrostatic deflection systems, beam limiting apertures, and Wien filters.
  • measurement device 34 may include optical component 48 disposed within or coupled to illumination system 36 .
  • Optical component 48 may include, but is not limited to, a polarizer, a spectral or polarizing filter, and a quarter wave plate.
  • measurement device 34 may include beam splitter 50 and optical component 52 .
  • Optical component 52 may be disposed within or coupled to detection system 38 .
  • Optical component 52 may include, but is not limited to, a quarter wave plate, a collimator, and a focusing lens.
  • FIGS. 4 - 7 illustrate alternate embodiments of measurement device 34 of system 32 .
  • elements of system 32 which may be similarly configured in each of the embodiments illustrated in FIGS. 3 - 7 have been indicated by the same reference characters.
  • energy source 44 may be similarly configured in each of the embodiments illustrated in FIGS. 3 - 7 .
  • measurement device 34 may include a plurality of energy sources 44 .
  • Each of energy sources may be configured to emit substantially similar types of energy or different types of energy.
  • the plurality of energy sources 44 may include any of the light sources described herein.
  • the light sources may be configured to emit broadband light. Alternatively, the light sources may include two emit different types of light.
  • one of the light sources may be configured to emit light of a single wavelength, and the other light source may be configured to emit broadband light.
  • the energy sources may be configured to direct a beam of energy to substantially the same location on the surface of specimen 40 , as shown in FIG. 4.
  • the plurality of energy sources 44 may be configured to direct a beam of energy to substantially different locations on the surface of specimen 40 , as shown in FIG. 5.
  • the plurality of energy sources may be configured to direct energy to laterally spaced locations on the surface of specimen 40 .
  • the plurality of energy sources shown in FIG. 5 may also be configured as described above.
  • measurement device may include detector 46 coupled to the plurality of energy sources 44 .
  • detector 46 may be positioned with respect to the plurality of energy sources such that the detector may be configured to detect different types of energy propagating from the surface of specimen 40 such as specularly reflected light and scattered light.
  • the detector may also be configured to detect different types of energy propagating from the surface of the specimen substantially simultaneously.
  • the detector may include an array of photodiodes. A first portion of the array of photodiodes may be configured to detect only incident light from one of the plurality of light sources propagating from the surface of the specimen.
  • a second portion of the array of photodiodes may be configured to detect only incident light from the other of the plurality of light source propagating from the surface of the specimen.
  • the detector may be configured to detect incident light from each of a plurality of light sources propagating from the surface of the specimen substantially simultaneously.
  • the plurality of energy sources may be configured to intermittently direct energy to the surface of the specimen.
  • the detector may be configured to detect incident energy from each of the plurality of energy sources propagating from the surface of the specimen intermittently.
  • measurement device 34 may include a plurality of detectors 46 .
  • Each of the plurality of detectors may be coupled to one of the plurality of energy sources 44 .
  • each detector 46 may be positioned with respect to one of the energy sources such that the detector may be configured to detect incident energy from one of the energy sources propagating from the surface of specimen 40 .
  • one of the detectors may be positioned with respect to a first light source to detect light scattered from the surface of the specimen.
  • scattered light may include dark field light propagating along a dark field path.
  • a second of the plurality of detectors may be positioned with respect to a second light source to detect light specularly reflected from the surface of the specimen such as bright field light propagating along a bright field path.
  • the plurality of detectors may be configured as described in above embodiments.
  • the plurality of detectors may include two different detectors or two of the same type of detectors.
  • a first detector may be configured as a conventional spectrophotometer, and a second detector may be configured as a quad-cell detector.
  • both detectors may be configured as an array of photodiodes.
  • measurement device 34 may also include multiple optical components 48 .
  • optical components 48 may be coupled to each of the plurality of energy sources 44 .
  • a first of the optical components may be configured as a polarizer, and a second of the optical components may be configured as a focusing lens.
  • measurement device 34 may include one optical component 48 coupled to each of the plurality of energy sources 44 .
  • Each of the optical components 48 may be configured as described herein.
  • measurement device 34 may include an optical component such as beam splitter 50 coupled to one of the plurality of energy sources.
  • beam splitter 50 may be positioned along a path of light directed from a light source.
  • Beam splitter 50 may be configured to transmit light from the light source and to reflect light propagating from the surface of the specimen.
  • the beam splitter may be configured to reflect light propagating from the surface of the specimen such that the reflected light may be directed to detector 46 .
  • beam splitters may be positioned along a path of the light directed from each of the plurality of light sources.
  • Optical component 52 may also be coupled to detector 46 , as shown in FIG. 4, and may be configured as, for example, a quarter wave plate, a collimator, and a focusing lens. Optical component 52 may be further configured as described herein. Multiple optical components 52 may also be coupled to each of the detectors. The position and the configuration of each of the optical components may vary, however, depending on the properties of the specimen to be determined by the system as will be described in more detail below.
  • measurement device 34 may include a single energy source 44 .
  • measurement device 34 may include a plurality of detectors 46 .
  • the detectors may include any of devices as described herein.
  • Each of the plurality of detectors 46 may be positioned at a different angle with respect to energy source 44 .
  • one of the detectors may be configured to detect dark field light propagating along a dark field path.
  • the second detector may be configured to detect bright field light propagating along a bright field path.
  • each of the plurality of detectors may be configured to detect specularly reflected light.
  • the plurality of detectors may be similarly configured, for example, as photodiode arrays.
  • the plurality of detectors may be configured as different detectors such as a conventional spectrophotometer and a quad cell detector.
  • the illumination system may be configured to direct different types of energy to the surface of the specimen at varying intervals.
  • the energy source may be configured to emit one type of light.
  • optical component 48 may be coupled to energy source 44 .
  • Optical component 48 may also be configured to alter the light emitted by energy source 44 at varying intervals.
  • optical component 48 may be configured as a plurality of spectral and/or polarizing filters that may be rotated in a path of the light emitted by energy source 44 at varying intervals or a liquid crystal display (“LCD”) filter that may be controlled by a controller coupled to the filter.
  • LCD liquid crystal display
  • the controller may be configured to alter the transmissive, reflective, and/or polarization properties of the LCD filter at varying intervals.
  • the properties of the LCD filter may be altered to change a spectral property or a polarization state of the light emitted from the energy source.
  • each of the plurality of detectors may be suitable to detect a different type of light propagating from the surface of the specimen.
  • the measurement device may be configured to measure substantially different optical characteristics of the specimen at varying intervals.
  • measurement device 34 may be configured such that energy directed to the surface of the specimen and the energy returned from the surface of the specimen may vary depending on, for example, the properties of the specimen to be measured using the system.
  • system 32 may include processor 54 coupled to measurement device 34 .
  • the processor may be configured to receive one or more output signals generated by a detector of the measurement device.
  • the one or more output signals may be representative of the detected energy returned from the specimen.
  • the one or more output signals may be an analog signal or a digital signal.
  • the processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals generated by the detector.
  • the first property may include a critical dimension of specimen 40
  • the second property may include overlay misregistration of specimen 40 .
  • the measurement device may include, but is not limited to, a scatterometer, a non-imaging scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • the system may be configured as a single measurement device or as multiple measurement devices.
  • optical elements of a first measurement device may also be used as optical elements of a second measurement device.
  • multiple measurement devices may be coupled to a common stage, a common handler, and a common processor.
  • the handler may include a mechanical device configured to dispose a specimen on the common stage and to remove a specimen from the common stage or any other handler as described herein.
  • the system may be configured to determine a critical dimension and an overlay misregistration of a specimen sequentially or substantially simultaneously. In this manner, such a system may be more cost, time, and space efficient than systems currently used in the semiconductor industry.
  • FIG. 8 illustrates a schematic side view of an embodiment of a specimen.
  • a plurality of features 56 may be formed upon upper surface 58 of specimen 60 .
  • features formed on an upper surface of the specimen may include local interconnects, gate structures such as gate electrodes and dielectric sidewall spacers, contact holes, and vias.
  • the plurality of features may also be formed within the specimen.
  • Features formed within the specimen may include, for example, isolation structures such as field oxide regions within a semiconductor substrate and trenches.
  • a critical dimension may include a lateral dimension of a feature defined in a direction substantially parallel to an upper surface of the specimen such as width 62 of feature 56 on specimen 60 .
  • a critical dimension may be generally defined as the lateral dimension of a feature when viewed in cross section such as a width of a gate or interconnect or a diameter of a hole or via.
  • a critical dimension of a feature may also include a lateral dimension of a feature defined in a direction substantially perpendicular to an upper surface of the specimen such as height 64 of feature 56 on specimen 60 .
  • a critical dimension may also include a sidewall angle of a feature.
  • a “sidewall angle” may be generally defined as an angle of a side (or lateral) surface of a feature with respect to an upper surface of the specimen.
  • a feature having a substantially uniform width across a height of the feature may have sidewall angle 66 of approximately 90°.
  • Features of a specimen such as a semiconductor device that have a substantially uniform width across a height of the features may be formed relatively closely together thereby increasing device density of the semiconductor device.
  • such a device may have relatively predictable and substantially uniform electrical properties.
  • a feature having a tapered profile or non-uniform width across a height of the feature may have sidewall angle 68 of less than approximately 90°.
  • a tapered profile may be desired if a layer may be formed upon the feature. For example, a tapered profile may reduce the formation of voids within the layer formed upon the feature.
  • Overlay misregistration may be generally defined as a measure of the displacement of a lateral position of a feature on a first level of a specimen with respect to a lateral position of a feature on a second level of a specimen.
  • the first level may be formed above the second level.
  • overlay misregistration may be representative of the alignment of features on multiple levels of a semiconductor device.
  • overlay misregistration is approximately zero such that features on a first level of a specimen may be perfectly aligned to features on a second level of a specimen.
  • a significant overlay misregistration may cause undesirable contact of electrical features on first and second levels of a specimen.
  • a semiconductor device formed on such a significantly misaligned specimen may have a number of open or short circuits thereby causing device failure.
  • An extent of overlay misregistration of a specimen may vary depending on, for example, performance characteristics of a lithography process.
  • a reticle, or a mask may be disposed above a resist arranged on a first level of the specimen.
  • the reticle may have substantially transparent regions and substantially opaque regions that may be configured in a pattern, which may transferred to the resist.
  • the reticle may be positioned above a specimen by an exposure tool configured to detect a position of an alignment mark on the specimen. In this manner, overlay misregistration may be caused by performance limitations of an exposure tool to detect an alignment mark and to alter a position of the reticle with respect to the specimen.
  • FIG. 9 illustrates a schematic top view of an embodiment of system 70 having a plurality of measurement devices.
  • Each of the measurement devices may be configured as described herein.
  • each of the measurement devices may be configured to determine at least one property of a specimen.
  • each of the measurement devices may be configured to determine a different property of a specimen.
  • system 70 may be configured to determine at least four properties of a specimen.
  • measurement device 72 may be configured to determine a critical dimension of a specimen.
  • measurement device 74 may be configured to determine overlay misregistration of the specimen in a first lateral direction.
  • Measurement device 76 may be configured to determine overlay misregistration of the specimen in a second lateral direction.
  • the first lateral direction may be substantially orthogonal to the second lateral direction.
  • measurement device 78 may be configured as a pattern recognition device. As such, system 70 may be configured to determine at least four properties of the specimen simultaneously or sequentially. In addition, each of the measurement devices may be configured to determine any property of a specimen as described herein.
  • FIG. 10 illustrates a schematic side view of an embodiment of system 80 configured to determine at least two properties of a specimen.
  • system 80 may be configured to determine at least a critical dimension of a specimen.
  • system 80 may be included in system 70 as described in above embodiments.
  • System 80 may include broadband light source 82 .
  • the term “broadband light” is generally used to refer to radiation having a frequency-amplitude spectrum that includes two or more different frequency components.
  • a broadband frequency-amplitude spectrum may include a broad range of wavelengths such as from approximately 190 nm to approximately 1700 nm. The range of wavelengths, however, may be larger or smaller depending on, for example, the light source capability, the sample being illuminated, and the property being determined.
  • a xenon arc lamp may be used as a broadband light source and may be configured to emit a light beam including visible and ultraviolet light.
  • System 80 may also include beam splitter 84 configured to direct light emitted from light source 82 to a surface of a specimen 85 .
  • the beam splitter may be configured as a beam splitter mirror that may be configured to produce a continuous broadband spectrum of light.
  • System 80 may also include lens 86 configured to focus light propagating from beam splitter 84 onto a surface of specimen 85 .
  • Light returned from the surface of specimen 85 may pass through beam splitter 84 to diffraction grating 88 .
  • the diffraction grating may be configured to disperse light returned from the surface of the specimen.
  • the dispersed light may be directed to a spectrometer such as detector array 90 .
  • the detector array may include a linear photodiode array.
  • the light may be dispersed by a diffraction grating as it enters the spectrometer such that the resulting first order diffraction beam of the sample beam may be collected by the linear photodiode array.
  • a diffraction grating as it enters the spectrometer such that the resulting first order diffraction beam of the sample beam may be collected by the linear photodiode array.
  • Examples of spectroscopic reflectometers are illustrated in U.S. Pat. No. 4,999,014 to Gold et al., and U.S. Pat. No. 5,747,813 to Norton et al. and are incorporated by reference as if fully set forth herein.
  • the photodiode array may measure the reflectance spectrum 92 of the light returned from the surface of the specimen.
  • a relative reflectance spectrum may be obtained by dividing the intensity of the returned light of the reflectance spectrum at each wavelength by a relative reference intensity at each wavelength.
  • a relative reflectance spectrum may be used to determine the thickness of various films on the wafer.
  • the reflectance at a single wavelength and the refractive index of the film may also be determined from the relative reflectance spectrum.
  • a model method by modal expansion (“MMME”) model 94 may be used to generate library 96 of various reflectance spectrums.
  • the MMME model is a rigorous diffraction model that may be used to calculate the theoretical diffracted light “fingerprint” from each grating in the parameter space.
  • RCWA rigorous coupling waveguide analysis
  • the measured reflectance spectrum 92 may be fitted to a the various reflectance spectrums in library 96 .
  • the fitted data 97 may be used to determine critical dimension 95 such as a lateral dimension, a height, and a sidewall angle of a feature on the surface of a specimen as described herein. Examples of modeling techniques are illustrated in PCT Application No. WO 99/45340 to Xu et al., and is incorporated by reference as if fully set forth herein.
  • FIGS. 11 a and 11 b illustrate additional schematic side views of an embodiment of measurement device 98 configured to determine a property such as a critical dimension of a specimen.
  • the measurement device may be coupled to system 80 described above.
  • Measurement device 98 may include fiber optic light source 100 .
  • the fiber optic light source may be configured to emit and direct light to collimating mirror 102 .
  • Collimating mirror 102 may be configured to alter a path of the light emitted by the fiber optic light source such that it propagates toward a surface of specimen 104 in substantially one direction along path 106 .
  • Light emitted by fiber optic light source 100 may also be directed to reflective mirror 108 .
  • Reflective mirror 108 may be configured to direct the light emitted by the fiber optic light source to reference spectrometer 110 .
  • Reference spectrometer 110 may be configured to measure an intensity of light emitted by the fiber optic light source. In addition, reference spectrometer 110 may be configured to generate one or more output signals in response to the measured intensity of light. As such, the signal generated by reference spectrometer 110 may be used to monitor variations in the intensity of light emitted by the fiber optic light source.
  • Measurement device 98 may also include polarizer 112 .
  • Polarizer 112 may be oriented at a 45° angle with respect to path 106 of the light.
  • Polarizer 112 may be configured to alter a polarization state of the light such that light propagating toward a surface of the specimen may be linearly or circularly polarized.
  • Measurement device 98 may also include light piston 114 positioned along path 106 of the light.
  • the light piston may be configured to alter a direction of the path of the light propagating toward the surface of the specimen.
  • portion 115 of the measurement device may be configured to move with respect to the specimen to measure multiple locations on the specimen. In this manner, the light position may be configured to cause light propagating along path 106 to traverse the surface of the specimen while leaving the angle of incidence at which light strikes the surface of the specimen substantially unchanged.
  • the measurement device may also include apodizer 116 .
  • Apodizer 116 may have a two dimensional pattern of alternating relatively high transmittance areas and substantially opaque areas. The alternating pattern may have a locally averaged transmittance function such as an apodizing function. As such, an apodizer may be configured to reduce a lateral area of an illuminated region of a specimen to improve a focusing resolution of the measurement device.
  • the measurement device may also include a plurality of mirrors 118 configured to direct light propagating along path 106 to a surface of a specimen.
  • the measurement device may also include reflecting objective 120 configured to direct the light to the surface of the specimen.
  • a suitable reflecting objective may have a numerical aperture (“NA”) of approximately 0.1 such that light may be may be directed at a surface of the specimen at high angles of incidence.
  • NA numerical aperture
  • Measurement 122 may be configured to split the light returned from the surface of the specimen into two reflected light beams based on the polarization state of the light.
  • analyzer 112 may be configured to generate two separate beams of light having substantially different polarization states.
  • measurement device may also include autofocus sensor 124 .
  • Autofocus sensor 124 may include a split photodiode detector configured to receive a substantially focused image of the illuminated spot on the specimen. The focused image of the spot may be provided by beam splitter 125 positioned along an optical path between analyzer 122 and mirror 118 .
  • the beam splitter may be configured to direct a portion of the light returned from specimen 104 to the autofocus sensor.
  • Autofocus sensor 124 may include two photodiodes configured to measure an intensity of the image and to send a signal representative of the measured intensity to a processor.
  • the output of autofocus sensor may be called a focus signal.
  • the focus signal may be a function of sample position.
  • the processor may be configured to determine a focus position of the specimen with respect to the measurement device using a position of an extremum in the focus signal.
  • the measurement device may also include mirror 126 configured to direct light returned from the surface of the specimen to spectrometer 128 .
  • Spectrometer 128 may be configured to measure an intensity of the s and p components of reflectance across a spectrum of wavelengths.
  • the term “s component” is generally used to describe the component of polarized radiation having an electrical field that is substantially perpendicular to the plane of incidence of the reflected beam.
  • the term “p component” is generally used to describe the component of polarized radiation having an electrical field in the plane of incidence of the reflected beam.
  • the measured reflectance spectrum may be used to determine a critical dimension, a height, and a sidewall angle of a feature on the surface of the specimen as described herein.
  • a relative reflectance spectrum may be obtained by dividing the intensity of the returned light at each wavelength measured by spectrometer 128 by a relative reference intensity at each wavelength measured by reference spectrometer 110 of the measurement device.
  • the relative reflectance spectrum may be fitted to a theoretical model of the data such that a critical dimension, a height, and a sidewall angle may be determined.
  • measurement device 74 and measurement device 76 of system 70 may be configured as a coherence probe microscope, an interference microscope, or an optical profilometer.
  • a coherence probe microscope may be configured as a specially adapted Linnik microscope in combination with a video camera, a specimen transport stage, and data processing electronics.
  • other interferometric optical profiling microscopes and techniques such as Fringes of Equal Chromatic Order (“FECO”), Nomarski polarization interferometer, differential interference contrast (“DIC”), Tolansky multiple-beam interferometry, and two-beam-based interferometry based on Michelson, Fizeau, and Mirau may be adapted to the system.
  • FECO Fringes of Equal Chromatic Order
  • DIC differential interference contrast
  • Tolansky multiple-beam interferometry Tolansky multiple-beam interferometry
  • two-beam-based interferometry based on Michelson, Fizeau, and Mirau
  • the measurement device may utilize either broad band or relatively narrow band light to develop a plurality of interference images taken at different axial positions (elevations) relative to the surface of a specimen.
  • the interference images may constitute a series of image planes.
  • the data in these planes may be transformed by an additive transformation on video signal intensities.
  • the transformed image data may be used to determine an absolute mutual coherence between the object wave and reference wave for each pixel in the transformed plane.
  • Synthetic images may be formed whose brightness may be proportional to the absolute mutual coherence as the optical path length is varied.
  • a measurement device configured as an interference microscope may include a energy source such as a xenon lamp configured to emit an incident beam of light.
  • An appropriate energy source may also include a light source configured to emit coherent light such as light that may be produced by a laser.
  • the measurement device may further include additional optical components configured to direct the incident beam of light to a surface of the specimen.
  • additional optical components may include condenser lenses, filters, diffusers, aperture stops, and field stops. Additional optical components may also include beam splitters, microscopic objectives, and partially transmissive mirrors.
  • the optical components may be arranged within the measurement device such that a first portion of the incident beam of light may be directed to a surface of a specimen.
  • the optical components may be further arranged within the measurement device such that a second portion of the incident beam of light may be directed to a reference mirror.
  • the second portion of the incident beam of light may be generated by passing the incident beam of light through a partially transmissive mirror prior to directing the sample beam to a surface of the specimen.
  • Light reflected from the surface of the specimen may then be combined with light reflected from the reference mirror.
  • the detection system may include a conventional interferometer. The reflected incident beam of light may be combined with the reference beam prior to striking the interferometer. Since the incident beam of light reflected from the surface of the specimen and the reference beam reflected from the reference mirror are not in phase, interference patterns may develop in the combined beam. Intensity variations of the interference patterns in the combined beam may be detected by the interferometer.
  • the interferometer may be configured to generate a signal responsive to the detected intensity variations of the interference patterns of the combined beam.
  • the generated signal may be processed to provide surface information about the measured surface.
  • the measurement device may also include a spotter microscope to aid in control of the incident beam of light.
  • the spotter microscope may be electronically coupled to the measurement device to provide some control of the incident beam of light. Examples of interference microscopes and methods of use are illustrated in U.S. Pat. No. 5,112,129 to Davidson et al., U.S. Pat. No. 5,438,313 to Mazor et al., U.S. Pat. No. 5,712,707 to Ausschnitt et al., U.S. Pat. No.
  • a measurement device configured as an optical profilometer may be used to determine a height of a surface of a specimen.
  • Optical profilometers may be configured to use light scattering techniques, light sectioning, and various interferometric optical profiling techniques as described herein.
  • An optical profilometer may be configured to measure interference between light on two beam paths. As a height of a surface of a specimen changes, one of the beam path lengths may change thereby causing a change in the interference patterns. Therefore, the measured interference patterns may be used to determine a height of a surface of a specimen.
  • a Nomarski polarization interferometer may be suitable for use as an optical profilometer.
  • an optical profilometer may include a light source such as a tungsten halogen bulb configured to emit an incident beam.
  • the light source may be configured to emit light of various wavelengths such as infrared light, ultraviolet light, and/or visible light.
  • the light source may also be configured to emit coherent light such as light produced from a laser.
  • the optical profilometer may also include optical components configured to direct the light to a surface of a specimen. Such optical components may include any of the optical components as described herein.
  • the optical profilometer may further include a rotating analyzer configured to phase shift the electromagnetic radiation, a charge coupled device (“CCD”) camera, a frame grabber, and electronic processing circuits.
  • CCD charge coupled device
  • a frame grabber is a device that may be configured to receive a signal from a detector such as a CCD camera and to convert the signal (i.e., to digitize an image).
  • a quarter wavelength plate and spectral filter may also be included in the optical profilometer.
  • a polarizer and Nomarski prism may be configured to illuminate the specimen with two substantially orthogonally polarized beams laterally offset on the specimen surface by a distance smaller than the resolution limit of the objectives. After returned from the specimen, the light beams may be recombined by the Nomarski prism.
  • the optical profilometer may include a conventional interferometer. Interference patterns of the recombined light beams may be detected by the interferometer. The detected interference patterns may be used to determine a surface profile of the specimen.
  • An example of an optical profilometer is illustrated in U.S. Pat. No. 5,955,661 to Samsavar et al., which is incorporated by reference as if fully set forth herein.
  • An example of a measurement device configured to determine overlay misregistration is illustrated in U.S. patent application Ser. No. 09/639,495, “Metrology System Using Optical Phase,” to Nikoonahad et al., filed Aug. 14, 2000, and is incorporated by reference as if fully set forth herein.
  • measurement device 78 may be configured as a pattern recognition device.
  • Measurement device 78 may include a light source such as a lamp configured to emit broadband light, which may include visible and ultraviolet radiation.
  • the measurement device may also include a beam splitting mirror configured to direct a portion of the light emitted by the light source to an objective thereby forming a sample beam of light.
  • the objective may include reflective objectives having several magnifications.
  • the objective may include a 15 ⁇ Schwartzchild design all-reflective objective, a 4 ⁇ Nikon CFN Plan Apochromat, and a 1 ⁇ UV transmissive objective.
  • the three objectives may be mounted on a turret configured to rotate such that one of the three objective may be placed in a path of the sample beam of light.
  • the objective may be configured to direct the sample beam of light to a surface of a specimen.
  • Light returned from the surface of the specimen may pass back through the objective and the beam splitting mirror to a sample plate of the measurement device.
  • the sample plate may be a reflective fused silica plate with an aperture formed through the plate.
  • the light returned from the surface of the specimen may be partially reflected off of the sample plate and through a relatively short focal length achromat.
  • the returned light may be reflected from a folding mirror to a beam splitter cube.
  • the beam splitter cube may be configured to direct a portion of the returned light to a pentaprism.
  • the pentaprism may be configured to reflect the portion of the returned light.
  • the reflected portion of the returned light may also pass through additional optical components of measurement device 78 such as a relatively long focal length achromat and a filter.
  • the reflected portion of the returned light may pass to a folding mirror configured to direct the returned light to a video camera.
  • the video camera may be configured to generate a non-inverted image of the surface of the specimen.
  • the measurement device may be configured as a non-imaging scatterometer, a scatterometer, or a spectroscopic scatterometer.
  • Scatterometry is a technique involving the angle-resolved measurement and characterization of light scattered from a structure. For example, structures arranged in a periodic pattern on a specimen such as repeatable pattern features may scatter or diffract incident light into different orders. A diffracted light pattern from a structure may be used as a “fingerprint” or “signature” for identifying a property of the repeatable pattern features.
  • a diffracted light pattern may be analyzed to determine a property of repeatable pattern features on a surface of a specimen such as a period, a width, a step height, a sidewall angle, a thickness of underlying layers, and a profile of feature on a specimen.
  • a scatterometer may include a light source configured to direct light of a single wavelength toward a surface of the specimen.
  • the light source may include a gas laser or a solid state laser diode.
  • the light source may be configured to direct light of multiple wavelengths toward a surface of the specimen.
  • the scatterometer may be configured as a spectroscopic scatterometer.
  • the light source may be configured to emit broadband radiation.
  • An appropriate broadband light source may include a white light source coupled to a fiber optic cable configured to randomize a polarization state of the emitted light and may create a substantially uniform incident beam of light. Light emitted from the fiber optic cable may pass through a plurality of optical components arranged within the measurement device.
  • light emitted from the fiber optic cable may pass through a slit aperture configured to limit a spot size of the incident beam of light.
  • a spot size may be generally defined as a surface area of a specimen that may be illuminated by an incident beam of light.
  • Light emitted from the fiber optic cable may also pass through a focusing lens.
  • light emitted from the fiber optic cable may be further passed through a polarizer configured to produce an incident beam of light having a known polarization state. The incident beam of light having a known polarization state may be directed to a surface of the specimen.
  • the scatterometer may also include a detection system that may include a spectrometer.
  • the spectrometer may be configured to measure an intensity of different wavelengths of light scattered from a surface of a specimen.
  • the zeroth diffraction order intensity may be measured.
  • measurement of higher diffraction order intensities may also be possible.
  • a signal responsive to the zeroth and/or higher diffraction order intensities at different wavelengths generated by the spectrometer may be sent to a processor coupled to the spectrometer.
  • the processor may be configured to determine a signature of a structure on a surface of the specimen.
  • the processor may be configured to determine a property of repeatable pattern features on the surface of the specimen.
  • the processor may be further configured to compare the determined signature to signatures of a database.
  • Signatures of the database may include signatures determined experimentally with specimens having known characteristics and/or signatures determined by modeling.
  • a property of a repeatable pattern feature may include a period, a width, a step height, a sidewall angle, a thickness of underlying layers, and a profile of the features on a specimen
  • the scatterometer may include a polarizer coupled to the illumination system.
  • the polarizer may be further configured to transmit light emitted by a light source of the illumination system of a first polarization state and to reflect light emitted by a light source of a second polarization state.
  • the scatterometer may also include an analyzer coupled to the detection system.
  • the analyzer may be configured to transmit light of substantially the same polarization state as the polarizer.
  • the analyzer may be configured to transmit light scattered from the surface of the specimen having the first polarization state.
  • the spectrometer may include a stage coupled to the illumination system and the detection system. The stage may be configured as described herein.
  • characteristics of repeatable pattern features having substantially different characteristics formed on a surface of a specimen may be determined sequentially or simultaneously.
  • Examples of measurement devices are illustrated in PCT Application No. WO 99/45340 to Xu et al., and is incorporated by reference as if fully set forth herein. Additional examples of measurement devices configured to measure light scattered from a specimen are illustrated in U.S. Pat. No. 6,081,325 to Leslie et al., U.S. Pat. No. 6,201,601 to Vaez-Iravani et al., and U.S. Pat. No. 6,215,551 to Nikoonahad et al., and are incorporated by reference as if fully set forth herein.
  • a measurement device such as a scatterometer may be either an imaging device or a non-imaging device.
  • imaging devices a lens may capture light scattered from a surface of a specimen. The lens may also preserve spatial information encoded in the reflected light (e.g., a spatial distribution of light intensity).
  • the scatterometer may include a detector configured as an array of light sensitive devices such as a charge-coupled device (“CCD”) camera, a CMOS photodiode, or a photogate camera.
  • CCD charge-coupled device
  • CMOS photodiode e.g., CMOS photodiode
  • a photogate camera e.g., a photogate camera.
  • light from a light source may be directed to a relatively small area on a surface of a specimen.
  • a detector such as a photomultiplier tube, a photodiode, or an avalanche photodiode may detect scattered or diffracted light and may produce a signal proportional to the integrated light
  • the measurement device may be configured as a bright field imaging device, a dark field imaging device, or a bright field and dark field imaging device.
  • “Bright field” generally refers to a collection geometry configured to collect specularly reflected light from a specimen.
  • a bright field collection geometry may have any angle of incidence although typically it may have an angle of incidence normal to the specimen plane.
  • a bright field imaging device may include a light source configured to direct light to a surface of a specimen.
  • the light source may also be configured to provide substantially continuous illumination of a surface of a specimen.
  • the light source may be, for example, a fluorescent lamp tube. Continuous illumination may also be achieved by a string of point light sources coupled to a light diffusing element.
  • the light source may also include any of the light sources as described herein.
  • a bright field imaging device may also include a bright field imaging system configured to collect bright field light propagating along a bright field path from the surface of a specimen.
  • the bright field light may include light specularly reflected from the surface of the specimen.
  • the bright field imaging system may include optical components such as slit mirrors and an imaging lens.
  • the slit mirrors may be configured to direct bright field light propagating along a bright field path from the surface of a specimen to the imaging lens.
  • the imaging lens may be configured to receive bright field light reflected from the slit mirrors.
  • the imaging lens may be, for example, a fixed lens configured to reduce optical aberrations in the bright field light and to reduce effects of intensity reduction at an edge of the imaging field.
  • the imaging lens may also be configured to concentrate light passing through the lens onto light sensitive devices positioned behind the imaging lens.
  • the light sensitive devices may include, but are not limited to, an 8000 PN diode element line scan sensor array, a CCD camera, a TDI camera, or other suitable device type.
  • One or more output signals of the light sensitive devices may be transmitted to an image computer for processing.
  • An image computer may be a parallel processing system that may be commonly used by the machine vision industry.
  • the image computer may also be coupled to a host computer configured to control the bright field imaging device and to perform data processing functions.
  • data processing functions may include determining a presence of defects on a surface of a specimen by comparing multiple output signals of the light sensitive devices generated by illuminating multiple locations on the specimen. Multiple locations on the specimen may include, for example, two dies of a specimen, as illustrated in FIG. 1.
  • Dark field generally refers to a collection geometry configured to collect only scattered light from a specimen.
  • Double dark field generally refers to an inspection geometry using a steep angle oblique illumination, and a collection angle outside of the plane of incidence. Such an arrangement may include a near-grazing illumination angle and a near-grazing collection angle to suppress surface scattering. This suppression occurs because of the dark fringe (also known as the Weiner fringe) near the surface that may occur due to interfering incident and reflected waves.
  • a dark field imaging device may include any of the light sources as described herein.
  • a double dark field device may be either an imaging device or a non-imaging device.
  • a dark field imaging device may also include a dark field imaging system configured to collect dark field light propagating along a dark field path from the surface of a specimen.
  • the dark field imaging system may include optical components, an image computer, and a host computer as described herein. In this manner, a presence of defects on a surface of a specimen may be determined from a dark field image of the specimen as described herein.
  • An example of an inspection system configured for dark field imaging is illustrated in PCT Application No. WO 99/31490 to Almogy, and is incorporated by reference as if fully set forth herein.
  • a measurement device may include bright field and dark field light sources, which may include one or more light sources. Each of the light sources may be arranged at different angles of incidence with respect to the surface of the specimen. Alternatively, each of the light sources may be arranged at the same angle of incidence with respect to the surface of the specimen.
  • the measurement device may also include bright field and dark field imaging systems as described above.
  • the measurement device may include one or more imaging systems. Each of the imaging systems may be arranged at different angles of incidence with respect to the surface of the specimen. Alternatively, each of the imaging systems may be arranged at the same angle of incidence with respect to the surface of the specimen.
  • the measurement device may be configured to operate as a bright field and dark field imaging device.
  • Each of the imaging systems may be coupled to the same image computer, which may be configured as described above.
  • the image computer may be coupled to a host computer, which may be configured as described above.
  • the host computer may also be configured to control both the bright field components and the dark field components of the measurement device.
  • the bright field, dark field, and bright field and dark field devices may also be configured as non-imaging devices.
  • the detectors described above may be replaced with a photomultiplier tube, a photodiode, or an avalanche photodiode.
  • Such detectors may be configured to produce a signal proportional to the integrated light intensity of the bright field light and/or the dark field light.
  • FIG. 12 illustrates a schematic side view of an alternate embodiment of system 32 configured to determine at least two properties of a specimen during use.
  • elements of system 32 which may be similarly configured in each of the embodiments illustrated in FIGS. 3 - 7 and 12 have been indicated by the same reference characters.
  • stage 42 may be similarly configured in each of the embodiments illustrated in FIGS. 3 - 7 and 12 .
  • front side and back side generally refer to opposite sides of a specimen.
  • a “front side”, or “upper surface,” of a specimen such as a wafer may be used to refer to a surface of the wafer upon which semiconductor devices may be formed.
  • a “back side”, or a “bottom surface,” of a specimen such as a wafer may be used to refer to a surface of the wafer which is substantially free of semiconductor devices.
  • System 32 may include stage 42 configured to support specimen 40 . As shown in FIG. 12, stage 42 may contact a back side of the specimen proximate to an outer lateral edge of the specimen to support the specimen.
  • the stage may include a robotic wafer handler configured to support a specimen.
  • an upper surface of the stage may be configured to have a surface area less than a surface area of the back side of the specimen. In this manner, stage 42 may contact a back side of the specimen proximate to a center, or an inner surface area, of the specimen to support the specimen.
  • the stage may include a vacuum chuck or an electrostatic chuck.
  • Such a stage may be disposed within a process chamber of a process tool such as a semiconductor fabrication process tool and may be configured to support the specimen during a process step such as a semiconductor fabrication process step. Such a stage may also be included in any of the other measurement devices as described herein.
  • System 32 may include a measurement device coupled to the stage.
  • the measurement device may include a plurality of energy sources 44 .
  • a first of the plurality of energy sources 44 may be configured to direct energy toward front side 40 a of specimen 40 .
  • two detectors 46 a and 46 b may be coupled to the first of the plurality of energy sources.
  • the two detectors may be positioned at different angles with respect to the first energy source.
  • each of the detectors may be configured to detect different types of energy propagating from front side 40 a of specimen 40 .
  • detectors 46 b may be configured to detect dark field light propagating from the front side of specimen 40 .
  • detector 46 a may be configured to detect bright field light propagating from the front side of specimen 40 .
  • a single detector may be included in the measurement device and may be coupled to the first energy source. Additional components such as component 48 may also be coupled to the first energy source.
  • component 48 may include any of the optical components as described herein.
  • the measurement device may also include component 50 .
  • Component 50 may include, for example, a beam splitter configured to transmit light from the light source toward specimen 40 and to reflect light propagating from specimen 40 toward detector 46 a .
  • the measurement device may also include additional component 52 coupled to detector 46 a .
  • Component 52 may be configured as described in above embodiments. In addition, such a component may also be coupled to detector 46 b .
  • the position and the configuration of each of the components may vary, however, depending on, for example, the properties of the specimen to be measured with the system.
  • a second of the plurality of energy sources 44 may be configured to direct energy toward back side 40 b of specimen 40 .
  • the measurement device may also include detector 46 c coupled to the second energy source.
  • multiple detectors may be coupled to the second energy source.
  • Detector 46 c may be positioned with respect to the second energy source such that a particular type of energy propagating from back side 40 b of specimen 40 may be detected.
  • detector 46 c may be positioned with respect to the second energy source such that dark field light propagating along a dark field path from the back side 40 b of specimen 40 may be detected.
  • Additional component 48 may also be coupled to the second energy source.
  • Component 48 may include any of the optical components as described herein.
  • system 32 may include processor 54 .
  • Processor 54 may be coupled to each of the detectors 46 a , 46 b , and 46 c , as shown in FIG. 12. The processor may be configured as described herein.
  • system 32 may be configured to determine at least two properties on at least two surfaces of a specimen.
  • system 32 may be configured to determine a presence of defects on a front side of the specimen.
  • system 32 may be configured to determine a presence of defects on a back side of the specimen.
  • the system may be configured to determine a presence of defects on an additional surface of the specimen.
  • the system may be configured to determine a presence of defects on a front side, a back side, and an edge of the specimen.
  • the term “an edge” of a specimen generally refers to an outer lateral surface of the specimen substantially normal to the front and back sides of the specimen.
  • the system may also be configured to determine a presence of defects on more than one surface of the specimen simultaneously.
  • the system may also be configured to determine a number of defects on one or more surfaces of a specimen, a location of defects on one or more surfaces of a specimen, and/or a type of defects on one or more surfaces of a specimen sequentially or substantially simultaneously.
  • the processor may be configured to determine a number, location, and/or type of defects on one or more surfaces of a specimen from the energy detected by the measurement device. Examples of methods for determining the type of defect present on a surface of a specimen are illustrated in U.S. Pat. No. 5,831,865 to Berezin et al., and is incorporated by reference as if fully set forth herein.
  • processor 54 may be further configured to determine at least three properties of the specimen.
  • the three properties may include a critical dimension of the specimen, an overlay misregistration of the specimen, and a presence, a number, a location, and/or a type of defects on one or more surfaces of the specimen.
  • the system may be configured to determine a critical dimension of the specimen, an overlay misregistration of the specimen, and a presence, a number, a location, and/or a type of defects on one or more surfaces of the specimen sequentially or substantially simultaneously.
  • the system may be configured to determine micro and/or macro defects on one or more surfaces of a specimen sequentially or substantially simultaneously.
  • An example of a system configured to determine macro and micro defects sequentially is illustrated in U.S. Pat. No. 4,644,172 to Sandland et al., which is incorporated by reference as if fully set forth herein.
  • Macro-micro optics, as described by Sandland may be incorporated into a measurement device, as described herein, which may be coupled to one stage. The stage may be configured as described herein. In this manner, the macro-micro optics of Sandland may be configured to determine micro and/or macro defects on one or more surfaces of a specimen substantially simultaneously.
  • the macro-micro optics of Sandland may be configured to determine micro and macro defects on one or more surfaces of a specimen sequentially while the specimen is disposed on a single stage.
  • the measurement device may include optical components configured as illustrated in U.S. Pat. No. 5,917,588 to Addiego, which is incorporated by reference as if fully set forth herein.
  • a measurement device, as described herein may include micro optics, as described by Sandland, coupled to macro optics of the after develop inspection (“ADI”) Macro inspection system, as described by Addiego.
  • ADI after develop inspection
  • Micro defects may typically have a lateral dimension of less than approximately 25 ⁇ m.
  • Macro defects may include yield-limiting large scale defects having a lateral dimension of greater than about 25 ⁇ m.
  • Such large scale defects may include resist or developer problems such as lifting resist, thin resist, extra photoresist coverage, incomplete or missing resist, which may be caused by clogged dispense nozzles or an incorrect process sequence, and developer or water spots.
  • Additional examples of macro defects may include regions of defocus (“hot spots”), reticle errors such as tilted reticles or incorrectly selected reticles, scratches, pattern integrity problems such as over or under developing of the resist, contamination such as particles or fibers, and non-uniform or incomplete edge bead removal (“EBR”).
  • hot spots generally refers to a photoresist exposure defect that may be caused, for example, by a depth of focus limitation of an exposure tool, an exposure tool malfunction, a non-planar surface of a specimen at the time of exposure, foreign material on a back side of a specimen or on a surface of a supporting device, or a design constraint.
  • foreign material on the back side of the specimen or on the surface of a supporting device may effectively deform the specimen.
  • Such deformation of the specimen may cause a non-uniform focal surface during an exposure process.
  • such a non-uniform focal surface may be manifested on the specimen as an unwanted or missing pattern feature change.
  • Each of the above described defects may have a characteristic signature under either dark field or bright field illumination.
  • scratches may appear as a bright line on a dark background under dark field illumination.
  • Extra photoresist and incomplete photoresist coverage may produce thin film interference effects under bright field illumination.
  • large defocus defects may appear as a dim or bright pattern in comparison to a pattern produced by a laterally proximate die under dark field illumination.
  • Other defects such as defects caused by underexposure or overexposure of the resist, large line width variations, large particles, comets, striations, missing photoresist, underdeveloped or overdeveloped resist, and developer spots may have characteristic signatures under bright field and dark field illumination.
  • a surface of specimen 10 may have a plurality of defects.
  • Defect 14 on the surface of specimen 10 may be incomplete resist coverage.
  • incomplete resist coverage may be caused by a malfunctioning coating tool or a malfunctioning resist dispense system.
  • Defect 16 on the surface of specimen 10 may be a surface scratch.
  • Defect 18 on the surface of specimen 10 may be a non-uniform region of a layer of resist.
  • such a non-uniform region of the resist may be caused by a malfunctioning coating tool or a malfunctioning post apply bake tool.
  • Defect 20 on the surface of specimen 10 may be a hot spot.
  • defect 22 on the surface of specimen 10 may be non-uniform edge bead removal (“EBR”).
  • EBR edge bead removal
  • EP 0 993 019 A2 to Dotan EP 1 061 358 A2 to Dotan, EP 1 061 571 A2 to Ben-Porath, EP 1 069 609 A2 to Harvey et al., EP 1 081 489 A2 to Karpol et al., EP 1 081 742 A2 to Pearl et al., and EP 1 093 017 A2 to Kenan et al., which are incorporated by reference as if fully set forth herein.
  • the embodiments described above may also include features of any of the systems and methods illustrated in all of the patents which have been incorporated by reference herein.
  • the systems as described herein may also be configured to determine a flatness measurement of the specimen.
  • “Flatness” may be generally defined as an average of the topographic characteristics of an upper surface of the specimen across a surface area of the specimen.
  • the topographic characteristics may include, but are not limited to, a roughness of an upper surface of a specimen and a planar uniformity of an upper surface of a layer arranged on the specimen. Roughness and planar uniformity of the upper surface of a layer may vary depending on, for example, processes performed on the specimen prior to measurement, which may include, in an example of semiconductor fabrication, etch, deposition, plating, chemical-mechanical polishing, or coating.
  • a processor may be configured to determine at least three properties of the specimen from the detected energy.
  • the three properties may include a critical dimension of the specimen, an overlay misregistration of the specimen, and a flatness of the specimen.
  • the process may be configured to determine four properties of the specimen from the detected energy.
  • the four properties may include critical dimension, overlay misregistration, flatness, and a presence, a number, a location, and/or a type of defects on the specimen.
  • the system may be configured to determine a critical dimension of the specimen, an overlay misregistration of the specimen, a flatness measurement, and/or a presence, a number, a location, and/or a type of defects on a surface of the specimen sequentially or substantially simultaneously.
  • FIG. 13 illustrates a schematic top view of an embodiment of system 32 coupled to a semiconductor fabrication process tool.
  • the system may be coupled to lithography tool 130 .
  • a lithography tool which may be commonly referred to a lithography track or cluster tool, may include a plurality of process chambers 132 , 144 , 146 , 148 , 150 , 154 , and 156 .
  • the number and configuration of the process chambers may vary depending on, for example, the type of wafers processed in the lithography tool. Examples of lithography tools and processes are illustrated in U.S. Pat. No. 5,393,624 to Ushijima, U.S. Pat. No.
  • Lithography tool 130 may be coupled to an exposure tool, which may include exposure chamber 134 .
  • a first portion of the process chambers may be configured to perform a step of a lithography process prior to exposure of a resist.
  • a second portion of the process chambers may be configured to perform a step of the lithography process subsequent to exposure of the resist.
  • lithography tool 130 may also include at least one robotic wafer handler 136 .
  • Robotic wafer handler 136 may be configured to move a specimen from a first process chamber to a second process chamber.
  • the robotic wafer handler may be configured to move along a direction generally indicated by vector 138 .
  • the robotic wafer handler may also be configured to rotate in a direction indicated by vector 140 such that a specimen may be moved from a first process chamber located on first side of the lithography tool to a second process chamber located on a second side of the lithography tool.
  • the first side and the second side may be located on substantially opposite sides of the lithography tool.
  • the robotic wafer handler may also be configured to move a specimen from lithography tool 130 to exposure chamber 134 of the exposure tool. In this manner, the robotic wafer handler may move a specimen sequentially through a series of process chambers such that a lithography process may be performed on the specimen.
  • the robotic wafer handler may be also configured to move specimen 139 from cassette 141 disposed within load chamber 142 of the lithography tool to a process chamber of the lithography tool.
  • the cassette may be configured to hold a number of specimens which may be processed during the lithography process.
  • the cassette may be a front opening unified pod (“FOUP”).
  • the robotic wafer handler may be configured to dispose the specimen in a process chamber such as surface preparation chamber 144 .
  • the surface preparation chamber may be configured to form an adhesion promoting chemical such as hexamethyldisilazane (“HMDS”) on the surface of the specimen.
  • HMDS may be deposited at a temperature of approximately 80° C. to approximately 180° C.
  • the robotic wafer handler may be configured to remove the specimen from surface preparation chamber 144 and place the specimen into chill chamber 146 .
  • chill chamber 146 may be configured to reduce a temperature of the specimen to a temperature suitable for subsequent processing (e.g., approximately 20° C. to approximately 25° C.).
  • an anti-reflective coating may be formed on the surface of the specimen.
  • the anti-reflective coating may be formed on the specimen by spin coating followed by a post apply bake process. Since the post apply bake process for an anti-reflective coating generally may involve heating a coated specimen from approximately 170° C. to approximately 230° C., a chill process may also be performed subsequent to this post apply bake process.
  • a resist may be also formed upon the specimen.
  • the robotic wafer handler may be configured to place the specimen into resist apply process chamber 148 .
  • a resist may be automatically dispensed onto an upper surface of the specimen.
  • the resist may be distributed across the specimen by spinning the specimen at a high rate of speed. The spinning process may dry the resist such that the specimen may be removed from the resist apply process chamber without adversely affecting the coated resist.
  • the robotic wafer handler may be configured to move the specimen from resist apply process chamber 148 to post apply bake process chamber 150 .
  • the post apply bake process chamber may be configured to heat the resist-coated specimen at a temperature of approximately 90° C. to approximately 140° C.
  • the post apply bake process may be used to drive solvent out of the resist and to alter a property of the resist such as surface tension.
  • the robotic wafer handler may be configured to move the specimen from the post apply bake process chamber 150 to chill process chamber 146 . In this manner, a temperature of the specimen may be reduced to approximately 20° C. to approximately 25° C.
  • the robotic wafer handler may also be configured to move the specimen from chill process chamber 146 to exposure chamber 134 .
  • the exposure chamber may include interface system 152 coupled to lithography tool 130 .
  • Interface system 152 may include mechanical device 153 configured to move specimens between the lithography tool and the exposure chamber.
  • the exposure tool may be configured to align a specimen in the exposure chamber and to expose the resist to energy such as deep-ultraviolet light.
  • the exposure tool may be configured to expose the resist to a particular intensity of energy, or dose, and a particular focus condition. Many exposure tools may be configured to alter dose and focus conditions across a specimen, for example, from die to die.
  • the exposure system may also be configured to expose an outer lateral edge of the specimen. In this manner, resist disposed proximal an outer lateral edge of the specimen may be removed. Removing the resist at the outer lateral edge of a specimen may reduce contamination in subsequent processes.
  • the robotic wafer handler may be further configured to move the specimen from exposure chamber 134 to post exposure bake process chamber 154 .
  • the specimen may then be subjected to a post exposure bake process step.
  • the post exposure bake process chamber may be configured to heat the specimen to a temperature of approximately 90° C. to approximately 150° C.
  • a post exposure bake process may drive a chemical reaction in a resist, which may enable portions of the resist to be removed in subsequent processing.
  • the performance of the post exposure process may be critical to the overall performance of the lithography process.
  • the robotic wafer handler may be configured to move the specimen from post expose bake process chamber 154 to chill process chamber 146 .
  • the robotic wafer handler may be configured to move the specimen to develop process chamber 156 .
  • the develop process chamber may be configured to sequentially dispense a developer chemical and water on the specimen such that a portion of the resist may be removed. As such, resist remaining on the specimen may be patterned.
  • the robotic wafer handler may be configured to move the specimen from the develop process chamber to a hard bake process chamber or a post develop bake process chamber.
  • a hard bake process may be configured to heat a specimen to a temperature of approximately 90° C. to approximately 130° C.
  • a hard bake process may drive contaminants and any excess water from the resist and the specimen. The temperature of the specimen may be reduced by chill process as described herein.
  • system 32 may be arranged laterally proximate to lithography tool 130 or another semiconductor fabrication process tool. As shown in FIG. 13, system 32 may be located proximate cassette end 160 of lithography tool 130 or proximate exposure tool end 162 of lithography tool 130 . In addition, a location of system 32 with respect to lithography tool 130 may vary depending on, for example, a configuration of the process chambers within lithography tool 130 and clean room constraints for space surrounding lithography tool 130 . In an alternative embodiment, system 32 may be disposed within lithography tool 130 . A position of system 32 within lithography tool 130 may vary depending on, for example, a configuration of the process chambers within lithography tool 130 . In addition, a plurality of systems 32 may be arranged laterally proximate and/or disposed within lithography tool 130 . Each system may be configured to measure at least two different properties of a specimen. Alternatively, each system may be similarly configured.
  • robotic wafer handler 136 may be configured to move a specimen from lithography tool 130 to a stage within system 32 .
  • robotic wafer handler 136 may be configured to move a specimen to a stage within system 32 prior to or subsequent to a lithography process or between steps of a lithography process.
  • a stage within system 32 may be configured to move a specimen from system 32 to lithography tool 130 .
  • the stage may include a wafer handler configured to move a specimen from system 32 to a process chamber of the lithography tool 130 .
  • the stage of system 32 may be configured to move the specimen from a first process chamber to a second process chamber within lithography tool 130 .
  • System 32 may also be coupled to the stage such that system 32 may move with the stage from a first process chamber to a second process chamber within lithography tool 130 .
  • the system may be configured to determine at least two properties of a specimen as the specimen is being moved from a first process chamber to a second process chamber of lithography tool 130 .
  • An example of an apparatus and a method for scanning a substrate in a processing system is illustrated in European Patent Application No. EP 1 083 424 A2 to Hunter et al., and is incorporated by reference as if fully set forth herein.
  • system 32 may be configured as an integrated station platform (“ISP”) system.
  • An system may be configured as a stand-alone cluster tool.
  • the ISP system may be coupled to a process tool.
  • FIG. 14 illustrates a perspective view of an embodiment of ISP system 158 that may be arranged laterally proximate and coupled to a semiconductor fabrication process tool such as lithography tool 130 .
  • ISP system 158 may be configured as a cluster tool coupled to lithography tool 130 .
  • ISP system 158 may be coupled to cassette end 160 of lithography tool 130 .
  • ISP system 158 further illustrates a perspective view of an embodiment of ISP system 158 coupled to cassette end 160 of lithography tool 130 .
  • ISP system 158 may be also coupled to interface system 152 at exposure tool end 162 of lithography tool 130 .
  • ISP system 158 may be further configured as illustrated in U.S. Pat. No. 6,208,751 to Almogy, which is incorporated by reference as if fully set forth herein.
  • ISP system 158 may also be coupled to multiple process tools.
  • ISP system may be configured as a wafer buffer station between a lithography tool and an etch tool. In this manner, the ISP system may be configured to receive a specimen from the lithography tool subsequent to a lithography process and to send the specimen to an etch tool for an etch process.
  • the ISP system may be configured to determine one or more properties of the specimen between the lithography and etch process.
  • An example of a wafer buffer station is illustrated in PCT Application No. WO 99/60614 to Lapidot, and is incorporated by reference as if fully set forth herein. ISP system 158 may be further configured as described by Lapidot.
  • ISP system 158 may include one or more measurement chambers.
  • the ISP system may have three measurement chambers 172 , 174 , 176 .
  • a measurement device may be disposed within each measurement chamber.
  • Each measurement device may be configured as described herein.
  • the measurement chambers may be arranged in unit 160 .
  • Environmental conditions within unit 160 may be controlled substantially independently from environmental conditions of the space surrounding ISP system 158 .
  • environmental conditions within unit 160 such as relative humidity, particulate count, and temperature may be controlled by controller computer 162 coupled to the ISP system.
  • Such a unit may be commonly referred to as a “mini-environment.”
  • the one or more measurement chambers may be arranged such that first measurement chamber 172 may be located below second measurement chamber 174 and such that second measurement 174 may be located below third measurement chamber 176 . In this manner, a lateral area or “footprint” of the ISP system may be reduced.
  • ISP system 158 may be coupled to a semiconductor fabrication process tool, one front interface mechanical standard (“FIMS”) drop may be coupled to both the semiconductor fabrication process tool and the ISP system. As such, less FIMS drops may be required in a fabrication facility (“fab”), and in particular a 300 mm wafer fab.
  • fab fabrication facility
  • 300 mm wafer fab 300 mm wafer fab
  • a FIMS drop may be a mechanical device configured to lower a FOUP from an overhead transportation system to a semiconductor fabrication process tool or a stand-alone inspection or metrology tool.
  • An example of a specimen transportation system is illustrated in U.S. Pat. No. 3,946,484 to Aronstein et al., and is incorporated by reference as if fully set forth herein.
  • ISP system 158 may also include wafer handler 164 , receiving station 166 , sending station 168 , and buffer cassette station 170 .
  • Receiving station 166 and sending station 168 may be configured such that a wafer handler of a semiconductor fabrication process tool may move a specimen to the receiving station and from the sending station.
  • Buffer cassette station 170 may be configured to hold a number of specimens depending on, for example, the relative input and output rates of a semiconductor fabrication process tool and ISP system 158 .
  • Receiving station 166 may also be configured to alter a position of a specimen such that the specimen may be substantially aligned to a measurement device coupled to one of the measurement chambers.
  • the receiving station may be configured to detect a positioning mark such as a notch or a flat on the specimen and to move the specimen linearly and/or rotatably.
  • Buffer cassette station 170 and receiving station 166 may be further configured a buffer station as illustrated in U.S. Pat. No. 6,212,691 to Dvir, which is incorporated by reference as if fully described herein.
  • the ISP wafer handler may be configured to remove a specimen from the receiving station.
  • the ISP wafer handler may be further configured to move the specimen into one of the measurement chambers.
  • the ISP wafer handler may be configured to move the specimen into each measurement chambers in a sequence. In this manner, the ISP system may be configured to determine at least one property of the specimen in each of the plurality of measurement chambers in a parallel pipeline fashion.
  • the measurement device coupled to each measurement chamber may each be configured to determine a different property of a specimen.
  • a measurement device coupled to first measurement chamber 172 may be configured to determine overlay misregistration of a specimen.
  • a measurement device coupled to second measurement chamber 174 may be configured to determine a critical dimension of the specimen.
  • a measurement device coupled to third measurement chamber 176 may be configured to determine a presence of macro defects on a surface of the specimen.
  • a measurement device coupled to one of the measurement chambers may be configured to determine a presence of micro defects on a surface of the specimen or a thin film characteristic of the specimen.
  • a thin film characteristic may include a thickness, an index of refraction, or an extinction coefficient as described herein.
  • wafer handler 164 may be configured to move the specimen from each measurement chamber to sending station 168 .
  • ISP system 158 may be coupled to a semiconductor fabrication process tool such as lithography tool 130 , properties of a specimen may be determined faster than stand alone metrology and inspection tools. Therefore, a system, as described herein, may reduce the turn-around-time for determining properties of a specimen.
  • a reduced turn-around-time may provide significant advantages for process control. For example, a reduced turn-around-time may provide tighter process control of a semiconductor fabrication process than stand alone metrology and inspection tools. Tighter process control may provide, for instance, a reduced variance in critical dimension distributions of features on a specimen.
  • a system as described herein may be configured to adjust a drifting process mean to a target value and to reduce variance in critical dimension distribution of features on a specimen by accounting for autocorrelation in the critical dimension data.
  • the critical dimension distribution of features on a specimen after a develop process step may be reduced by altering a parameter of an instrument coupled to an exposure tool or a develop process chamber.
  • Such an altered parameter may include, but is not limited to, an exposure dose of an exposure process or a develop time of a develop process.
  • a linear model of control may be used and only the offset terms may be updated or adapted.
  • a parameter of an instrument coupled to a semiconductor fabrication tool such as the exposure tool may be altered by using an exponentially weighted moving average of the offset terms.
  • Variance in critical dimension distribution after develop may be dramatically reduced by a system as described herein. For example, adjusting a critical dimension mean to a target value of a lot (i.e., 25) of wafers using lot-to-lot feedback control may reduce critical dimension variance by approximately 65%.
  • lot-to-lot feedback control may be effective if critical dimension within lot critical dimensions are correlated. For example, low autocorrelation may result in no reduction of critical dimension variance using lot-to-lot feedback control. High autocorrelation, however, may result in a 15% reduction of critical dimension variance using lot-to-lot feedback control. Controlling critical dimension variance using wafer-to-wafer feedback control, however, may be effective even if lot critical dimensions are non correlated.
  • low autocorrelation may result in a 25% reduction in critical dimension variance using wafer-to-wafer feedback control.
  • Successful feedback control may depend on a proven APC frame work, robust process modeling, high throughput metrology, efficient production methodology to reduce metrology delay, and enabling of process tool wafer based control.
  • the effect of turn-around-time on control of production wafers may also be examined by using multiple lot averaged control to adjust drift in the mean critical dimension.
  • a target critical dimension may be set to be approximately equal to the mean of the critical dimension data.
  • lot-to-lot control may result in an 8% improvement in critical dimension variance.
  • wafer-to-wafer control may results in an 18% improvement in critical dimension variance.
  • FIG. 16 illustrates a schematic side view of an embodiment of system 32 disposed within measurement chamber 178 .
  • system 32 may include stage 42 disposed within measurement chamber 178 .
  • system 32 may include measurement device 34 disposed within measurement chamber 178 .
  • Measurement chamber 178 may also include opening 179 and a mechanical device (not shown) coupled to opening 179 .
  • measurement chamber 178 may include a plurality of such openings and a mechanical device coupled to each of the openings.
  • the mechanical device may be configured to place an object such as a thin sheet of metal in front of opening 179 and to remove the object from the opening.
  • the mechanical device may be configured to provide access to the measurement chamber, for example, when specimen 40 is being disposed upon stage 42 through opening 179 .
  • Specimen 40 may be disposed upon stage 42 by any of the methods or devices as described herein.
  • the object may be placed in front of opening 179 by the mechanical device such that environment conditions such as relative humidity, temperature, and particulate count within the measurement chamber may be maintained and/or controlled.
  • system 32 may be configured to determine a property of specimen 40 under maintained and/or controlled environmental conditions, which may increase the reliability of the system.
  • exposure of components of system 32 including, but not limited to, measurement device 34 to environmental conditions external to the measurement chamber may be reduced. As such, contamination and/or degradation of the components of system 32 may be reduced thereby reducing the probability of system failure, associated maintenance and repair costs, and increasing a lifetime of the system.
  • the system may also include processor 54 disposed outside of measurement chamber 178 .
  • the processor which may be configured as a controller computer, may be accessed outside of the measurement chamber, for example, by an operator.
  • arranging processor 54 external to measurement chamber 178 may reduce the dimensions of measurement chamber 178 .
  • system 32 may be coupled to or disposed within a larger number of process tools than a conventional metrology and/or inspection system.
  • measurement chamber 178 may be configured to have approximately the same dimensions as a process chamber of a semiconductor fabrication process tool.
  • system 32 may be disposed within an existing semiconductor fabrication process tool, as shown in FIG. 13, without altering an arrangement of the process chambers of the semiconductor fabrication process tool.
  • measurement chamber 178 may disposed within the tool by replacing one of the process chambers with measurement chamber 178 .
  • System 32 may be further configured as described herein.
  • FIG. 17 illustrates a schematic side view of an embodiment of measurement chamber 178 coupled to a process tool such as a semiconductor fabrication process tool.
  • measurement chamber 178 may be arranged laterally proximate to process chamber 180 of a process tool.
  • the measurement chamber may be arranged vertically proximate to process chamber 180 .
  • the measurement chamber may be arranged above or below process chamber 180 .
  • process chamber 180 may be a resist apply chamber as described herein.
  • specimen 182 may be disposed upon stage 184 .
  • Stage 184 may be configured as a motorized rotating chuck or any other device known in the art.
  • a resist may be dispensed onto specimen 182 from dispense system 186 .
  • Dispense system 186 may be coupled to a resist supply and may include a number of pipes and/or hoses and controls such as valves such that resist may be transferred from the resist supply to specimen 182 .
  • the dispense system may also be coupled to a controller computer, which may be configured to control the dispense system.
  • the controller computer may include processor 54 as described herein.
  • Stage 184 may be configured to rotate such that the dispensed resist may spread over specimen 182 and such that solvent may evaporate from the dispensed resist.
  • Process chamber 180 may include any of the process chambers as described herein.
  • measurement chamber 178 , process chamber 180 , processor 54 may be arranged in a modular architecture as illustrated in PCT Application No. WO 99/03133 to Mooring et al., which is incorporated by reference as if fully set forth herein.
  • specimen 182 may be easily and quickly be moved from process chamber 180 to measurement chamber 178 (or from measurement chamber 178 to process chamber 180 ) by a robotic wafer handler of a process tool, by a wafer handler of an ISP system, or by stage 42 as described herein.
  • system 32 may be configured to determine at least a first property and a second property of the specimen prior between process steps of a process. For example, in a lithography process, first and second properties of a specimen may be determined subsequent to resist apply and prior to exposure. In an additional example, first and second properties of a specimen may be determined subsequent to exposure and prior to post exposure bake.
  • first and second properties of a specimen may be determined subsequent to post exposure bake and prior to develop.
  • First and second properties of a specimen may also be determined subsequent to develop.
  • such a system may be configured to determine at least a first property and a second property of the specimen prior to substantially an entire process or subsequent to substantially an entire process.
  • a system configured as described above may also have a relatively short turn-around-time. As described above, therefore, such a system may provide several advantages over currently used metrology and inspection systems.
  • a process tool such as a semiconductor fabrication process tool may include a number of support devices such as stage 184 , as shown in FIG. 17, which may be configured to support the specimen during a process step.
  • a support device may be disposed within each process chamber coupled to a process tool.
  • Appropriate support devices may include, but are not limited to, a spin coater, a bake plate, a chill plate, an exposure stage, and an electrostatic chuck in an etch or deposition chamber.
  • Each support device may have an upper surface upon which a specimen may be disposed.
  • An upper surface of each support device may be substantially parallel to an upper surface of other support devices arranged within the process tool, i.e., orientations of each support device within each process chamber, respectively, may be substantially parallel.
  • a stage of a system may also have an upper surface which may be substantially parallel to an upper surface of a support device of the process tool, as shown in FIG. 17, i.e., an orientation of the stage within a measurement chamber such as measurement chamber 178 may be substantially parallel to orientations of each support device within each process chamber, respectively.
  • a stage of a system may have an upper surface that may be arranged at an angle with respect to an upper surface of a support device, i.e., an orientation of the stage within a measurement chamber may be at an angle to orientations of each support device within each process chamber, respectively.
  • an upper surface of the stage may be arranged at a 90° angle with respect to an upper surface of a support device of a process tool.
  • an upper surface of the stage may also be arranged at an angle of less than 90° with respect to an upper surface of the support device. At such angles, a vacuum may be pulled on a surface of a specimen to maintain a position of the specimen on the stage.
  • An orientation of a measurement device disposed within a measurement chamber with such a stage may also be altered.
  • the measurement device may be arranged at an angle such that a spatial relationship (i.e., any of the spatial arrangements shown in FIGS. 3 - 7 , 11 a - 12 , and 16 - 17 ) between the measurement device and the stage may be maintained.
  • Such a stage may also be arranged at an angle with respect to an illumination system and a detection system of the measurement device. In this manner, a specimen may be tilted with respect to the measurement device during inspection or metrology processes which may be performed by a system as described herein.
  • An angled orientation of the stage within a measurement chamber as described above may allow a lateral dimension of the measurement chamber to be reduced.
  • the illumination system, the detection system, and the stage may be arranged in a more compact geometry than conventional inspection and metrology systems.
  • a lateral dimension of a measurement chamber may be greatly reduced for relatively large diameter specimen such as 200 mm wafers and 300 mm wafers.
  • disposing such a measurement device within a semiconductor fabrication process tool may be less likely to require retrofitting of the semiconductor fabrication process tool. Therefore, existing configurations of semiconductor fabrication process tools may be less likely to prohibit disposing the system within the semiconductor fabrication process tool.
  • FIG. 18 illustrates a schematic side view of an embodiment of system 32 coupled to process chamber 188 .
  • the process chamber may be a process chamber coupled to a semiconductor fabrication process tool.
  • Stage 190 may be disposed within process chamber 188 .
  • Stage 190 may be configured to support specimen 192 , for example, during a semiconductor fabrication process step.
  • System 32 may be coupled to process chamber 188 such that measurement device 34 may be external to process chamber 188 but may be coupled to stage 190 disposed within the process chamber.
  • process chamber 188 include one or more relatively small sections 194 of a substantially transparent material disposed within one or more walls of the process chamber.
  • Sections 194 may be configured to transmit a beam of energy from an energy source of the measurement device outside the process chamber to a surface of a specimen within the process chamber. Sections 194 may also be configured to transmit a beam of energy returned from the surface of the specimen to a detector of measurement device 34 outside process chamber 188 .
  • the substantially transparent material may have optical or material properties such that the beam of energy from the energy source and the returned beam of energy may pass through sections 194 of the process chamber without undesirably altering the properties of the directed and returned energy beams.
  • undesirably altering the properties of the energy beams may include, but is not limited to, altering a polarization or a wavelength of the energy beams and increasing chromatic aberration of the energy beams.
  • sections 194 may be configured such that deposition of process residue from a chemical using during processing of a specimen may be reduced as described in PCT Application No. 99/65056 to Grimbergen et al., which is incorporated by reference as if fully set forth herein.
  • An appropriate system and method for coupling a measurement device external to a process chamber and a stage disposed within the process chamber may vary, however, depending on, for example, a configuration of the process chamber and/or a configuration of the measurement device.
  • the placement and dimensions of relatively small section 194 disposed within the walls of process chamber 188 may vary depending on the configuration of the components within the process chamber. As such, exposure of measurement device 34 to chemicals and environmental conditions within process chamber 188 may be reduced, and even substantially eliminated.
  • measurement device 34 may be externally coupled to process chamber 188 such that the measurement device may not alter operation, performance, or control of a process step carried out in process chamber 188 .
  • a measurement device may be configured to direct energy toward a surface of a specimen during a step of a process such as, in an example of a lithography process as described above, during a chill process subsequent to a post apply bake process, a post exposure bake process, a develop process, or any of the process steps as described herein.
  • the measurement device may be configured to detect energy returned from the surface of the specimen during the step of the process.
  • the measurement device may be configured to detect energy returned from a specimen substantially continuously or at various time intervals during a process step.
  • the system may include a processor configured to determine at least a first and a second property of a specimen during a process step.
  • the processor may be configured to determine at least two properties of a specimen such as critical dimension and overlay misregistration from the energy detected during a process step.
  • the processor may also be configured to detect variations in the energy detected by a measurement device during the process step.
  • the processor may be configured to obtain a signature characterizing the process step. The signature may include at least one singularity representative of an end of the process step.
  • the processor may also be coupled to a process tool such as a lithography tool and may be configured to alter a parameter of an instrument coupled to the process tool.
  • the processor may alter a parameter of an instrument coupled to a process tool in response to the detected singularity as described above.
  • the parameter of the instrument may be altered such that the process step may be terminated subsequent to detection of the singularity.
  • the processor may be configured to alter a parameter of an instrument of a process tool in response to at least one determined property of the specimen using an in situ control technique.
  • the processor may be configured to monitor a parameter of an instrument coupled to a process tool such as a semiconductor fabrication process tool.
  • the processor may be coupled to a resist apply process chamber of a lithography tool and may be configured to monitor a parameter of an instrument coupled to the resist apply chamber.
  • the processor may be configured to monitor a spin speed of a motorized chuck of the resist apply chamber, a dispense time of a dispense system of the resist apply chamber, and/or a temperature and a humidity of the resist apply chamber.
  • the processor may be further configured as described in an example of a method and apparatus for providing real-time information identifying tools visited by a wafer under inspection and the process parameters used at those tools illustrated in European Patent Application No.
  • the processor may be configured to determine a relationship between at least one determined property of a specimen and a monitored parameters of an instrument coupled to a process tool. For example, the processor may be configured to determine a relationship between a presence of defects on the surface of a resist layer formed on a specimen and a monitored temperature and/or humidity of the resist apply chamber. Furthermore, the processor may be configured to alter the monitored parameter of the instrument in response to the determined relationship. For example, the processor may be configured to use a determined relationship to alter a parameter of an instrument coupled to the resist apply chamber such that the temperature and humidity of the resist apply chamber may be altered in response to a determined presence of defects on the surface of the specimen.
  • the processor may also be configured to alter a parameter of an instrument coupled to a process tool in response to at least one determined property using a feedback control technique. Furthermore, the processor may also be configured to alter a parameter of an instrument coupled to a process tool in response to at least one determined property using a feedforward control technique. For example, the system may be configured to determine at least two properties of a specimen during a develop process. The processor may be configured to alter a parameter of an instrument coupled to the develop process chamber in response to at least one of the determined properties during developing of the specimen or prior to developing additional specimens.
  • the processor may be configured to alter a parameter of an instrument coupled to a process chamber such as a hard bake process chamber in response to at least one of the determined properties prior to further processing of the specimen in the process chamber.
  • the processor may be configured to alter a parameter of an instrument coupled to an exposure tool, a post exposure bake chamber, a resist apply chamber, and any other tools or chamber included in the cluster tool.
  • the processor maybe configured to compare at least one determined property of the specimen and properties of a plurality of specimens.
  • the plurality of specimens may include product wafers processed prior to the processing of the specimen. At least two properties of the plurality of specimens may be determined prior to processing of the specimen with a system as described herein.
  • the plurality of specimens may also include specimens within the same lot as the specimen or specimens within a different lot than the specimen.
  • the processor may be configured to monitor a process such as a semiconductor fabrication process using a wafer-to-wafer comparison technique or a lot-to-lot comparison technique.
  • the processor may be configured to monitor the performance of the process and to determine if the performance of the process or a process tool is drifting.
  • a method an apparatus for reducing lot to lot CD variation in semiconductor wafer processing is illustrated in European Patent Application No. EP 1 065 567 A2 to Su, and is incorporated by reference as if fully set forth herein.
  • the processor may be configured to compare at least one determined property of the specimen to a predetermined range for at least the one property.
  • the predetermined range may be determined, for example, from design constraints for the specimen.
  • the predetermined range may be determined by using a statistical process control method to determine an average of at least the one property and additional statistical parameters such as a variance of at least the one property for a process.
  • the processor may be configured to generate an output signal if at least the one determined property is outside of a predetermined range.
  • the output signal may be a visual signal such as a signal displayed on a monitor coupled to the processor.
  • the monitor may be disposed in a semiconductor fabrication facility such that the displayed signal may be viewed by an operator.
  • the output signal may be any signal known in the art signal such as an audible signal or a plurality of signals.
  • the processor may be configured to determine if additional processing of the specimen may be performed. Additional processing of the specimen may be altered or performed to alter the determined property. Such additional processing may be commonly referred to as “reworking.” In this manner, the processor may be configured to make automated rework decisions. For example, such additional processing may include reprocessing the specimen such that one or more process steps, which may have already been performed on the specimen, may be repeated. In addition, a parameter of one or more instruments coupled to one or more process chambers configured to perform the repeated process steps may be altered in response to the determined property using a feedforward control technique.
  • such additional processing of the specimen may be configured to alter the determined property by altering a parameter of the instrument in response to the determined property.
  • such additional processing may alter the determined property such that the determined property may be substantially equal to an expected value for the property or may be within a predetermined range for the property.
  • the processor may be configured to alter a sampling frequency of a measurement device in response to at least one determined property of a specimen. For example, if a determined property is substantially different than an expected value for the property, or if a determined property is outside of a predetermined range for the property, then the processor may increase the sampling frequency of the measurement device.
  • the sampling frequency may be altered, for example, such that the measurement device is configured to direct and detect energy from an increased number of locations on the specimen. In this manner, the sampling frequency may be altered using an in situ control technique.
  • the sampling frequency of the measurement device may be altered to determine statistical data of the determined property across the specimen such as an average of the determined property across the specimen. As such, the determined property may be classified as a random defect, a repeating defect, or as another such defect.
  • the sampling frequency of a measurement device may be altered such that subsequent measurement or inspection of the specimen may be increased.
  • the sampling frequency may be altered using a feedforward control technique.
  • Subsequent measurement or inspection may include transferring the specimen to an additional system, which may be configured as described herein, to further examine the determined property of the specimen.
  • An appropriate additional system for such further examination of the determined property of the specimen may include a system having a higher sensitivity, a higher magnification, and/or an increased resolution capability than the system used to initially determine the property.
  • the sampling frequency may be altered such that the measurement device is configured to direct and detect energy from an increased number of locations on additional specimens that may be in the same lot as the specimen.
  • the sampling frequency may be altered such that the measurement device is configured to direct and detect energy from an increased number of specimens in the same lot as the specimen or from a number of specimens in an increased number of lots.
  • the sampling frequency may be altered using a feedback control technique.
  • the sampling frequency may be altered using an in situ control technique, a feedforward control technique, or a feedback control technique.
  • each of these control techniques may be used to alter the sampling frequency of a measurement device on a within-wafer basis, a within-lot basis, and/or a lot-to-lot basis.
  • the processor may be configured to generate a database.
  • the database may include a set of data that may include at least first and second properties of a specimen.
  • the processor may be also be configured to calibrate the measurement device using the database.
  • the set of data may include at least a first and second property of a reference specimen.
  • the measurement device may be configured to determine the first and second properties of the reference specimen.
  • the processor may be configured to calibrate the measurement device by comparing the first and second properties of the reference specimen in the database and the determined first and second properties of the reference specimen.
  • the processor may be configured to determine a correction factor from the comparison of the first and second properties in the database and the determined first and second properties of the reference specimen.
  • the processor may be configured to use the correction factor to determine first and second properties of additional specimens.
  • the processor may be configured to monitor the measurement device using the database.
  • the database may include at least two properties of a specimen.
  • the system may be configured to determine at least the two properties of the specimen at predetermined intervals of time.
  • the processor may be configured to compare at least the two properties of the specimen determined at different times. As such, the processor may be configured to determine if the performance of the measurement device is changing over time.
  • the processor may be configured to generate a set of data that may include at least a first property and a second determined property of a plurality of specimens at predetermined time intervals. As such, the processor may also be configured to compare at least the first and second properties of a plurality of specimens using the database.
  • the first and second properties of a specimen or a plurality of specimens may be determined using the measurement device or using a plurality of measurement devices.
  • the processor may be further coupled to the plurality of measurement devices. Therefore, the processor may also be configured to calibrate the plurality of measurement devices using the database as described above. In addition, the processor may also be configured to monitor the plurality of measurement devices using the database as described above.
  • the processor may be coupled to a plurality of measurement devices.
  • the processor may be configured to alter a parameter of an instrument coupled to at least one of the plurality of measurement devices.
  • Each of the measurement devices may be configured as a stand-alone metrology or inspection device.
  • each of the measurement devices may be coupled to at least one of a plurality of process tools as described herein.
  • the processor may be coupled to at least one process tool. In this manner, the processor may be configured to alter a parameter of an instrument coupled to at least one of the plurality of process tools.
  • the processor may be configured to alter a parameter of a plurality of instruments. Each of the instruments may be coupled to one of the plurality of process tools.
  • the processor may also be configured to alter a parameter of a plurality of instruments coupled to at least one of the plurality of process tools.
  • the processor may be configured to alter a parameter of the instrument in response to at least one of the determined properties using an in-situ control technique, a feedback control technique, and a feedforward control technique.
  • the processor may include a local processor coupled to the measurement device.
  • the processor may also include a remote controller computer or a remote controller computer coupled to a local processor.
  • the local processor may be configured to at least partially process a signal generated by the measurement device.
  • the signal may be generated by the detection system and may be an analog signal or a digital signal.
  • the system may also include an analog-to-digital converter.
  • the analog-to-digital converter may be configured to convert a signal generated by the detection system such that a digital signal may be sent to the local processor or the remote controller computer.
  • the remote controller computer may be configured to further process the at least partially processed signal.
  • the local processor may be configured to determine at least a first property and a second property of a specimen.
  • the remote controller computer may be configured to further process at least the two determined properties.
  • further processing the determined properties may include comparing the determined properties to a predetermined range for each property.
  • the remote controller computer may be configured to generate an output signal if the determined properties are outside of the predetermined range.
  • the processor may also take various forms, including, for example, a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (“PDA”), television system, or other device.
  • PDA personal digital assistant
  • the term “processor” may be broadly defined to encompass any device having a processor, which executes instructions from a memory medium. Examples of processors and control methods are illustrated in U.S. Pat. No. 4,571,685 to Kamoshida, U.S. Pat. No. 5,859,964 to Wang et al., U.S. Pat. No. 5,866,437 to Chen et al., U.S. Pat. No. 5,883,374 to Mathews, U.S. Pat. No.
  • FIG. 19 illustrates an embodiment of a method for determining at least two properties of a specimen.
  • the method may include disposing a specimen upon a stage.
  • the stage may be coupled to a measurement device.
  • the measurement device may be configured as described herein.
  • the measurement device may include an illumination system and a detection system.
  • the method may include directing energy toward a surface of a specimen using the illumination system.
  • the method may include detecting energy propagating from the surface of the specimen, as shown in step 200 .
  • the method may include processing the detected energy to determine at least a first property and a second property of a specimen, as shown in step 202 .
  • the first property may include a critical dimension of the specimen.
  • a critical dimension may include, but is not limited to, a lateral dimension of a feature of the specimen.
  • a feature may be formed on an upper surface of the specimen or in the specimen as described herein.
  • the second property may include an overlay misregistration of the specimen. Overlay misregistration may include a lateral displacement of a first feature on a first level of a specimen with respect to a second feature on a second level of a specimen. The first level may be formed above the second level.
  • the stage may be configured as described herein.
  • the stage may be configured to move laterally and rotatably.
  • the method may include laterally or rotatably moving the stage.
  • Laterally or rotatably moving the stage may include arranging the specimen such that energy from the measurement device may be directed to and may propagate from the specimen.
  • the method may also include laterally and/or rotatably moving the stage while energy is being directed toward a surface of the specimen and while energy is being detected from the surface of the specimen.
  • the method may include moving the stage laterally and/or rotatably during measurement or inspection of a surface of a specimen.
  • light may be directed to and may propagate from a plurality of locations on a surface of the specimen during measurement or inspection of a surface of the specimen.
  • the system may be configured to determine at least two properties of a specimen at multiple locations on the specimen.
  • the method may include rotating the stage while moving the measurement device linearly along a lateral dimension of a specimen as described herein.
  • An illumination system of the measurement device may be configured as described herein.
  • a detection system of the measurement device may be configured as described herein.
  • the measurement device may include, but is not limited to, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
  • the measurement device may include any combination of the above devices.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of a system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the method may include processing the detected energy to determine a third property of the specimen.
  • a third property of the specimen may include, but is not limited to, a presence, a number, a location, and/or a type of defects on the surface of the specimen and a flatness measurement of the specimen.
  • the defects may include macro defects and/or micro defects as described herein.
  • the method may include processing the detected energy to determine a third property and a fourth property of a specimen.
  • the third property may include a presence, a number, a location, and/or a type of defects on the surface of the specimen
  • the fourth property may include a flatness measurement of the specimen.
  • the method may be used to determine a critical dimension, an overlay misregistration, a presence, a number, a location, and/or a type of defects on the specimen, and a flatness measurement of the specimen.
  • the method may include determining such properties of a specimen sequentially or substantially simultaneously.
  • the method may include directing energy toward a front side and/or a back side of a specimen.
  • the method may also include detecting energy propagating from the front side and/or the back side of the specimen, respectively.
  • the method may also include determining a presence, a number, a location, and/or a type of defects on a back side of the specimen.
  • the defects may include macro defects.
  • the stage and measurement device may be coupled to a process tool such as a semiconductor fabrication process tool.
  • the semiconductor fabrication process tool may include a lithography tool as described herein.
  • the stage and measurement device may be arranged laterally proximate to the process tool as described herein.
  • the stage and measurement device may be disposed within an ISP system as described above.
  • the stage and the measurement device may be disposed within the process tool.
  • the stage and measurement device may be disposed within a measurement chamber.
  • the measurement chamber may be coupled to the process tool.
  • the measurement chamber may be arranged laterally proximate to a process chamber of the process tool.
  • the measurement chamber may be arranged vertically proximate to a process chamber of the process tool.
  • the measurement chamber may be configured to isolate the measurement device and the stage from environmental conditions within the process tool.
  • a support device may be disposed within a process chamber of the process tool.
  • the support device may be configured to support the specimen during a process step.
  • a support device disposed within a resist apply chamber of a lithography tool may include a chuck coupled to a motorized rotation device.
  • the support device may be configured to support the specimen during a resist apply process step of a lithography process.
  • a support device may also include, for example, a bake plate disposed within a post apply bake chamber. The bake plate may be configured to support the specimen during a post apply bake process step of the lithography process.
  • An upper surface of the support device may be substantially parallel to an upper surface of the stage of the system.
  • an upper surface of the stage may be angled with respect to an upper surface of the support device.
  • the stage may also be configured to hold a specimen in place at such an angle by drawing a vacuum through an upper surface of the stage or by an appropriate mechanical device.
  • a stage and measurement device may be substantially perpendicular to a support device disposed within a process chamber.
  • the system may be arranged essentially on its “side.”
  • the term “side,” as used herein, generally refers to a lateral sidewall of a conventional metrology or inspection system.
  • the orientation of the stage with respect to a support device of a process chamber may vary depending on, for example, the dimensions of a process tool and an arrangement of process chambers within the process tool.
  • the stage may be arranged at a perpendicular angle with respect to the support device such that the measurement device and stage may be disposed within an existing process tool. In this manner, the system may be disposed within a process tool without reconfiguration of the process chambers.
  • the process tool may include a wafer handler configured as described herein.
  • the wafer handler may be configured to remove a specimen from a process chamber subsequent to a step of a process.
  • the wafer handler may also be configured to place a specimen into a process chamber prior to a step of a process.
  • the wafer handler may be configured to move the specimen from a first process chamber to a second process chamber between steps of a process. Disposing the specimen upon the stage, as shown in step 196 , may include moving the specimen from the process tool to the stage using the wafer handler.
  • the method may include moving the specimen to the process tool subsequent to directing energy toward a surface of the specimen and detecting energy propagating from a surface of the specimen. In this manner, the method may include determining at least two properties of the specimen between process steps of a process.
  • the stage of the system may be disposed within a process chamber of the process tool.
  • the stage may be configured to function as a support device as described herein and may support the specimen during a process step.
  • disposing the specimen upon a stage may include disposing the specimen upon a support device within a process chamber of a process tool.
  • the method may also include directing energy toward a surface of the specimen and detecting energy propagating from the surface of the specimen during a process step.
  • the system may be configured to determine at least two properties of a specimen at predetermined time intervals during a process step.
  • the method may also include obtaining a signature characterizing a process step.
  • the signature may include at least one singularity that may be representative of an end of the process step as described herein.
  • the method may include altering a parameter of an instrument coupled to a process tool in response to at least one of the determined properties using an in situ control technique.
  • the stage and the measurement device may be coupled to a wafer handler of a process tool.
  • the wafer handler may be configured to support and move a specimen as described herein.
  • the method may include directing energy toward a surface of the specimen and detecting energy propagating from the surface of the specimen during movement of the specimen.
  • the method may also include determining at least two properties of a specimen while moving a specimen from a first process chamber to a second process chamber.
  • the method may include determining at least two properties of a specimen between any two process steps of a process.
  • the method may include chilling the specimen in a first process chamber.
  • the method may include applying resist to the specimen in the second process chamber.
  • the method may include chilling the specimen in a first process chamber subsequent to a post apply bake process step.
  • the method may also include exposing the specimen in the second process chamber.
  • the method may include chilling the specimen in a first process chamber subsequent to a post exposure bake process and developing the specimen in a second process chamber.
  • the method may include developing the specimen in a first process chamber and baking the specimen in a second process chamber.
  • the method may include developing the specimen in a first process chamber and receiving the specimen in a wafer cassette in the second process chamber. In this manner, the method may include determining at least two properties of a specimen between any two process steps of a semiconductor fabrication process.
  • the measurement device may be coupled to a process chamber such that moving the specimen to or from the process chamber may include moving the specimen under the measurement device.
  • the stage may include the wafer handler.
  • the method may include comparing the determined properties of a specimen and determined properties of a plurality of specimens.
  • the method may include monitoring and evaluating a semiconductor fabrication process using a wafer-to-wafer control technique.
  • the method may include comparing properties of a specimen determined at a first location on the specimen to properties of the specimen determined at a second location on the specimen.
  • the method may include monitoring and evaluating a semiconductor fabrication process using a within-wafer control technique.
  • the method may also include comparing the determined properties of a specimen to a predetermined range for each property.
  • the predetermined range may vary depending on, for example, design constraints for each property such as an acceptable range of lateral dimensions for a feature on the specimen or an acceptable presence of defects on the surface of the specimen.
  • the method may also include generating an output signal if the determined properties of the specimen are outside of the predetermined range for the property.
  • the output signal may take various forms such as a visual signal and/or an audible signal.
  • the output signal may be configured to indicate which of the determined properties is outside of the predetermined range and the extent to which the determined property is outside of the predetermined range.
  • the method may include altering a sampling frequency of the measurement device in response to at least the determined first or second property of the specimen.
  • the method may include increasing a sampling frequency of the measurement device in response to the determined properties.
  • the sampling frequency may be increased such that at least two properties may be determined at an increased number of locations on a single specimen.
  • the sampling frequency may be increased such that at least two properties may be determined for an increased number of specimens such as within a lot of wafers.
  • the sampling frequency may be increased such that at least two properties may be determined for an increased number of lots.
  • the method may also include altering a parameter of an instrument coupled to a measurement device in response to at least one of the determined properties of the specimen using a feedback control technique. For example, if a property of the specimen is determined to be outside of a predetermined range, the method may include increasing a sampling frequency of a measurement device prior to determining at least two properties of additional specimens with the measurement device. The additional specimens may have been subjected to substantially the same process step or process as the specimen having at least one property outside of the predetermined range. In this manner, the method may include sampling an increased number of specimens such that data may be generated, which may be used to determine if the property of the specimen outside of the predetermined range is occurring systematically or randomly.
  • the method may include altering a parameter of an instrument coupled to a measurement device in response to at least one of the determined properties of a specimen using a feedforward control technique.
  • the method may include determining at least two properties of a specimen subsequent to a first process step of a process using a measurement device.
  • the method may also include determining at least two properties of a specimen subsequent to a second process step of the process using the measurement device. If one of the properties of the specimen determined after the first process step is outside of the predetermined range, a sampling frequency of the measurement device may be increased prior to determining at least two properties after the second process step.
  • the second process step may include reprocessing the specimen or performing a process step of a process which has been altered in response to at least one of the properties determined after the first process step.
  • the second process step may be configured to alter the property of the specimen such that the property may be within the predetermined range subsequent to the second process step. In this manner, the method may be used to determine if the second process step has altered the property of the specimen.
  • the method may include generating a database.
  • the database may include at least two determined properties of a specimen.
  • the method may also include calibrating the measurement device using the database.
  • the database may include at least a first and second property of a reference specimen.
  • the method may include determining the first and second properties of the reference specimen with the measurement device.
  • the method may include calibrating the measurement device by comparing at least one of the properties of the reference specimen in the database and at least one of the properties of the reference specimen determined with the measurement device.
  • the method may include determining a correction factor from the comparison of at least one property of the reference specimen and using the correction factor to determine at least the first and second properties of additional specimens.
  • the method may include monitoring the determined properties generated by the measurement device using the database.
  • the database may include at least two properties of a specimen.
  • the method may also include determining at least the two properties of the specimen at predetermined intervals of time. In this manner, the method may be include comparing at least the two properties of the specimen in the database to at least the two properties of the specimen determined at various times. As such, the method may include determining if the performance of the measurement device is changing over time.
  • the method may include generating a database that may include at least two properties of a plurality of specimens. At least the two properties of the plurality of specimens may be determined using the measurement device.
  • the method may include comparing at least one of the determined properties of a plurality of specimens using the database.
  • the first and second properties of the plurality of specimens may be determined using a plurality of measurement devices. Therefore, the method may also include calibrating the plurality of measurement devices using the database as described above.
  • the method may also include monitoring the determined properties generated by the plurality of measurement devices as described above.
  • the method may also include altering a parameter of an instrument coupled to each of the plurality of measurement devices in response to at least one of the determined properties of a specimen. Altering a parameter of an instrument coupled to each of a plurality of measurement devices may include any of the embodiments described herein.
  • the method may include altering a parameter of an instrument coupled to a process tool such as a semiconductor fabrication process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
  • the method may include altering a parameter of an instrument coupled to a lithography tool in response to a determined property as described above.
  • the method may include altering a parameter of an instrument in response to at least one of the determined properties of the specimen using an in situ control technique.
  • the method may include terminating a process step at approximately a time that a singularity is detected by a measurement device.
  • the method may also include altering a parameter of an instrument coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
  • the method may include determining at least two properties of a specimen during a develop process in a develop process chamber.
  • the method may include altering a parameter of an instrument coupled to a process chamber in response to at least one of the determined properties prior to further processing of the specimen in the process chamber.
  • the method may include altering a parameter of an instrument coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen. Altering the parameter of an instrument coupled to each of a plurality of process tools may include any of the embodiments described herein.
  • the method may include monitoring a parameter of an instrument coupled to a process tool.
  • the method may include monitoring a parameter of an instrument coupled to a resist apply chamber of a lithography tool.
  • the method may include monitoring a spin speed of a motorized chuck of the resist apply chamber, a dispense time of a dispense system of the resist apply chamber, and/or a temperature and a humidity of the resist apply chamber.
  • the method may include determining a relationship between a determined property of a specimen and the monitored parameter of an instrument.
  • the method may include determining a relationship between a presence of defects on the surface of a resist formed on a specimen and the temperature and/or humidity of the resist apply chamber.
  • the method may include altering the monitored parameter of the instrument in response to the relationship.
  • the method may include using a determined relationship to alter a parameter of an instrument coupled to the resist apply chamber such that the temperature and humidity of the resist apply chamber may be altered in response to a determined presence of defects on the surface of the specimen.
  • the method may include altering a parameter of an instrument coupled to each of a plurality of process tools in response to at least one determined property of the specimen. Altering a parameter of an instrument coupled to each of a plurality of process tools may include any of the embodiments as described herein.
  • processing the detected energy may include using a processor to determine the first and second properties of a specimen.
  • the processor may be coupled to the measurement device.
  • the method may, therefore, include sending a signal representative of the detected energy to the processor.
  • the processor may also be configured as described in above embodiments.
  • the processor may include a local processor coupled to a remote controller computer.
  • the local processor may be coupled to a measurement device as described in above embodiments.
  • FIG. 20 illustrates an embodiment of a method for determining at least two properties of a specimen. For example, as shown in step 202 , the method may include processing the detected energy to determine a first property and a second property of the specimen using a processor.
  • processing the detected light may also include at least partially processing the detected energy using a local processor.
  • the method may also include sending the partially processed detected energy from the local processor to a remote controller computer, as shown in step 208 .
  • the method may further include further processing the at least partially processed detected light using the remote controller computer, as shown in step 210 .
  • At least partially processing the detected energy may include determining at least two properties of a specimen.
  • further processing the detected energy may include processing the determined properties of the specimen.
  • processing the determined properties may include generating a database as described in above embodiments.
  • processing the determined properties may include using at least one of the determined properties and a relationship between at least one property of the specimen and a parameter of an instrument coupled to a process tool to determine an altered parameter of the instrument.
  • At least partially processing the detected light and further processing the detected light may also include additional steps as described herein.
  • An embodiment also relates to a semiconductor device that may be fabricated by a method, which may include any of the steps as described herein.
  • a method for fabricating a semiconductor device is illustrated in FIG. 19.
  • the method may include fabricating a portion of the semiconductor device on a specimen such as a wafer.
  • Fabricating a portion of a semiconductor device may include using a semiconductor fabrication process to process the specimen.
  • Appropriate semiconductor fabrication processes may include, but are not limited to, lithography, etch, ion implantation, chemical vapor deposition, physical vapor deposition, chemical-mechanical polishing, and plating.
  • fabricating a portion of the semiconductor device may include using a step of a semiconductor fabrication process to process the specimen.
  • a method for fabricating a semiconductor device may also include disposing a specimen upon a stage, as shown in step 196 .
  • a method for fabricating a semiconductor device may further include directing energy toward a surface of the portion of the semiconductor device formed on the specimen, as shown in step 198 .
  • the method may also include detecting energy propagating from a surface of the portion of the semiconductor device formed on the specimen, as shown in step 200 .
  • the method may further include processing the detected light to determine at least two properties of the portion of the semiconductor device formed on the specimen.
  • a method for fabricating a semiconductor device may include any of the steps as described herein.
  • FIG. 21 illustrates an embodiment of a computer-implemented method for controlling a system to determine at least two properties of a specimen.
  • the system may include a measurement device.
  • the method may include controlling the measurement device, which may include an illumination system and a detection system.
  • the measurement device may be coupled to a stage.
  • the measurement device may further be configured as described herein.
  • the method may include controlling the illumination system to direct energy toward a surface of a specimen, as shown in step 214 .
  • the method may further include controlling the detection system to detect energy propagating from the surface of the specimen, as shown in step 216 .
  • the method may include processing the detected energy to determine at least a first property and a second property of the specimen, as shown in step 218 .
  • the first property may include a critical dimension of the specimen.
  • the critical dimension may include, but is not limited to, a lateral dimension, a height, and/or a sidewall angle of a feature formed on a surface of the specimen.
  • the critical dimension may include a lateral dimension, a height, and/or a sidewall angle of a feature formed within a specimen.
  • the second property may include an overlay misregistration of the specimen.
  • the method may also include controlling the stage, which may be configured to support the specimen.
  • the method may include controlling the stage to move the stage laterally, rotatably, or laterally and rotatably.
  • the stage may be controlled to move while the illumination system is directing energy toward the surface of the specimen and while the detection system is detecting energy propagating from the surface of the specimen.
  • the method may also include processing the detected energy to determine a third property of the specimen.
  • the third property may include a presence of defects on a surface of the specimen.
  • the third property may also include a number, a location, and/or a type of defects on a surface of the specimen.
  • the defects may include micro defects, macro defects, or micro and macro defects.
  • the method may also include controlling the illumination system to direct energy toward a back side of the specimen.
  • the method may further include controlling the detection system to detect energy propagating from the back side of the specimen.
  • the third property of the specimen may also include a presence of defects on the back side of the specimen.
  • Such defects may include macro defects.
  • a third property may also include a flatness measurement of the specimen.
  • the method may also include processing the detected light to determine a third and a fourth property of the specimen.
  • the third and fourth properties may include, but are not limited to, a presence, a number, a location, and/or a type of defects on a surface of the specimen and a flatness measurement of the specimen.
  • the method may include determining at least two of the properties substantially simultaneously. The method, however, may also include determining all four of the properties described above sequentially or substantially simultaneously.
  • the stage and the measurement device may be coupled to a process tool as described herein.
  • the stage and measurement device may be coupled to a lithography tool.
  • the method may also include controlling a wafer handler of the process tool to move the specimen from the process tool to the stage.
  • the wafer handler may be configured as described herein.
  • the method may include controlling the stage to move the specimen from the system to the process tool.
  • the method may also include controlling the stage to move the specimen from a first process chamber to a second process chamber.
  • the first and second process chambers may be configured as described herein.
  • the method may also include controlling the illumination system to direct energy toward a surface of the specimen while the stage is moving the specimen from the first process chamber to the second process chamber.
  • the method may also include controlling the detection system to detect energy propagating from the surface of the specimen while the stage is moving the specimen from the first process chamber to the second process chamber.
  • the method may include determining at least two properties of the specimen between any two process steps of a process.
  • the method may include controlling the illumination system to direct energy toward a surface of the specimen during a process step.
  • the method may also include controlling the detection system to detect energy propagating from the surface of the specimen during the process step.
  • the method may also include processing the detected energy to determine at least two properties of the specimen at predetermined time intervals during the process step.
  • the method may also include controlling the system to obtain a signature characterizing the process step.
  • the signature may include at least one singularity, which may be representative of an end of the process step.
  • the method may also include controlling the system to alter a parameter of an instrument coupled to the process tool in response to the determined properties using an in situ control technique.
  • the computer-implemented method may also include any of the steps as described herein.
  • a controller may be coupled to the system.
  • the controller may be a computer system configured to operate software to control the system according to the above embodiments.
  • the computer system may include a memory medium on which computer programs may be stored for controlling the system and processing the detected energy.
  • the term “memory medium” is intended to include an installation medium, e.g., a CD-ROM, or floppy disks, a computer system memory such as DRAM, SRAM, EDO RAM, Rambus RAM, etc., or a non-volatile memory such as a magnetic media, e.g., a hard drive, or optical storage.
  • the memory medium may include other types of memory as well, or combinations thereof.
  • the memory medium may be located in a first computer in which the programs are executed, or may be located in a second different computer that connects to the first computer over a network. In the latter instance, the second computer provides the program instructions to the first computer for execution.
  • the computer system may take various forms, including a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (“PDA”), television system or other device.
  • PDA personal digital assistant
  • the term “computer system” may be broadly defined to encompass any device having a processor, which executes instructions from a memory medium.
  • the memory medium may be configured to store a software program for the operation of the system to determine at least two properties of a specimen.
  • the software program may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others.
  • the software program may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired.
  • a CPU such as the host CPU, executing code and data from the memory medium may include a means for creating and executing the software program according to the methods described above.
  • Various embodiments further include receiving or storing instructions and/or data implemented in accordance with the foregoing description upon a carrier medium.
  • Suitable carrier media include memory media or storage media such as magnetic or optical media, e.g., disk or CD-ROM, as well as signals such as electrical, electromagnetic, or digital signals, conveyed via a communication medium such as networks and/or a wireless link.
  • An embodiment relates to a system which may be configured to determine at least two properties of a specimen, which may include a presence of defects on the specimen and a thin film characteristic of the specimen.
  • a presence of defects may be determined on a front side or a back side of a specimen as described herein.
  • the defects may also include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include copper contamination and/or resist contamination.
  • the thin film characteristic may include a thickness of a film such as copper.
  • the system may be configured as described herein.
  • the processor of such a system may be configured to determine additional properties of the specimen from energy detected by a measurement device.
  • the measurement device may be configured as a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • Such a system may be coupled to a chemical-mechanical polishing tool, a deposition tool, an etch tool, a cleaning tool such as a wet or dry stripping tool, or a thermal tool such as a furnace configured to perform rapid thermal processing (“RTP”) of a specimen as described herein. Examples of cleaning tools are illustrated in PCT Application No. WO 00/17907 and “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28 th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein.
  • Spectroscopic ellipsometry may include focusing an incidence beam of polarized light on a specimen and monitoring a change in polarization of at least a portion of the beam propagating from the specimen across a broad spectrum of wavelengths.
  • Examples of spectroscopic ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,412,473 to Rosencwaig et al., U.S. Pat. No. 5,581,350 to Chen et al., U.S. Pat. No. 5,596,406 to Rosencwaig et al., U.S. Pat. No.
  • a measurement device configured as a spectroscopic ellipsometer may include a polarizer, which may be coupled to the detection system.
  • a beam propagating from the specimen pass through the polarizer.
  • the returned beam Prior to passing through the polarizer, the returned beam may have elliptical polarization.
  • the beam After passing through the polarizer, the beam may be linearly polarized.
  • the reflected light then pass through an analyzer coupled to the detection system and into a dispersion element, or a spectrometer.
  • the dispersion element may be configured to separate beam components having different wavelengths.
  • the separated components of the beam may be detected by individual elements of a detector array.
  • the polarizer is usually rotating such that a time varying intensity may be detected by the elements of the detector array.
  • a processor of the system may receive a signal responsive to the detected light from each element of the detector array and may process the signal as described herein. For example, an intensity of light at each element of the detector array may be converted to ellipsometric parameters, ⁇ and ⁇ , by mathematical equations known in the art.
  • the ellipsometric parameters may be typically shown as tan ⁇ and cos ⁇ . Tan ⁇ is the amplitude of the complex ratio of the s and p components of the reflectivity of the sample, and ⁇ is the phase of the complex ratio of the s and p components of the reflectivity of the sample.
  • the term “s component” is used to describe the component for the polarized radiation having an electrical field perpendicular to the plane of incidence of the reflected beam.
  • the term “p component” is used to describe the component for the polarized radiation having an electrical field in the plane of incidence of the reflected beam.
  • tan ⁇ may be independent of thickness, and A may be linearly proportional to the thickness.
  • Software integrated into the processor of the system may be configured to convert the ellipsometric parameters, ⁇ and ⁇ , to an optical property of a specimen using a mathematical, or optical, model.
  • a personal computer having a software package operable to rapidly performing data-fitting calculations such as a least-squares fitting technique may be appropriate for this use.
  • ellipsometric parameters including ⁇ and ⁇ may be determined at small increments across a broad spectrum of wavelengths and at several angles, several hundred data points may be included in the calculations.
  • Several software packages configured for use with spectroscopic ellipsometers that are capable of handling such a large amount of data are commercially available.
  • the processor that may be used to receive a signal responsive to the detected light from each element of the detector array may be also used to perform the iterative data-fitting calculations. Examples of such software packages may be incorporated into operating systems of spectroscopic ellipsometers, which have been included by reference above, and are typically commercially available.
  • optical models there are several optical models that may be used to analyze ellipsometric data. Examples, of such models include, but are not limited to, a cauchy model, a harmonic oscillator model, and a polynomial series expansion model.
  • An appropriate model may be chosen based on specimen characteristics, desired optical properties of the specimen, and the computational difficulty associated with the model.
  • the cauchy model is a relatively straightforward mathematical model.
  • the cauchy model may not be valid for wavelengths at which a specimen exhibits absorption.
  • optical properties of several layers of a specimen may also be determined simultaneously by using an appropriate optical model or a combination of optical models. Therefore, when using spectroscopic ellipsometry to analyze a specimen, one or more optical models may be more appropriate for analysis than others.
  • Thicknesses, indexes of refraction, and extinction coefficients for a layer of a specimen, a portion of a layer of a specimen, or several layers of a specimen may be determined from ellipsometric parameters using an optical model.
  • the index of refraction, “n,” is related to the speed of light as it moves through a medium and is dependent upon the wavelength of the light.
  • the extinction coefficient, “k,” is also dependent upon wavelength and relates to absorption of light by a medium. The extinction coefficient may also be used to determine the absorption coefficient for a given wavelength.
  • FIG. 22 illustrates an embodiment of a system configured to determine at least two properties of a specimen coupled to chemical-mechanical polishing tool 222 .
  • Chemical-mechanical polishing (“CMP”) may typically be used in the semiconductor industry to partially remove or planarize a layer on a specimen.
  • CMP Chemical-mechanical polishing
  • Chemical-mechanical polishing may include holding and/or rotating a specimen against a rotating polishing platen under controlled pressure.
  • Chemical-mechanical polishing tool 222 may include polishing head 224 configured to hold specimen 226 against polishing platen 228 .
  • Polishing head 224 may include a number of springs 230 or another suitable mechanical device, which may be configured to apply an adjustable pressure to a back side of specimen 226 .
  • Polishing head 224 may also be configured to rotate around a central axis of the polishing head.
  • polishing head 224 may also be configured to move linearly with respect to the polishing platen.
  • Polishing platen 228 may also include a polishing pad 232 .
  • the polishing pad may have a back layer, which may be configured such that polishing pad 232 may be securely coupled to polishing platen 228 .
  • Polishing pad 232 may also have an upper layer which may be configured to contact and polish specimen 226 .
  • the upper layer of polishing pad 232 may include, for example, an open cell foamed polyurethane material or a polyurethane layer having a grooved surface.
  • the upper layer may also include additional abrasive materials or particles configured to partially remove or polish specimen 226 .
  • Polishing platen 228 may also be configured to rotate around a central axis of the polishing platen. For example, polishing platen 228 may be configured to rotate in a first direction, and polishing head 224 may be configured to rotate in a second direction. The first direction may be substantially opposite to the second direction.
  • Chemical-mechanical polishing tool 222 may also include dispense system 234 .
  • the dispense system may be configured to automatically dispense a polishing chemical such as a chemical polishing slurry onto polishing pad 232 .
  • a chemical polishing slurry may include abrasive particles and at least one chemical.
  • abrasive particles may include fused-silica particles, and a chemical may include potassium hydroxide.
  • polishing pad 232 may be sufficiently abrasive such that the chemical polishing solution may be substantially free of particles.
  • Suitable combinations of a polishing chemical and a polishing pad may vary depending on, for example, a composition and a topography of an upper layer on specimen 226 which is being partially removed or planarized and/or a composition and a topography of an underlying layer.
  • a system configured to determine at least two properties of a specimen may include measurement device 220 coupled to chemical-mechanical polishing tool 222 .
  • the measurement device may be configured according to any of the embodiments described herein.
  • measurement device 220 may be a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a spectroscopic ellipsometer a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
  • the measurement device may include any combination of the above devices.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • the measurement device may be coupled to the chemical-mechanical polishing tool such that the measurement device may be external to polishing platen 228 .
  • the measurement device may be coupled to chemical-mechanical polishing tool 222 such that the measurement device may not interfere with the operation, performance, or control of the chemical-mechanical polishing process.
  • polishing platen 228 and polishing pad 232 may be retrofitted such that a small section of a substantially optically transparent material 236 may be disposed within the polishing platen and the polishing pad.
  • the configuration of the chemical-mechanical polishing tool may determine the placement and dimensions of the transparent material section 236 .
  • the small section of transparent material 236 may transmit an incident beam of light from a light source of measurement device 220 outside the polishing platen to a surface of specimen 226 held in place by polishing head 224 and light propagating from a surface of specimen 226 to a detector of measurement device 220 external to the polishing platen.
  • the optically transparent material 236 may have optical or material properties such that light from a light source of measurement device 220 and light propagating from a surface of specimen 226 may pass through the transparent sections of the polishing platen and the polishing pad without undesirably altering the properties of the incident and returned light beams.
  • Polishing chemicals such as chemical-polishing slurries, however, may include abrasive particles, chemicals, and material removed from the specimen, which may interfere with light from the light source and light propagating from a surface of the specimen.
  • the section of transparent material 236 may be configured to function as a self-clearing objective.
  • the self-clearing objective may include an optical component configured to transmit light from a light source toward a surface of specimen 226 .
  • a self-clearing objective may also be configured to flow a substantially transparent fluid between the self-clearing objective and the specimen.
  • the flowing fluid may be configured to remove abrasive particles, chemicals, and material removed from the specimen such that light may be transmitted from the measurement device to the specimen and from the specimen to a detector of the measurement device without undesirable alterations in the optical properties of the light.
  • Examples of self-clearing objectives are illustrated in U.S. patent application Ser. Nos. 09/396,143, “Apparatus and Methods for Performing Self-Clearing Optical Measurements,” to Nikoonahad et al., and Ser. No. 09/556,238, “Apparatus and Methods for Detecting Killer Particles During Chemical Mechanical Polishing,” to Nikoonahad et al., and are incorporated by reference as if fully set forth herein.
  • the measurement device may be coupled to a stage (i.e., polishing platen 228 ) disposed within the process chamber and configured to support the specimen.
  • An embodiment relates to a system that may be configured to determine at least two properties of a specimen including a presence of defects on a specimen and a critical dimension of the specimen.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a measurement device and configured to determine at least a presence of defects and a critical dimension of the specimen from one or more output signals of the measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein.
  • a system configured to determine at least a presence of defects on a specimen and a critical dimension of the specimen may be coupled to an etch tool as described herein.
  • the presence of defects may include a presence of defects on a back side of the specimen.
  • the system may be further configured to determine a number, a location, and/or a type of defects on the specimen.
  • the system may be coupled to the etch tool such that at least a presence of defects on the specimen and a critical dimension of the specimen may be determined prior to and subsequent to an etch process or a step of an etch process.
  • at least one of the determined properties may be used to alter a parameter of one or more instruments coupled to a process tool.
  • a determined critical dimension of the specimen may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique or a feedback control technique.
  • a determined presence of defects on the specimen may be used to alter a parameter of one or more instruments coupled to the lithography tool using a feedforward control technique of a feedback control technique.
  • a system may be configured to determine at least two properties of a specimen including a critical dimension of the specimen and a thin film characteristic of the specimen.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a measurement device.
  • the processor may be configured to determine at least a critical dimension and a thin film characteristic of the specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a photo-acoustic device, a grazing X-ray reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof.
  • a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein.
  • a system configured to determine at least a critical dimension and a thin film characteristic of a specimen may be coupled to a chemical-polishing tool.
  • the processor may be configured to determine a critical dimension of a feature on the specimen from one or more output signals from a non-imaging scatterometer, a scatterometer, or a spectroscopic scatterometer.
  • the processor may be configured to determine a thickness of a layer on the specimen from one or more output signals from a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a photo-acoustic device, and/or a grazing X-ray reflectometer.
  • an ellipsometer or a spectroscopic ellipsometer may be configured to generate one or more output signals responsive to a thickness of metal and semi-metallic layers having relatively thin thicknesses and relatively thick transparent layers.
  • a photo-acoustic device may be configured to generate one or more output signals responsive to a thickness of relatively thin metal layers
  • a grazing X-ray reflectometer may be configured to generate one or more output signals responsive to relatively thick and relatively thin layers.
  • a system as described herein, may be configured to determine a thickness of layers having a broad range of thicknesses and materials.
  • the system may be coupled to a chemical-mechanical polishing tool according to any of the embodiments described herein.
  • the measurement device may be coupled to a polishing pad of a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen disposed upon the polishing pad.
  • the measurement device may be coupled to a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen being disposed upon or removed from the polishing pad.
  • the measurement device may be coupled to a chemical-mechanical polishing tool such that a robot wafer handler may move below or above the measurement device.
  • the measurement device may be coupled to a robotic wafer handler of a chemical-mechanical polishing tool. In this manner, the system may be configured to determine at least two properties of the specimen as the robotic wafer handler is moving the specimen.
  • the measurement chamber may coupled to and disposed laterally or vertically proximate an exit chamber of a chemical-mechanical polishing tool.
  • An exit chamber of a chemical-mechanical polishing tool may include a water bath configured to receive a specimen subsequent to a chemical-mechanical polishing process. The water bath may be used to remove chemicals, slurry particles, and/or specimen particles remaining on the specimen subsequent to a chemical-mechanical polishing process.
  • the system may be configured to determine at least two properties of the specimen as the specimen is disposed within or moving through the exit chamber.
  • the measurement device may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16.
  • the measurement chamber may be coupled to a chemical-mechanical polishing tool, as shown in FIG. 17.
  • the measurement chamber may be disposed laterally or vertically proximate one or more polishing chambers of a chemical-mechanical polishing tool.
  • the measurement chamber may disposed laterally or vertically proximate a load chamber of a chemical-mechanical polishing tool.
  • a load chamber of a chemical-mechanical polishing tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the chemical-mechanical polishing tool.
  • a robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a chemical-mechanical polishing tool such as anywhere proximate the chemical-mechanical polishing tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a polishing pad and the system.
  • a system may be configured to determine at least three properties of a specimen including a critical dimension of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen.
  • the defects may also include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include, but are not limited to, copper contamination and/or resist contamination.
  • the thin film characteristic may include a thickness of a film such as copper.
  • the system may be configured as described herein.
  • the system may also include a processor coupled to a measurement device and configured to determine at least a critical dimension, a presence of defects, and a thin film characteristic of the specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof.
  • a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein.
  • a system may be configured to determine at least two properties of a specimen including a presence of macro defects on the specimen and a presence of micro defects on the specimen.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a measurement device.
  • the processor may be configured to determine at least a presence of macro defects and a presence of micro defects on the specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the processor may be configured to determine a presence of subsurface defects such as voids from one or more output signals generated by a measurement device such an e-beam device, an X-ray reflectometer, or an X-ray fluorescence device.
  • voids may be problematic, in particular for copper structures, if the voids fill with chemicals such as plating solutions, which may corrode the metal.
  • the processor may be configured to determine a thickness of a metal layer such as copper on the specimen from one or more output signals generated by a measurement device such as an X-ray reflectometer and/or an X-ray fluorescence device.
  • the processor may be configured to determine a presence of macro defects on a backside of a specimen from one or more output signals generated by a measurement device such as an optical fluorescence device.
  • the macro defects may include copper contamination and/or resist contamination.
  • An optical fluorescence device may be configured to direct a beam of light to a surface of a specimen to induce fluorescence of the specimen.
  • the directed beam of light may have a wavelength of approximately 364 nm. The wavelength of the directed beam of light may vary, however, depending upon, for example, a material that may be a defect.
  • the optical fluorescence device may be further configured to detect fluorescence of the specimen and to generate one or more output signals in response to the detected fluorescence.
  • a processor may be configured to determine a presence of macro defects, for example, by comparing detected fluorescence at multiple points on the specimen.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, an e-beam device such as a scanning electron microscope or a tunneling electron microscope, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large-spot e-beam device, or any combination thereof.
  • a non-imaging scatterometer such as a scanning electron microscope or a tunneling electron microscope
  • an X-ray reflectometer such as a
  • an appropriate combination may include an eddy current imaging device and a relatively large-spot e-beam device.
  • An eddy current imaging device may generate one or more output signals that may be used to as a qualitative excursion monitor for a presence of macro defects on a surface of the specimen.
  • the eddy current imaging device may be configured as described herein.
  • a large-spot e-beam device such as a scanning electron microscope may have relatively low resolution and a relatively low data rate.
  • One or more output signals generated by such an e-beam device may include a voltage contrast that may vary depending upon a presence of defects such as macro defects on the surface of the specimen.
  • An example of an e-beam device is illustrated in U.S. Patent Application entitled “Sectored Magnetic Lens,” by John A. Notte IV, filed on Jun. 15, 2001, which is incorporated by reference as if fully set forth herein.
  • Such a system may be coupled to any of the process tools as described herein.
  • the system may be coupled to a lithography tool or an etch tool as described herein.
  • a system may be configured to determine at least two properties of a specimen including a presence of macro defects on at least one surface of the specimen and overlay misregistration of the specimen.
  • the determined properties may also include a number, a location, and a type of macro defects present on at least one surface of the specimen.
  • At least one surface of the specimen may include a back side and/or a front side of the specimen.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a measurement device.
  • the processor may be configured to determine at least a presence of macro defects and overlay misregistration of the specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties such as a critical dimension of a feature on the specimen from the one or more output signals.
  • the measurement device may include a scatterometer, a non-imaging scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof.
  • Such a system may be coupled to any of the process tools as described herein.
  • the system may be coupled to a process tool such as a lithography tool, an etch tool, and a deposition tool.
  • the system may be coupled to the process tool according to any of the embodiments as described herein.
  • the measurement device may be coupled to a process chamber of the process tool such that the system may determine at least two properties of a specimen disposed within the process chamber.
  • the measurement device may be coupled to a process chamber of the process tool such that the system may determine at least two properties of a specimen being disposed within or removed from the process chamber.
  • the measurement device may be coupled to the process chamber such that a robot wafer handler may move below or above the measurement device.
  • the measurement device may be coupled to a robotic wafer handler of the process tool. In this manner, the system may be configured to determine at least two properties of the specimen as the robotic wafer handler is moving the specimen.
  • the measurement device may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16.
  • the measurement chamber may be coupled to the process tool, as shown in FIG. 17.
  • the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of the process tool.
  • the deposition tool may include a cluster of process chambers that may each be configured to perform substantially similar processes or different processes.
  • the measurement chamber may disposed laterally or vertically proximate a load chamber of the process tool.
  • a load chamber of a deposition tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the process tool.
  • a robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a process tool such as anywhere proximate the process tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a process chamber and the system.
  • a parameter of one or more instruments coupled to a process tool may be altered in response to the properties determined by the system using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • a presence of macro defects on the surface such as a presence of macro defects on a back side of a specimen determined by the system prior to, during, and/or subsequent to an etch process, a deposition process, and/or a chemical-mechanical process may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique.
  • the determined presence of macro defects on the back side of the specimen may be used to alter a dose and focus condition of an exposure tool during exposure of the specimen during a lithography process.
  • overlay misregistration of a specimen determined by the system prior to, during, and/or subsequent to an etch process and/or a deposition process may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique.
  • the determined overlay misregistration may be used to alter a lateral alignment of a reticle in an exposure tool during exposure of the specimen during a lithography process.
  • a deposition tool may be configured for chemical vapor deposition, as described below, or for physical vapor deposition.
  • Physical vapor deposition may commonly be used in the semiconductor industry to form a layer of a conductive material upon a specimen such as a wafer.
  • a physical vapor deposition tool may include a vacuum process chamber in which argon ions may be generated.
  • a support device may be disposed within the process chamber. The support device may be configured to support a specimen during a physical vapor deposition process.
  • a circular-shaped metal target may be disposed above the support device.
  • the physical vapor deposition tool may also include an annular metal coil interposed between the support device and the metal target. The annular metal coil may be made of the same material as the metal target.
  • a physical vapor deposition tool may also include voltage controller configured to supply a voltage to the metal target, the metal coil, and the support device.
  • the voltage controller may be further configured to generate voltage biases between the metal target and the support device and between the support device and the metal coil.
  • the voltage biases may cause argon ions to bombard the metal target and the metal coil to release metal atoms, which may then sputter onto a surface of a specimen on the support device.
  • Examples of physical vapor deposition systems and methods are illustrated in U.S. Pat. No. 5,754,297 to Nulman, U.S. Pat. No. 5,935,397 to Masterson, U.S. Pat. No. 6,039,848 to Moslehi et al., U.S. Pat. No. 6,080,287 to Drewery et al., and U.S. Pat. No. 6,099,705 to Chen et al., and are incorporated by reference as if fully set forth herein.
  • a system may be coupled to a physical vapor deposition tool.
  • the system may be disposed within a measurement chamber.
  • the measurement chamber may be configured as described herein.
  • the measurement chamber may be located proximate a process chamber of the physical vapor deposition tool.
  • the system may be coupled to a process chamber of the physical vapor deposition tool.
  • the system may be integrated into a physical vapor deposition tool.
  • the system may be configured to determine at least two properties of a specimen prior to, during, or subsequent to a physical vapor deposition process.
  • Process chambers 180 and 188 may be configured differently than shown such that the process chamber may be configured for a physical vapor deposition process.
  • process chamber 180 may not include dispense system 186 and, instead, may include various devices and components as described above.
  • a system may be coupled to a wafer handler of a physical vapor deposition tool. Therefore, the system may be configured to determine at least two properties of a specimen while the specimen is being moved into a process chamber or out of a process chamber of a physical vapor deposition tool.
  • Plating may commonly be used in the semiconductor industry to form a layer of metal upon a specimen such as a wafer.
  • a plating tool may include a process chamber such as a plating bath.
  • a plurality of support devices may be disposed within the plating bath. Each of the support devices may be configured to support a specimen during a plating process.
  • the plating tool may also include a cathode electrode arranged above and in contact with an upper surface of a specimen.
  • the plating tool may include an anode electrode located beneath the specimen.
  • a plating solution may flow into the plating bath from an inlet port and may be ejected upwardly onto a surface of a specimen.
  • the plating tool may include a heater configured to heat the plating solution during a plating process. Controlling the temperature of the plating solution may be critical to forming a metal layer without defects such as structural changes, hardening, and/or plating burn of the layer.
  • characteristics of a metal layer formed on a specimen may vary depending on additional characteristics of the plating solution. For example, the characteristics of a layer of plated metal may depend on a metal ion concentration in the plating solution, the pH level of the plating solution, and the specific gravity of the plating solution.
  • An example of a system and a method for plating specimens is illustrated in U.S. Pat. No. 5,344,491 to Katou, and is incorporated by reference as if fully set forth herein.
  • a system may be coupled to a plating tool.
  • the system may be disposed within a measurement chamber.
  • the measurement chamber may be configured as described herein.
  • the measurement chamber may be located proximate a process chamber of the plating tool.
  • the system may be coupled to a process chamber of the plating tool. Therefore, the system may be configured to determine at least two properties of a specimen prior to, during, or subsequent to a plating process.
  • FIGS. 17 and 18 Process chambers 180 and 188 , as illustrated in FIGS. 17 and 18, may be configured differently than shown such that the process chamber may be configured for a physical vapor deposition process.
  • process chamber 180 may not include dispense system 186 and, instead, may include various devices and components as described above.
  • a system may be coupled to a wafer handler of a plating tool as described herein. As such, a system may be configured to determine at least two properties of a specimen while a specimen is being disposed within or removed from a process chamber of a plating tool.
  • An embodiment relates to a system which may be configured to determine at least a flatness measurement of the specimen, a presence of defects on the specimen, and a thin film characteristic of a specimen.
  • the defects may include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include, but are not limited to, copper contamination and/or resist contamination.
  • the thin film characteristic may include a thickness of a film such as copper.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a measurement device.
  • the processor may be configured to determine at least a flatness measurement of the specimen, a presence of defects on the specimen, and a thin film characteristic of a specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices.
  • Such a system may be coupled to a chemical-mechanical polishing tool as described above. In this manner, the system may be configured to determine at least the three properties of a specimen prior to, during, or subsequent to a chemical-mechanical polishing process.
  • a system may be disposed within a measurement chamber, which may be configured as described herein. The measurement chamber may be located proximate the chemical-mechanical polishing tool. Therefore, such a system may be configured to determine at least the three properties of the specimen prior to or subsequent to a chemical-mechanical polishing process. Therefore, the flatness measurement of a specimen may include a measure of stress-induced curvature of a specimen due to a chemical-mechanical polishing process.
  • the processor may be configured to alter a parameter of an instrument coupled to a chemical-mechanical polishing tool in response to the flatness measurement using a feedforward control technique.
  • the processor may be configured to alter a pressure of the polishing head coupled to the chemical-mechanical polishing tool in response to the flatness measurement using a feedforward control technique.
  • the polishing head may be configured such that pressure across the polishing head may vary from zone to zone. Therefore, altering a pressure of the polishing head may include altering a pressure of one or more zones of the polishing head. In this manner, a system as described herein may be used to increase a planarity of an upper surface of the specimen subsequent to chemical-mechanical polishing.
  • such a system may be coupled to a thermal tool such as a furnace or a rapid thermal annealing furnace.
  • a thermal tool such as a furnace or a rapid thermal annealing furnace.
  • the flatness measurement of a specimen may include a measure of stress-induced curvature of a specimen due to thermal processing.
  • such a system may also be coupled to an etch tool, a lithography tool, or a wafer manufacturing tool as described herein.
  • a system may be configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a measurement device.
  • the processor may be configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof.
  • the system may be further configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen sequentially or substantially simultaneously.
  • the system may be coupled to a lithography tool as described herein.
  • the system may be configured to determine at least a flatness measurement of the specimen prior to an exposure step of a lithography process.
  • the system may also be configured to determine an overlay misregistration of a specimen prior to the exposure step.
  • a system may be configured to determine at least a characteristic of an implanted region of the specimen and a presence of defects on the specimen.
  • the system may be configured as described herein.
  • the system may include a processor configured to determine at least a characteristic of an implanted region of the specimen and a presence of defects on the specimen from one or more output signals generated by a measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, or any combination thereof.
  • a modulated optical reflectometer an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer,
  • An ion implantation process typically involves producing a beam of ions and driving at least some of the ions into a semiconductor substrate.
  • the implantation of ions into a semiconductor substrate may alter electrical properties of the semiconductor substrate.
  • the electrical properties of the implanted semiconductor substrate may vary depending on a concentration of ions implanted into the semiconductor substrate.
  • the electrical properties of the implanted semiconductor substrate may also vary depending on the depth of the implanted portion of the semiconductor substrate and the distribution of the implanted ions as a function of thickness.
  • Such characteristics of the implanted region of the semiconductor substrate may vary depending on a number of factors including, but not limited to, a type of the ions, implantation energy, implantation dose, and masking materials formed on the semiconductor substrate.
  • an optical property of an upper, middle, or lower portion of the masking material may be used to determine a characteristic of implanted ions in the masking material such as depth of the implanted ions or a characteristic of the implantation process such as implantation energy.
  • a characteristic of implanted ions in the masking material such as depth of the implanted ions or a characteristic of the implantation process such as implantation energy.
  • ions will be driven into the masking material.
  • the implantation of ions into the masking material may cause physical damage to an upper surface of the masking material, and ions driven into the masking material may reside in the middle portion of the masking material.
  • the depth to which implantation of ions causes damage to the upper portion of the masking material may be a function of the energy of the ions.
  • the depth to which the ions are driven into the masking material may also be a function of the energy of the ions. For example, higher energy implantation processes may cause more damage to an upper portion of the masking material and may drive the ions farther into the masking material than lower energy ion implantation process. Therefore, the depth of the upper and middle portions of the masking material may be related to the implant energy of the ion implantation process. The depth of the upper and middle portions of the masking material may also be related to other process conditions of the ion implantation such as the species of ions being implanted or the implant dose. In addition, the measured thickness of the lower portion of the masking material may also vary depending upon ion implantation energy.
  • the thickness of the upper, middle, and lower portions may be determined by measuring an optical property of the masking material.
  • the implantation of ions into the masking material or the implanted masking material resulting from the ion implantation process may, therefore, be determined as a function of the measured optical property of the masking material.
  • an implanted masking material may be analyzed as a single, substantially homogenous, layer. Therefore, an optical property of substantially an entire implanted masking material may also be measured.
  • the entire implanted masking material may include the upper, middle, and lower portions of the implanted masking material as described above.
  • the individual optical properties of the upper, middle, and lower portions may, therefore, be effectively included in the measurement of the optical property of the entire implanted masking material.
  • an optical property of the entire implanted masking layer may include added or averaged optical properties of individual layers.
  • An optical property of a masking material measured as a single layer may be used to determine the ion implantation conditions.
  • an optical property of substantially the entire thickness of the masking material may be compared to an optical property of substantially the entire thickness of the masking material prior to ion implantation. Therefore, the comparison of the optical properties may indicate a change in the optical property of the masking material subsequent to the ion implantation.
  • a change in the optical property of the masking material may be attributed to implanted ions present in the masking material subsequent to an implantation process.
  • an optical property of substantially the entire implanted masking material may also be compared to an optical property of substantially an entire masking material implanted using known conditions. In this manner, comparing the optical properties of the two implanted masking materials may indicate if the ion implantation process is drifting over time or across several semiconductor substrates.
  • the optical property of the masking material may be a thickness, an index of refraction (or refractive index), or an extinction coefficient of the masking material or a portion of the masking material.
  • the optical property of the masking material may be measured using a broadband radiation technique such as spectroscopic ellipsometry or spectroscopic reflectometry.
  • the thickness of the masking material may also be measured separately using an additional optical technique such as dual-beam spectrophotometry. Examples of dual-beam spectrophotometry methods and systems are illustrated in U.S. Pat. No. 5,652,654 to Asimopoulos, U.S. Pat. No. 5,699,156 to Carver, and U.S. Pat. No.
  • the measured optical property of the implanted masking material may also be used to determine a characteristic of an implanted portion of the semiconductor substrate.
  • the implanted portion of the semiconductor substrate may be formed during the implantation of ions into the masking material or during subsequent ion implantation processes.
  • Characteristics of an implanted portion of a semiconductor substrate may include a depth of the implanted portion, a concentration of ions in the implanted portion, and a distribution of implanted ions as a function of the thickness of the implanted portion.
  • Such characteristics may be a function of a measured optical property of the masking material.
  • the function may describe a relationship between the optical property of the implanted masking material and the implantation of ions into the semiconductor substrate.
  • the function may be determined experimentally by implanting a masking material and a portion of a semiconductor substrate simultaneously.
  • the optical property of the implanted masking layer and the electrical properties of the implanted portion of the semiconductor substrate may then be measured.
  • the electrical properties of the implanted portion of the semiconductor substrate may be related to characteristics of the implantation of ions into the semiconductor substrate such as depth of the implanted portion or distribution of the implanted ions as a function of thickness of the semiconductor substrate.
  • a number of wafers may be processed and measured in this manner in order to generate a set of data that may be used to determine a functional relationship between an optical property of an implanted masking material and a characteristic of implanted ions in a semiconductor substrate.
  • the functional relationship may include a mathematical or theoretical model that describes a relationship between implantation in a masking material and implantation into a semiconductor substrate.
  • a mathematical or theoretical model may be used to determine the depth of an implanted portion of a semiconductor substrate using implant energy, implant dose, or depth of the implanted region of the masking material as determined from an optical property of the implanted masking material.
  • An example of a method for using spectroscopic ellipsometry and spectroscopic reflectometry to monitor ion implantation is illustrated in U.S. patent application Ser. No. 09/570,135, “Method of Monitoring Ion Implants by Examination of an Overlying Masking Material” to Strocchia-Rivera, filed on May 12, 2000, and is incorporated by reference as if fully set forth herein.
  • Optical evaluation of an ion implantation process may provide several advantages over current methods to evaluate an ion implantation process.
  • an optical method may provide non-destructive testing and may not interfere with processing of a semiconductor substrate or the performance of a fabricated semiconductor device.
  • optical evaluation of the masking material may not require additional processing such as annealing of the semiconductor substrate on which the masking material is formed. Therefore, evaluation of an ion implantation process using an optical method such as a broadband radiation technique may be performed during the ion implantation process.
  • a system configured to evaluate an ion implantation process as described herein may coupled to an ion implanter.
  • the system may include a measurement device as described herein.
  • the measurement device may be coupled to a process chamber of the ion implanter as shown, for example, in FIG. 17.
  • the measurement device may be coupled to the ion implanter such that the measurement device may be external to the ion implanter. In this manner, exposure of the components of the measurement device to chemical and physical conditions within the ion implanter may be reduced, and even eliminated.
  • the device may be externally coupled to the ion implanter such that the measurement device does not interfere with the operation, performance, or control of the ion implantation process.
  • the measurement device may be configured to focus an incident beam of broadband radiation onto a specimen in the ion implanter.
  • the measurement device may also be configured to detect at least a portion of a beam of broadband radiation returned from the specimen.
  • a process chamber of an ion implanter may include small sections of a substantially optically transparent material disposed within walls of the process chamber.
  • the small sections of transparent material may be configured to transmit the incident and returned beams of broadband radiation from an illumination system outside the process chamber to a specimen within the process chamber and from the specimen to a detection system outside the process chamber.
  • the optically transparent material may be further configured to transmit incident and returned beams of light without undesirably altering the optical properties of the incident and reflected beams.
  • An appropriate method for coupling a measurement device to an ion implanter may vary, however, depending upon, for example, a configuration of the ion implanter. For example, placement and dimensions of the transparent material sections disposed within the walls of the process chamber may depend on the configuration of the components within the process chamber. Therefore, a measurement device coupled to an ion implanter may be configured to measure optical properties of the masking material, optical properties of a portion of the masking material, optical properties of a multi-layer masking stack, or optical properties of the specimen during the implantation process.
  • the system may also include a processor coupled to the measurement device and the ion implanter.
  • the processor may be configured to interface with the measurement device and the ion implanter.
  • the processor may receive signals and/or data from the ion implanter representative of parameters of an instrument coupled to the ion implanter.
  • the processor may also be configured to receive signals and/or data from the measurement device representative of light returned from the specimen or at least one property of the implanted region of a specimen.
  • the processor may be further configured to control the measurement device and the ion implanter.
  • the processor may alter a characteristic of the implanted region of the specimen by altering a parameter of an instrument coupled to the ion implanter. Therefore, the system may monitor and control the implantation of ions during a process.
  • the system may be configured to monitor or measure variations in at least one optical property of the implanted masking material.
  • the measurement device may be configured to measure an optical property of the implanted masking material substantially continuously or at predetermined time intervals during an ion implantation process.
  • the processor may, therefore, receive one or more output signals from the measurement device that may be representation of light returned from the specimen.
  • the processor may also monitor variations in the one or more output signals over the duration of the ion implantation process.
  • the processor may also generate a signature representative of the implantation of the ions into the masking material.
  • the signature may include at least one singularity that may be characteristic of an endpoint of the ion implantation process.
  • An appropriate endpoint for an ion implantation process may be a predetermined concentration of ions in a masking material or in a specimen.
  • the predetermined concentration of ions may vary depending upon the semiconductor device feature being fabricated by the ion implantation process.
  • the processor may stop the implantation of ions by altering a level of a parameter of an instrument coupled to the ion implanter.
  • a method for fabricating a semiconductor device may include implanting ions into a masking material and a semiconductor substrate.
  • the masking material may be arranged on the semiconductor substrate such that predetermined regions of the semiconductor substrate may be implanted with ions. For example, portions of the masking material may be removed by a lithography process and/or etch process to expose regions of the semiconductor substrate to an implantation process.
  • an ion implantation process typically, an entire scanned may be scanned with a beam of dopant ions. Therefore, the remaining portions of masking material may inhibit the passage of dopant ions into underlying regions of the semiconductor substrate during an ion implantation process.
  • patterning the masking material may provide selective implantation of ions into exposed regions of the specimen.
  • the exposed regions may be regions of a specimen in which features of a semiconductor device are to be formed.
  • a dielectric material overlying a channel region of a gate during an ion implantation process may prevent implantation of ions into the gate conductor or the channel region beneath the gate conductor.
  • the exposed regions of the specimen may, therefore, correspond to a particular feature of the semiconductor device being fabricated such as a junction region.
  • ions may be implanted through a masking material and into underlying regions of the semiconductor substrate.
  • the masking material may include a thin gate dielectric material arranged over junction regions of a transistor.
  • Implantation of ions through a masking material may enhance the electrical properties of the implanted region of the semiconductor substrate, for example, by randomizing the directional paths of the ions which are being driven into the specimen.
  • the masking material may also be formed over a substantially planar specimen or over a non-planar specimen.
  • Fabricating a semiconductor device may also include monitoring implantation of ions into the semiconductor substrate by measuring at least one optical property of the masking material during the ion implantation process.
  • the optical property of the masking material may be altered by the implantation of ions into the masking material.
  • the method for fabricating a semiconductor device may also include determining at least one characteristic of the implanted ions in the semiconductor substrate. The characteristic may be determined, for example, using a function that describes a relationship between the optical property of the implanted masking material and the implantation of ions into the semiconductor substrate.
  • any material that may be substantially transparent to at least a portion of the light produced by a measurement device, as described above, may be used as a masking material for evaluation of an ion implantation process involving measurement of optical properties of a masking material.
  • the masking material may be a resist.
  • An appropriate resist may include photoresist materials that may be patterned by an optical lithography technique.
  • Other resists may also be used such as e-beam resists or X-ray resists, which may be patterned by an e-beam or an X-ray lithography technique, respectively.
  • the masking material may include an inorganic material.
  • Inorganic masking materials that may be used to inhibit ion implantation include, but are not limited to, silicon dioxide, silicon nitride, titanium nitride, polycrystalline silicon, cobalt silicide, and titanium silicide.
  • the inorganic masking material may be formed by deposition techniques, such as chemical vapor deposition, or thermal growth techniques.
  • the inorganic masking materials may be patterned using an etch technique.
  • the masking material may include two or more layers of different masking materials arranged in a stack.
  • the masking material may include a resist formed upon an inorganic material.
  • the inorganic material may be include any material that inhibits the implantation of ions through the masking material.
  • the inorganic material may not be transparent or may not exhibit any substantial changes in optical properties when exposed to ions.
  • the subsequent optical analysis may be done on the overlying resist material rather than on the underlying inorganic masking material.
  • the inorganic material may be formed on a specimen prior to coating the specimen with a resist. This additional inorganic material, in combination with an overlying resist, may serve as the masking stack.
  • An appropriate masking material may vary depending on, for example, an ion implantation process or an ion implanter configuration.
  • a semiconductor substrate may be significantly damaged due to the implantation of dopant ions into regions of the semiconductor substrate.
  • an implanted region of such a damaged semiconductor substrate may include of an upper crystalline damaged layer and an intermediate layer of amorphous silicon.
  • the damage in the upper crystalline layer may be caused, for example, by electronic collisions between atoms of the semiconductor substrate and the implanted ions. Displacement damage, however, may not be produced if ions entering the semiconductor substrate do not have enough energy per nuclear collision to displace silicon atoms from their lattice sites.
  • Increasing the dose of ions, and in particular relatively heavy ions may produce an amorphous region in which the displaced atoms per unit volume may approach the atomic density of the semiconductor substrate.
  • the thickness of the amorphous layer may also increase.
  • the presence of an amorphous layer of silicon may act as a boundary that may reflect optical radiation. Reflection of light by the amorphous layer may also effect the reflectance and ellipsometric measurements. Therefore, measurement of an optical property of the amorphous silicon layer may also be used to monitor the processing conditions of an ion implantation process.
  • an optical property of an implanted portion of a semiconductor substrate may be measured.
  • the optical property may be a thickness, an index of refraction, or an extinction coefficient of the implanted portion.
  • several optical properties of the implanted portion of the semiconductor substrate may be measured substantially simultaneously.
  • the optical property of the implanted portion of the semiconductor substrate and the optical property of the implanted masking material may also be measured substantially simultaneously.
  • a characteristic of the implanted ions in the semiconductor substrate may be determined from the measured optical property of the implanted portion of the semiconductor substrate. This characteristic may, therefore, be related to the implantation of ions into a portion of the semiconductor substrate or a characteristic of the resulting implanted semiconductor substrate.
  • the characteristic may be an implant energy, an implant dose, or an implant species of the ion implantation process.
  • the characteristic may be a concentration of ions, a depth, a distribution of the implanted ions as a function of thickness, or a presence of the implanted ions in the implanted portion of the semiconductor substrate.
  • optical properties of the implanted portion of the semiconductor substrate may be used to determine several characteristics substantially simultaneously, which may include, but are not limited to, any of the characteristics as described above.
  • a characteristic of the semiconductor substrate and a characteristic of the implanted ions in the masking material may also be determined substantially simultaneously.
  • optical properties of the implanted portion of the semiconductor substrate may be measured using a broadband wavelength technique as described herein.
  • a measurement device as described herein, may be configured to use a broadband wavelength technique to measure optical properties of an implanted portion of a semiconductor substrate.
  • the measurement device may be coupled to an ion implanter as described above such that measuring an optical property of the implanted portion of the semiconductor substrate may be performed during an ion implantation process. Therefore, variations in an optical property of the implanted portion of the semiconductor substrate may also be measured during an ion implantation process.
  • a signature characterizing the implantation of ions into the semiconductor substrate may be obtained. This signature may include a singularity characteristic of an end of the implantation process.
  • an appropriate endpoint may be, for example, a predetermined concentration of ions in the semiconductor substrate.
  • An appropriate processor as described herein, may then reduce or substantially stop processing of the semiconductor substrate by controlling the ion implanter.
  • the measured optical properties of the implanted masking material may be used to determine processing conditions for subsequent ion implantation processes of additional specimens such as additional semiconductor substrates or semiconductor device product wafers.
  • the implant energy of the implantation of ions into the masking material may be determined using the measured optical property of the implanted masking material.
  • the determined implant energy may be used to determine depth of an implanted portion of a semiconductor substrate during an ion implantation process.
  • the depth of the implanted portion of the semiconductor substrate may also be determined from a measured optical properties of the implanted portion of the semiconductor substrate.
  • the determined depth of the implanted portion of the semiconductor substrate may be less than a predetermined depth.
  • the predetermined depth may vary depending on, for example, a feature fabricated during the ion implantation process. Therefore, before processing additional semiconductor substrates, or product wafers, the implant energy or another process condition of the ion implantation process may be altered such that a depth of an implanted portion of the additional semiconductor substrates may be approximately equal to the predetermined depth. For example, an implant energy of the ion implantation process may be increased to drive the ions deeper into the semiconductor substrate.
  • measured optical properties of a masking material may be used to determine and alter process conditions of an ion implantation process using a feedback control technique.
  • measured optical properties of an implanted portion of a semiconductor substrate may be used to determine and alter process conditions of an ion implantation process using a feedback control technique.
  • measured optical properties of an implanted masking material may be used to determine process conditions of additional semiconductor fabrication processes that may be performed subsequent to an ion implantation process.
  • Additional semiconductor fabrication processes may include, but are not limited to, a process to anneal the implanted regions of a semiconductor substrate and a process to remove the masking material.
  • an implant energy of an ion implantation process may be determined using a measured optical property of an implanted masking material.
  • the determined implant energy may be used to determine a depth that ions may be implanted into a semiconductor substrate using the ion implantation process.
  • a depth of the implanted portion of a semiconductor substrate may also be determined using a measured optical property of the implanted semiconductor substrate.
  • the determined depth of the implanted portion of the semiconductor substrate may be greater than a predetermined depth.
  • Process conditions of an annealing process performed subsequent to the ion implantation process may be optimized for the predetermined. Therefore, before annealing an implanted semiconductor substrates having the determined depth, a process condition of the annealing process such as anneal time or anneal temperature may be altered. In this example, the anneal time of the annealing process may be increased to ensure substantially complete recrystallization of the amorphous layer formed in the semiconductor substrate by the ion implantation process.
  • measured optical properties of a masking material may be used to determine process conditions of a semiconductor fabrication process performed subsequent to an ion implantation process using a feedforward control technique.
  • Measured optical properties of an implanted portion of a semiconductor substrate may also be used to determine process conditions of a semiconductor fabrication process performed subsequent to an ion implantation process using a feedforward control technique.
  • a set of data that may include measured optical properties of a masking material may be collected and analyzed.
  • the set of data may be used to determine processing conditions of an ion implantation process or to monitor the processing conditions over time.
  • Process control methods as described herein may also be used in conjunction with electrical testing of an implanted region of a semiconductor substrate.
  • the combination of optical and electrical analysis may provide a larger amount of characterization data for an ion implantation process.
  • the characterization data may be used to assess the mechanisms of ion implantation, to determine the cause of defects, and to alter process conditions.
  • this process control strategy may be used to qualify, or characterize the performance of, a new ion implanter.
  • this process control strategy may be used to determine an appropriate masking material and masking material thickness in development of an ion implantation process.
  • the process control method may also be used to compare the performance of two or more ion implanters.
  • Such a process control method may be used in a manufacturing facility in which several ion implanters may be used in parallel to manufacture one type of device or product.
  • a system may be configured to determine at least an adhesion characteristic of a specimen and a thickness of the specimen.
  • the system may be configured as described herein.
  • the system may also include a processor coupled to a measurement device.
  • the processor may be configured to determine other properties of the specimen from the detected light.
  • the measurement device may include a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, an eddy current device, an acoustic pulse device, or any combination thereof.
  • the processor may be configured to determine at least an adhesion characteristic and a thickness of the specimen from one or more output signals from the measurement device.
  • an acoustic pulse device or a photo-acoustic device may be configured to use acoustic pulses to characterize a layer formed upon a specimen.
  • acoustic pulses may be used to determine a thickness of a layer such as a metal disposed on a specimen.
  • An advantage of an acoustic pulse device is that measuring a property of a layer formed on a specimen with the device is substantially non-destructive.
  • An acoustic pulse device may be configured to apply a laser pulse to a specimen. The laser pulse may be absorbed within one absorption length from an upper surface of the layer thereby causing a rise in local surface temperature.
  • the layer may undergo thermal stresses, which may generate an elastic pulse in the layer.
  • the elastic pulse may propagate across the layer at approximately the velocity of sound.
  • the time of flight for the elastic pulse across the layer may be measured and may be used to determine a thickness of the layer. Measuring the time of flight for the elastic pulse may include steps of the methods described below.
  • a laser pulse of radiation may be applied to a first surface area of a specimen to non-destructively generate an elastic pulse in the specimen.
  • the elastic pulse may cause the first surface area to move.
  • the acoustic pulse device may include an interferometer configured to detect an acoustic echo of the pulse traversing the specimen.
  • the interferometer may also be configured to provide a pair pulses including a probe pulse and a reference pulse of radiation.
  • the interferometer may be further configured to direct the probe pulse to the first surface area when it is moved by the elastic pulse and a reference pulse to a second surface area.
  • the second surface area may be laterally spaced from the first surface area.
  • the interferometer may also be configured to monitor the reflection of the pulses off of the surface of the specimen.
  • the reflection of the pair of pulses may be used to determine a thickness of a layer on the specimen.
  • a processor of the system may be configured to determine a thickness of the layer using one or more output signals from the interferometer.
  • a method for non-destructively measuring properties of a specimen may include directing a pump pulse of radiation to a first surface area of the specimen to non-destructively generate an elastic pulse in the specimen.
  • the generated elastic pulse may cause the first surface area to move.
  • the method may also include directing a probe pulse and a reference pulse of radiation to the specimen using an interferometer. Directing the probe and reference pulses may include directing the probe pulse to the first surface area when it is moved by the elastic pulse and directing the reference pulse to a second surface area. The second surface area may be laterally spaced from the first surface area.
  • the method may include monitoring reflections of the probe and reference pulses.
  • the method may also include determine a thickness of a layer on the specimen.
  • an acoustic pulse device may be configured to determine a thickness of a layer by using a probe pulse and a reference pulse that are substantially in phase with each other.
  • the in-phase pulses may be used to measure an acoustic echo created by a pump pulse applied to an area of the layer.
  • the applied pump pulse may create an elastic pulse that may propagate through the layer.
  • the probe pulse may be directed to the area of the specimen through which the elastic pulse propagates.
  • the reference pulse may be directed to substantially the same surface area or a different surface area of the sample such that the pair of pulses may be modified by the specimen.
  • the modified pulses may interfere at a detector. For example, at least one of the pulses may be modulated in phase or frequency before or after modification by the sample and prior to detection by the detector.
  • an optical delay may be used to alter a time relationship between the pump pulse and the probe pulse.
  • the probe pulse may be directed to the specimen surface when it is influenced by the elastic pulse created by the pump pulse.
  • the reference and probe pulses may be directed along substantially the same optical path between an optical source and a detector.
  • Such a configuration may reduce, and even minimize, random noise in one or more output signals of the detector, which may be caused, for example, by environmental factors.
  • U.S. patent application Ser. No. 09/375,664 which is incorporated by reference as if fully set forth herein.
  • Acoustic pulse devices as described above, may be incorporated into any of the systems and/or process tools as described herein.
  • a system may be configured to determine at least a concentration of an element in a specimen and a thickness of a layer on the specimen.
  • the system may be configured as described herein.
  • the system may also include a processor coupled to a measurement device.
  • the processor may be configured to determine at least a concentration of an element in a specimen and a thickness of a layer formed on the specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties of the specimen from the detected light.
  • the measurement device may include a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, an ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, an eddy current device, or any combination thereof.
  • An X-ray reflectance (“XRR”) technique may be used to measure a property of a specimen such as a concentration of an element in a thickness of a layer or at an interface between layers on a specimen.
  • X-ray reflectance may also be used to determine a thickness of a layer or an interface between layers on a specimen.
  • Layers which may be measured by X-ray reflectance may include layers substantially transparent to light such as dielectric materials and layers substantially opaque to light such as metals.
  • X-ray reflectance may include irradiating a surface of a specimen with X-rays and detecting X-rays reflected from the surface of the specimen. A thickness of a layer may be determined based on interference of X-rays reflected from the surface of the specimen.
  • reflection of X-rays from the surface of the specimen may vary depending on refractive index changes at a surface of a layer on the specimen and at an interface between layers on the specimen and the density of the layer or of the interface. Therefore, a complex refractive index in an X-ray regime may be directly proportional to a density of a layer. In this manner, a concentration of an element in a layer or at an interface between layers may be determined based on the density and thickness of the layer.
  • X-ray reflectance may be performed at different angles of incidence depending upon, for example, characteristics of a specimen.
  • An X-ray reflectance curve may be generated by a processor using one or more output signals responsive to the detected X-rays reflected from the surface of the specimen.
  • the X-ray reflectance curve may include an average reflectance component, which may be caused by bulk properties of the specimen.
  • the average reflectance component may be subtracted from the one or more output signals such that an interference oscillation component curve may be generated. Parameters of the interference oscillation component curve may be converted, and a Fourier transform may be performed.
  • a thickness of a layer may be determined by a position of a peak of a Fourier coefficient, F(d).
  • a peak intensity of the Fourier coefficient, F(d) may be used to determine a layer density or an interface density.
  • a relationship between a peak intensity of a Fourier coefficient and a layer density may be simulated and may be used to determine a layer density.
  • a layer density may be determined based on the X-ray reflectance curve by fitting the curve to model data using a mathematical method such as a nonlinear least squares curve-fitting method. In such a method, several of the fitted parameters may be inter-related. Therefore, parameters that may be substantially constant across specimens may be fixed at average values in order to prevent multiple solutions.
  • a concentration of an element on a surface of a layer or at an interface between layers may be determined by using data that may describe a relationship between interface layer density and concentration.
  • the data may be generated by another analytical technique such as secondary ion mass spectroscopy (“SIMS”).
  • SIMS may involve removing material from a sample by sputtering ions from the surface of the sample and analyzing the sputtered ions by mass spectrometry. Examples of SIMS techniques are illustrated in U.S. Pat. No. 4,645,929 Criegern et al., U.S. Pat. No. 4,912,326 to Naito, U.S. Pat. No. 6,078,0445 to Maul et al., and U.S. Pat. No.
  • a plurality of samples having various elemental concentrations may be prepared.
  • the samples may be analyzed by XRR to determine density of the layer or interface of interest and may also be analyzed by SIMS to determine a concentration of the layer or interface of interest.
  • a relationship between density and concentration may then be determined. The determined relationship may be used to determine concentration of an element on a surface of a layer or at an interface between layers in additional specimen.
  • a device configured to measure X-ray reflectance of a layer or an interface between layers of a specimen may include a measurement chamber.
  • a specimen may be supported within the measurement chamber by a stage or another mechanical device.
  • An appropriate stage or mechanical device may be configured to maintain a position of the specimen during measurement and for moving the specimen before, during, and/or after X-ray reflectance measurements.
  • the stage or mechanical device may also be further configured as described herein.
  • the measurement chamber may also be configured as a process chamber of a process tool, which may be used for semiconductor fabrication.
  • the process chamber may include a deposition chamber in which a metal film may be formed on a specimen or an ion implantation chamber in which ions may be driven into a specimen.
  • X-ray reflectance measurements may be performed prior to, during, or subsequent to a process performed in the process chamber.
  • the measurement chamber may also be disposed within or proximate a process tool such that a specimen may be moved from a process chamber of the process tool to the measurement chamber.
  • the measurement chamber may be coupled to a chemical-mechanical polishing tool such that X-ray reflectance measurements may be performed prior to or subsequent to a process step of a chemical-mechanical polishing process.
  • the device configured to measure X-ray reflectance of a layer or an interface between layers of a specimen may also include an X-ray source such as a rotor X-ray source. X-rays generated by the X-ray source may be passed through a germanium monochromator.
  • the measurement chamber may also include a beryllium window in a wall of the measurement chamber through which the X-rays may enter the measurement chamber. In this manner, X-rays may be directed to a surface of a specimen supported within the measurement chamber.
  • the device may include an X-ray detector arranged on a side of the measurement chamber opposite to the X-ray source. As such, X-rays reflected from the surface of the specimen may be detected.
  • the system may also include a controller computer configured to control the device and/or individual components of the device.
  • the controller computer may also be configured to process a signal generated by the detector in response to the detected X-rays and to determine a concentration of an element in a layer or an interface between layers of a specimen.
  • the controller computer may be further configured as a processor as described herein. Additional examples of X-ray reflectance methods and systems are illustrated in U.S. Pat. No. 5,740,226 to Komiya et al. and U.S. Pat. No. 6,040,198 to Komiya et al., which are incorporated by reference as if fully set forth herein.
  • an eddy current device may be configured to measure a thickness of a layer formed upon a specimen. Eddy current devices may also be configured to measure junction leakage in a specimen.
  • An eddy current device may include a sensor configured to apply an alternating current to a specimen. The applied alternating current may cause an eddy current in the specimen. The resistance or conductance of the specimen may be analyzed using the eddy current. A thickness of a layer on the specimen may be determined by a change in resistance or conductivity. Methods for using eddy currents to determine a thickness of a layer on a specimen are illustrated in U.S. Pat. No. 6,086,737 to Harada, and U.S. Patent Application entitled “In-Situ Metallization Monitoring Using Eddy Current Measurements, by K. Kehman, S. M. Lee, W. Johnson, and J. Fielden, which are incorporated by reference as if fully set forth herein.
  • a sensor or an eddy current device may include a capacitor and an inductor.
  • the sensor may be positioned proximate to the specimen.
  • the inductor may be configured to couple an alternating (“ac”) electromagnetic field to the layer.
  • the alternating electromagnetic field may induce eddy (i.e., Foucault) currents in the layer, and two effects may be present.
  • the layer may act as a lossy resistor, and the effect will be a resistive loading on a sensor circuit, which will lower the amplitude of the resonant signal and lower the resonant frequency.
  • a decrease in the layer thickness may produce an effect as though a metal rod were being withdrawn from the coil of the inductor thereby causing a change in inductance as well as a frequency shift.
  • the eddy currents may change, and thus their resistive loading effect and magnitude of frequency shift may change as well.
  • a change in thickness of a layer may be monitored substantially continuously or intermittently by monitoring changes in any of these parameters.
  • any conductive film may be monitored using an eddy current device, not just a layer such as a thin film on a semiconductor substrate.
  • an eddy current device for example, in an electroplating process, metal ions in a plating solution dissolved from a metal block electrode acting as an anode may be deposited on a target at the cathode to form a film. Eddy current measurements may be used to monitor formation of the film on the target during the electroplating process, both in-situ and real time.
  • Eddy current devices and measurements may be used in a variety of applications.
  • an eddy current device may be coupled to a chemical mechanical polishing tool.
  • the eddy current device may be used to determine one or more endpoints of the polishing process and/or a thickness of one or more polished layers prior to, during, or subsequent to the polishing process.
  • an eddy current device may be coupled to a deposition tool.
  • the eddy current device may be utilized to detect a thickness of a deposited layer, either after the layer is deposited or while the layer is being deposited.
  • the eddy current device may also be used to determine one or more endpoints of the deposition process.
  • monitoring eddy current characteristics and surface photovoltage may be used in combination to determine a junction leakage in a specimen.
  • a specimen such as a semiconductor substrate may include a first type junction and a second type junction.
  • Junction leakage may be monitored by applying varying light to the semiconductor substrate, measuring a surface photovoltage created on the surface of the semiconductor substrate, and measuring the eddy current characteristic for the semiconductor substrate in response to the light.
  • a junction leakage characteristic of at least one of the junction types may be determined from the combination of surface photovoltage and the eddy current characteristics. The use of eddy current monitoring to measure junction leakage is described in further detail in U.S. Pat. No. 6,072,320 to Verkuil, which is incorporated herein by reference.
  • Eddy current measurement devices may be included in any of the systems, as described herein.
  • a system may include an eddy current measurement device coupled to a measurement device configured as a spectroscopic ellipsometer.
  • a processor of the system may be configured to determine at least two characteristics of a specimen, which may include a thickness of a layer on a specimen and a critical dimension of a feature on the specimen.
  • the layer may include a barrier layer, and the feature may include a “seat.”
  • a system including an eddy current measurement device and a spectroscopic ellipsometer may be coupled to a process tool such as an atomic layer deposition (“ALD”) tool.
  • ALD may be used to form a barrier layer and/or a seat.
  • ALD may typically be a technique for depositing thin films that may involve separating individual reactants and taking advantage of the phenomenon of surface adsorption. For example, when a specimen is exposed to a gas, the specimen may be coated with a layer of the gas. Upon removing the gas, for example, by pumping the gas out of the process chamber with a vacuum pump, under certain circumstances a monolayer of the gas may remain on a surface of the specimen.
  • the monolayer may held relatively weakly on the surface of the specimen by physical adsorption forces.
  • a surface chemical reaction may occur, and the gas may be held relatively strongly on the surface of the specimen by chemisorption forces.
  • a second reactant may be introduced to the process chamber such that the second reactant may react with the adsorbed monolayer to form a layer of solid film.
  • relatively thin solid films such as barrier layers may be grown one monolayer at a time.
  • such thin solid films may be amorphous, polycrystalline, or epitaxial depending on, for example, the specific process.
  • FIG. 23 illustrates an embodiment of a system configured to evaluate a deposition process.
  • a system may include measurement device 238 coupled to deposition tool 240 .
  • Measurement device 238 may be coupled to deposition tool 240 such that the measurement device may be external to a process chamber of the deposition tool. As such, exposure of the measurement device to chemical and physical conditions within the process chamber may be reduced, and even eliminated.
  • the measurement device may be externally coupled to the process chamber such that the measurement device may not alter operation, performance, or control of the deposition process.
  • a process chamber may include relatively small sections of a substantially optically transparent material 242 disposed within walls of the process chamber.
  • the configuration of a deposition tool may determine an appropriate method to couple the measurement device to the deposition tool.
  • placement and dimensions of substantially optically transparent material sections 242 disposed within the walls of the process chamber may vary depending on, for example, the arrangement of the components within the process chamber.
  • measurement device 238 may be coupled external to the process chamber such that the measurement device may direct energy to a surface of the specimen and may detect energy returned from a surface of the specimen as a specimen is being placed within and/or being removed from the process chamber.
  • a surface of the specimen may include a front side of the specimen or a back side of the specimen.
  • the deposition tool may be a chemical vapor deposition tool or a physical vapor deposition tool configured to deposit dielectric materials or conductive materials. Examples of deposition tools are illustrated in U.S. Pat. No. 4,232,063 to Rosler et al., U.S. Pat. No. 5,695,568 to Sinha et al., U.S. Pat. No. 5,882,165 to Maydan et al., U.S. Pat. No. 5,935,338 to Lei et al., U.S. Pat. No. 5,963,783 to Lowell et al., U.S. Pat. No. 6,103,014 to Lei et al., U.S. Pat. No.
  • measurement device 238 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16.
  • the measurement chamber may be coupled to deposition tool 240 , as shown in FIG. 17.
  • the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of deposition tool 240 .
  • the deposition tool may include a cluster of process chambers that may each be configured to perform substantially similar processes or different processes.
  • the measurement chamber may disposed laterally or vertically proximate a load chamber of deposition tool 240 .
  • a load chamber of a deposition tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the deposition tool.
  • a robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a deposition tool such as anywhere proximate the deposition tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a process chamber and the system.
  • a robotic wafer handler of deposition tool 240 , stage 264 , or another suitable mechanical device may be configured to move specimen 246 to and from the measurement chamber and process chambers of the deposition tool.
  • the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 246 between process chambers of the deposition tool and the measurement chamber.
  • Measurement device 238 may be further coupled to deposition tool 240 as further described with respect to FIG. 17.
  • Measurement device 238 may include first illumination system 244 configured to direct light having a known polarization state to specimen 246 such that a region of the specimen may be illuminated prior to, during, or subsequent to a deposition process. A portion 249 of the light directed to specimen 246 by first illumination system 244 may propagate from the illuminated region of the specimen.
  • the measurement device may include detection system 248 configured to analyze a polarization state of light 249 propagating from the surface of specimen 246 prior to, during, or subsequent to a deposition process. In this manner, the measurement device may be configured to operate as a spectroscopic ellipsometer.
  • measurement device 238 may include second illumination system 250 configured to direct light having a known polarization state to specimen 246 such that a region of the specimen may be illuminated during a deposition process. A portion 251 of the light directed to specimen 246 by second illumination system 250 may propagate from the illuminated region of the specimen along a path of the directed light.
  • the measurement device may include detection system 252 configured to measure an intensity of the light propagating from the surface of specimen 246 prior to, during, or subsequent to a deposition process. In this manner, the measurement device may also be configured to operate as a spectroscopic reflectometer. The measurement device, however, may also be configured to operate as a beam profile ellipsometer and a null ellipsometer.
  • the relatively small sections of substantially optically transparent material 242 may be configured to transmit light from light source 254 of first illumination system 244 outside the process chamber to a surface of specimen 246 within the process chamber and to transmit light propagating from the surface of the specimen to detector 256 outside the process chamber.
  • relatively small sections of substantially optically transparent material 242 may be configured to transmit light from light source 258 of second illumination system 250 outside the process chamber to a surface of specimen 246 within the process chamber and to transmit light propagating from the surface of the specimen to detectors 260 and 262 outside the process chamber.
  • the substantially optically transparent material may have optical or material properties such that the light from light sources 254 and 258 and the light propagating from a surface of specimen 246 may pass through relatively small sections 242 disposed within process chamber without undesirably altering the optical properties of the directed and returned light.
  • the substantially optically transparent material may be configured to focus light from light sources 254 and 258 onto the surface of semiconductor 246 .
  • measurement device 238 may be coupled to stage 264 disposed within the process chamber. Stage 264 may be configured as described herein.
  • Spectroscopic ellipsometry may include focusing an incidence beam of polarized light on a specimen and monitoring a change in polarization for at least a portion of the incidence beam reflected from the specimen across a broad spectrum of wavelengths.
  • Examples of spectroscopic ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,412,473 to Rosencwaig et al., U.S. Pat. No. 5,581,350 to Chen et al., U.S. Pat. No. 5,596,406 to Rosencwaig et al., U.S. Pat. No.
  • Light source 254 may include any of the light sources as described herein, which may be configured to emit broadband light.
  • Illumination system 244 may include optical component 266 positioned along a path of the emitted light.
  • Optical component 266 may be configured to alter a polarization state of the emitted light such that light having a known polarization state such as linearly or circularly polarized light may be directed to a surface of specimen 246 .
  • illumination system 244 may also include an additional optical component (not shown) configured to focus and direct light emitted from light source 254 to the surface of specimen 246 .
  • Detection system 248 may also include optical component 268 positioned along a path of the light propagating from the surface of the specimen.
  • Optical component 268 may be configured to function as an analyzer of a spectroscopic ellipsometer.
  • Detection system 248 may also include a dispersion element such as a spectrometer (not shown).
  • the dispersion element may be configured to separate light propagating from the surface of the specimen having different wavelengths.
  • the separated components of the beam may be detected by individual elements of detector 256 , which may be configured to function as a detector array.
  • the polarizer may be configured to rotate such that a time varying intensity may be detected by the elements of the detector array.
  • Processor 270 may be configured to receive one or more output signals from detector 256 and may be configured to process the data.
  • Output signals from detector 256 may be responsive to an intensity of light at elements of the detector array.
  • Processor 270 may be configured to convert the output signals to ellipsometric parameters, ⁇ and ⁇ , by mathematical equations known in the art as described above.
  • Processor 270 may be configured to convert the ellipsometric parameters, ⁇ and ⁇ , to a property of a layer being formed upon a surface of specimen 246 using a mathematical, or optical, model as described herein.
  • processor 270 may be configured to determine a thickness, an index of refraction, and an extinction coefficient of a layer, a portion of a layer, or several layers on specimen 246 from the ellipsometric parameters by using an optical model.
  • a thickness, an index of refraction, and an extinction coefficient may be commonly referred to as “thin film” characteristics of a layer.
  • processor 270 may be configured to determine a critical dimension of a feature on specimen 246 from one or more output signals from measurement device 238 .
  • a critical dimension of a feature may include, but is not limited to, a lateral dimension such as a width, a vertical dimension such as a height, and a sidewall profile as described herein.
  • processor 270 may be further configured to determine a thickness, an index or refraction, and/or an extinction coefficient of a layer of the specimen, and a critical dimension of a feature on the specimen from one or more output signals from measurement device 238 .
  • processor 270 may be configured to compare one or more output signals from the measurement device with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics such as width, height, and sidewall profile. Expected output signals versus wavelength for different characteristics of a predetermined table may be determined, for example, experimentally with specimens of known characteristics and/or theoretically through mathematical modeling.
  • processor 270 may be configured to compare one or more output signals from measurement device 238 with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics and interpolated data between the expected output signals versus wavelength.
  • processor 270 may be configured to perform an iteration using one or more starting guesses through (possibly approximate) equations to converge to a good fit for one or more output signals from the measurement device. Suitable equations may include, but are not limited to, any non-linear regression algorithm known in the art.
  • the system may further include a calibration ellipsometer (not shown).
  • the calibration ellipsometer may be configured to determine a thickness of a reference layer on a specimen. The thickness of the reference layer may then be measured using the spectroscopic ellipsometer of the measurement device as described herein.
  • a phase offset of the thickness measurements of the reference layer generated by the calibration ellipsometer and the measurement device may be determined by processor 270 .
  • the processor may be configured to use the phase offset to determine additional layer thicknesses from measurements made by the measurement device.
  • the calibration ellipsometer may also be coupled to the process chamber of the deposition tool. As such, the calibration ellipsometer may be used to reduce, and even eliminate, variations in measured ellipsometer parameters.
  • measurements of the ellipsometric parameter, ⁇ may vary due to changing environmental conditions along one or more optical paths of the measurement device.
  • a variation in the ellipsometric parameter, ⁇ may alter thickness measurements of a layer on a specimen. Therefore, a calibration ellipsometer may be used to reduce, and even eliminate, a drift in thickness measurements of a layer on a specimen.
  • Spectroscopic reflectometry may include focusing a broadband radiation beam on a specimen and measuring a reflectance spectrum and index of refraction of the specimen from which a thickness of a layer may be determined.
  • Example of spectroscopic reflectometers are illustrated in U.S. Pat. No. 4,999,014 to Gold et al., and U.S. Pat. No. 5,747,813 to Norton et al. and are incorporated by reference as if fully set forth herein.
  • Second illumination system 250 may include light source 258 such as xenon arc lamp. Light source 258 may also include any light source configured to emit broadband light, which may include visible and ultraviolet light. Second illumination system 250 may also be coupled to beam splitter 259 . Beam splitter 259 may be configured to direct light emitted by light source 258 to a surface of specimen 246 such that a substantially continuous broadband spectrum of light may be directed to the surface of specimen 246 .
  • the sample beam may be focused onto a region of specimen 246 , and at least a portion of the sample beam reflected from the illuminated region may be passed through a spectrometer (not shown) of detection system 252 .
  • detection system 252 may include a diffraction grating (not shown) configured to disperse light passing therethrough as it enters the spectrometer.
  • a resulting first order diffraction beam may be collected by detector 260 or detector 262 , which may include a linear photodiode array.
  • the photodiode array therefore, may measure a sample reflectance spectrum.
  • a relative reflectance may be obtained by dividing the sample light intensity at each wavelength by a relative reference intensity at each wavelength.
  • a relative reflectance spectrum may be used to determine the thickness of one or more layers on the specimen.
  • reflectance at a single wavelength and a refractive index of one or more layers may also be determined from the relative reflectance spectrum.
  • MMME model method by modal expansion
  • the MMME model is a rigorous diffraction model that may be used to determine the theoretical diffracted light “fingerprint” from each grating in the parameter space.
  • Alternative models may also be used to calculate the theoretical diffracted light such as a rigorous coupling waveguide analysis (“RCWA”) model.
  • the measured reflectance spectrum may be fitted to the library of various reflectance spectrums.
  • the polarization state and the intensity of light propagating from a surface of specimen 246 may be altered during formation of a layer on specimen 246 .
  • a layer may be formed on specimen 246 by introducing reactant gases such as silane, chlorosilane, nitrogen and/or ammonia in the process chamber.
  • the reactant gases may decompose and react at a heated surface of a specimen to form a deposited layer of material. In this manner, a thickness of the layer being formed on a surface of specimen 246 may increase during the deposition process.
  • the reflectivity of the surface of the layer may vary approximately sinusoidally with variations in the thickness of the layer. Therefore, the intensity of the returned light may vary depending on a thickness of the deposited layer.
  • thickness variations of a layer on a specimen may vary depending on, for example, parameters of an instrument coupled to the deposition tool. Parameters of an instrument coupled to the deposition tool may determine the process conditions of a deposition process. For example, a deposition rate may be defined as a thickness of a layer formed on a surface of a specimen in a period of time. The deposition rate, therefore, may affect variations in the thickness of a layer on a specimen during a deposition process. A deposition rate may be substantially constant throughout a deposition process. Alternatively, a deposition rate may vary throughout a deposition process.
  • the deposition rate may vary depending on a number of parameters of one or more instruments coupled to the deposition tool that may include, but are not limited to, temperature within the process chamber, temperature gradients in the process chamber, pressure within the process chamber, total flow rates of the reactant gases, reactant gas ratios, and a flow rate of one or more dopant gases.
  • a processor coupled to a measurement device may be configured to determine a parameter of an instrument coupled to a deposition tool from the measured intensity variations of the light propagating from a surface of the specimen during a deposition process.
  • a processor coupled to a measurement device may be configured to determine a property of a layer formed on a specimen from detected light.
  • the measurement device may be configured as described in above embodiments.
  • the property of the formed layer may include, but is not limited to, a thickness, an index of refraction, an extinction coefficient, a critical dimension, or any combination thereof.
  • the specimen may be polished such that an upper surface of the deposited material may be substantially planar.
  • a layer of resist may be formed on the deposited layer and the layer of resist may be exposed to pattern the resist during a lithography process.
  • selected regions of the deposited layer may exposed, and at least a portion of the selected regions may be removed in an etch process.
  • a conductive material such as aluminum or copper may be deposited in the etched portions of the deposited layer and on an upper surface of the deposited layer, for example, by a physical vapor deposition process.
  • the specimen may be polished such that an upper surface of the specimen may be substantially planar. In this manner, a number of semiconductor features such as interlevel contact structures may be formed on the specimen.
  • the properties of the semiconductor features formed on the specimen may vary depending upon, for example, properties of the deposited layer and the conductive material and process conditions of the deposition, polishing, lithography, etch, and physical vapor deposition processes. As such, properties of semiconductor features on a specimen may be determined using the determined properties of the deposited layer.
  • a processor coupled to the measurement device may also be configured to determine a presence of defects such as foreign material on the deposited layer prior to, during, or subsequent to the deposition process from the detected light.
  • processor 270 may be coupled to measurement device 238 and deposition tool 240 .
  • the processor may be configured to interface with the measurement device and the deposition tool.
  • the processor may receive one or more signals from the deposition tool during a deposition process.
  • the signals may be representative of a parameter of one or more instruments coupled to the deposition tool.
  • the processor may also be configured to receive one or more signals from the measurement device. Signals from the measurement device may be representative of the detected light from detector 256 , 260 , and 262 as described herein.
  • measurement device 238 may be configured, as described herein, to measure variations in the intensity of light propagating from the specimen during a deposition process.
  • measurement device 238 may be configured to measure the intensity of light propagating from the specimen substantially continuously or at predetermined time intervals during a deposition process.
  • the processor may, therefore, be configured to monitor variations in output signals from the measurement device during a deposition process.
  • the processor may be configured to determine a relationship between the monitored variations and/or the output signals from the measurement device and output signals from the deposition tool responsive to a parameter of one or more instruments coupled to the deposition tool.
  • the processor may be configured to alter a parameter of one or more instruments coupled to the deposition tool using the determined relationship.
  • the processor may be configured to determine a parameter of one or more instruments using the determined relationship and one or more output signals from the measurement device.
  • the processor may be further configured to control the measurement device and the deposition tool.
  • the processor may be configured to alter a parameter of an instrument coupled to the deposition tool in response to the detected light.
  • the processor may be configured to alter a parameter of an instrument coupled to the deposition tool using an in situ control technique.
  • the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to the detected light.
  • the processing device may be configured to alter a sampling frequency of the measurement device in response to the detected light.
  • processor 270 may also generate a signature, which may be representative of the formation of a layer on specimen 246 .
  • the signature may include at least one singularity that may be characteristic of an endpoint of the deposition process.
  • an appropriate endpoint for a deposition process may be a predetermined thickness of a layer on the specimen.
  • a predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, the semiconductor device fabricated by the deposition process.
  • the processor may be configured to reduce, and even terminate, deposition of the layer on the specimen by altering a parameter of an instrument coupled to the deposition tool.
  • processor 270 may be configured to use one or more output signals from measurement device 238 to determine a parameter of one or more instruments coupled to deposition tool 240 for deposition of layers on additional specimens. For example, a thickness of a layer on a specimen may be determined using one or more output signals from measurement device 238 . The thickness of the layer on the specimen may be greater than a predetermined thickness. Therefore, before processing additional specimens, a flow rate of a reactant gas or another parameter of one or more instruments coupled to the deposition tool may be altered. In this manner, a thickness of layers formed on the additional specimens may be closer to the predetermined thickness than the measured layer.
  • the flow rate of the reactant gas used in the deposition process may be decreased to deposit a thinner the layer on the additional specimens.
  • the processor may be used to alter a parameter of one or more instruments coupled to a deposition tool in response to one or more output signals of the measurement device using a feedback control technique.
  • processor 270 may be configured to determine a parameter of one or more instruments coupled to a process tool, configured to perform additional semiconductor fabrication processes, using one or more output signals from measurement device 238 .
  • the additional semiconductor fabrication processes may be performed subsequent to a deposition process.
  • Additional semiconductor fabrication processes performed subsequent to a deposition process may include, but are not limited to, a chemical-mechanical polishing process configured to planarize a deposited layer on the specimen. For example, a thickness of a layer deposited on a specimen during a deposition process may be determined using one or more output signals from the measurement device. The determined thickness of the deposited layer may be greater than a predetermined thickness for the layer.
  • Process conditions of a subsequent polishing process may be optimized for the predetermined thickness of the deposited layer on the specimen. Therefore, before polishing the deposited layer, a parameter of one or more instruments coupled to a polishing tool such as process time or pressure applied to a back side of the specimen may be altered such that an upper surface of the deposited layer may be planarized. For example, a process time may be increased to ensure substantially complete planarization of the deposited layer.
  • the processor may be configured to alter a parameter of an instrument coupled to a chemical mechanical polishing tool in response to one or more output signals from the measurement device using a feedforward control technique.
  • the processor and the measurement device may be further configured according to any of the embodiments described herein.
  • a processor coupled to the measurement device may also be configured to detect defects on the specimen, a thickness of a deposited material, a sheet resistivity of a deposited material, a thermal diffusivity of a deposited material, or any combination thereof during the deposition process using one or more output signals from the measurement device.
  • a method for determining a characteristic of a specimen during a deposition process may include disposing the specimen upon a stage.
  • the stage may be disposed within a process chamber of a deposition tool, as shown in FIG. 23.
  • the stage may also be configured to support the specimen during a deposition process.
  • the measurement device may be coupled to the deposition tool, as shown in FIG. 23.
  • the stage may be coupled to a measurement device.
  • the measurement device may be configured as described in above embodiments.
  • the method may include directing light to a surface of the specimen.
  • the directed light may have a known polarization state.
  • the directed light may strike the surface of the specimen.
  • a layer may be formed on the surface of the specimen during the deposition process.
  • the method may include detecting light propagating from the surface of the specimen during the deposition process.
  • the method may also include generating one or more output signals responsive to an intensity and/or a polarization state of the detected light.
  • the intensity and/or polarization state of the detected light may vary depending on, for example, one or more characteristics of a layer formed on the specimen. Therefore, such one or more output signals may be used to determine one or more characteristics of the formed layer.
  • the method may include determining one or more characteristics of a layer being formed on a specimen.
  • the method may include determining one or more characteristics of more than one layer being formed on the specimen.
  • the one or more characteristics may include, but are not limited to, a thickness, an index of refraction, an extinction coefficient of one or more layers on the specimen, a critical dimension of a feature on the specimen, a presence of defects on the specimen, or any combination thereof.
  • the method for determining a characteristic of a layer on a specimen during a deposition process may include steps of any methods as described herein.
  • the method may include altering a parameter of an instrument coupled to the deposition tool in response to one or more output signals responsive to an intensity and/or a polarization state of the detected light.
  • the method may include altering a parameter of an instrument coupled to the deposition tool using a feedback control technique, an in situ control technique, or a feedforward control technique.
  • the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals.
  • the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may include obtaining a signature characterizing deposition of a layer on the specimen.
  • the signature may include at least one singularity representative of an endpoint of the deposition process.
  • an appropriate endpoint for an deposition process may be a predetermined thickness of a layer formed on the specimen.
  • the predetermined thickness may be larger or smaller depending upon, for example, the semiconductor device feature fabricated by the deposition process.
  • the method may include altering a parameter of an instrument coupled to the deposition tool to reduce, and even terminate, the deposition process.
  • a computer-implemented method may be used to control a system configured to determine a characteristic of a layer during a deposition process.
  • the system may include a measurement device coupled to an deposition tool, as described herein.
  • the method may include controlling the measurement device.
  • Controlling the measurement device may include controlling a light source to direct light to a surface of the specimen such that the directed light may strike the surface of the specimen.
  • the directed light may have a known polarization state.
  • controlling the measurement device may include controlling a detector to detect light propagating from the surface of the specimen during the deposition process.
  • the method may include processing the detected light to determine an intensity or a polarization state of the detected light.
  • the method may include processing the detected light may include generating one or more output signals responsive to the detected light.
  • the method may further include determining one or more characteristics of a layer being formed on the specimen using the one or more output signals.
  • the one or more characteristics may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, a presence of defects on the specimen, or any combination thereof.
  • the computer-implemented method for controlling a system to determine a characteristic of a layer being formed on a specimen during a deposition process may include steps of any of the methods as described herein.
  • the method may include controlling an instrument coupled to the deposition tool to alter a parameter of the instrument in response to the one or more output signals.
  • Controlling an instrument coupled to the deposition tool may include using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include controlling an instrument coupled to the measurement device to alter a parameter of the instrument in response to the one or more output signals.
  • the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals.
  • the computer-implemented method may include controlling the measurement device to obtain a signature characterizing deposition of a layer on the specimen.
  • the signature may include at least one singularity representative of an endpoint of the deposition process.
  • an appropriate endpoint for a deposition process may be a predetermined thickness of a layer deposited on the specimen.
  • the method may include controlling a parameter of an instrument coupled to the deposition tool to alter the parameter of the instrument to reduce, and even terminate, deposition of the layer on the specimen.
  • An additional embodiment relates to a method for fabricating a semiconductor device.
  • the method may include disposing a specimen such as a wafer upon a stage.
  • the stage may be disposed within a process chamber of a deposition tool.
  • the stage may be configured to support the specimen during a deposition process.
  • a measurement device may also be coupled to the process chamber of the deposition tool. In this manner, the stage may be coupled to the measurement device.
  • the method may further include forming a portion of a semiconductor device upon the specimen.
  • forming a portion of a semiconductor device may include depositing a layer of material on the specimen.
  • Depositing the layer on the specimen may include forming a layer of a dielectric material over a specimen having a plurality of dies.
  • the plurality of dies may include repeatable pattern features.
  • the deposited layer may be used to electrically isolate proximate or adjacent features of a semiconductor device that may be formed on the specimen.
  • the method for fabricating a semiconductor device may also include directing light toward a surface of the specimen.
  • the directed light may have a known polarization state.
  • the method may also include detecting light propagating from the surface of the specimen during the deposition process.
  • the method may include determining an intensity and/or a polarization state of the detected light.
  • the intensity and/or the polarization state of the detected light may vary depending upon, for example, one or more characteristics of a layer formed on the specimen.
  • the method may also include generating one or more output signals responsive to an intensity and/or a polarization state of the detected light.
  • the method may include determining a characteristic of a layer deposited on the specimen using the one or more output signals.
  • the characteristic may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof.
  • the method for fabricating a semiconductor device may include steps of any of the methods as described herein.
  • the method may include altering a parameter of an instrument coupled to the deposition tool in response to the one or more output signals.
  • Altering a parameter of an instrument coupled to the deposition tool may include using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals.
  • the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may include obtaining a signature characterizing deposition of a layer on the specimen.
  • the signature may include at least one singularity representative of an endpoint of the deposition process.
  • an appropriate endpoint for a deposition process may be a predetermined thickness of a layer deposited on the specimen.
  • the method may include altering a parameter of an instrument coupled to the deposition tool to reduce, and even terminate, the deposition process.
  • FIG. 24 illustrates an embodiment of a system configured to evaluate an etch process.
  • a system configured to evaluate an etch process may include measurement device 272 coupled to process chamber 274 of an etch tool.
  • Measurement device 272 may be coupled to process chamber 274 such that the measurement device may be external to the process chamber. As such, exposure of the measurement device to chemical and physical conditions within the process chamber may be reduced, and even eliminated.
  • the measurement device may be externally coupled to the process chamber such that the measurement device may not alter the operation, performance, or control of the etch process.
  • a process chamber may include one or more relatively small sections of a substantially optically transparent material 276 disposed within walls of process chamber 274 .
  • process chamber 274 may determine an appropriate method to couple measurement device 272 to the process chamber.
  • placement and dimensions of substantially optically transparent material sections 276 within walls of the process chamber may vary depending on, for example, the configuration of the components within the process chamber.
  • measurement device 272 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16.
  • the measurement chamber may be coupled to process chamber 274 of an etch tool, as shown in FIG. 17.
  • the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of an etch tool.
  • a robotic wafer handler of an etch tool, stage 280 , or another suitable mechanical device may be configured to move specimen 278 to and from the measurement chamber and process chambers of the etch tool.
  • the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 278 between process chambers of the etch tool and the measurement chamber.
  • Measurement device 272 may be further coupled to process chamber 272 as further described with respect to FIG. 17.
  • etch tools are illustrated in U.S. Pat. No. 4,842,683 to Cheng et al., U.S. Pat. No. 5,215,619 to Cheng et al., U.S. Pat. No. 5,614,060 to Hanawa, 5,770,099 to Rice et al., U.S. Pat. No. 5,882,165 to Maydan et al., U.S. Pat. No. 5,849,136 to Mintz et al., U.S. Pat. No. 5,910,011 to Cruse, U.S. Pat. No. 5,926,690 to Toprac et al., U.S. Pat. No. 5,976,310 to Levy, U.S.
  • a measurement device coupled to an etch tool is described as a “reflectance thickness measuring machine,” which is substantially different than a measurement device as described herein.
  • An example of an apparatus for estimating voltage on a wafer located in a process chamber is illustrated in European Patent Application No. EP 1 072 894 A2 to Loewenhardt et al., and is incorporated by reference as if fully set forth herein.
  • Measurement device 272 may be configured to direct an incident beam of light having a known polarization state to specimen 278 such that a region of the specimen may be illuminated prior to, during, or subsequent to an etch process.
  • the measurement device may be configured to analyze a polarization state of the light returned from the illuminated region of the specimen prior to, during, or subsequent to an etch process.
  • the measurement device may include a beam profile ellipsometer.
  • measurement device 272 may include a spectroscopic beam profile ellipsometer, a null ellipsometer, and/or a spectroscopic ellipsometer.
  • measurement device 272 may be configured as a scatterometer as described herein.
  • the relatively small sections of transparent material 276 may transmit an incident beam of light from a light source outside the process chamber to a specimen within the process chamber and a returned light beam from specimen 278 to a detector outside the process chamber.
  • the optically transparent material may have optical or material properties such that the incident beam of light and the returned light beam may pass through the relatively small sections of transparent material without substantially undesirably altering the optical properties of the incident and returned light beams.
  • measurement device 272 may be coupled to stage 280 disposed within the process chamber and configured to support the specimen 278 .
  • Measurement device 272 may include light source 282 configured to generate an incident beam of light.
  • Light source 282 may include, for example, a laser configured to emit light having a known polarization state such as a gas laser or a solid state laser diode. Such lasers typically may emit light having a single wavelength of 633 nm and 670 nm, respectively.
  • Measurement device 272 may also include polarization section 284 which may include, but is not limited to, a linear or circular polarizer or a birefringent quarter wave plate compensator. The polarization section may be configured to convert linear polarized light into circularly polarized light. In this manner, an incident beam of light having a known polarization state may be directed toward the specimen.
  • measurement device 272 may include beam splitter 286 configured to direct at least a portion of the incident beam of light to an upper surface of specimen 278 .
  • Beam splitter 286 may also be configured to direct the incident beam through high numerical aperture (“NA”) lens 288 .
  • NA numerical aperture
  • measurement device 272 may be configured to direct the incident beam of light to specimen 278 at a number of angles of incidence.
  • high NA lens 288 may have a numerical aperture of approximately 0.9. The numerical aperture of the lens may be larger or smaller, however, depending on, for example, the number of angles of incidence required.
  • high NA lens 288 may be configured to focus the incident beam to a very small spot size on the upper surface of specimen 278 .
  • the incident beam may be directed at a number of angles of incidence to a single feature or region on the specimen.
  • Beam splitter 286 may also be configured to transmit a portion of the incident beam light such that the transmitted portion of the incident beam of light may be configured to strike detector 283 .
  • Detector 283 may be configured to monitor fluctuations in the output power of light source 282 .
  • Polarizer 290 may include, for example, a rotating polarizing filter.
  • the measurement device may also include detector 292 configured to measure an intensity of the returned light at a number of angles of incidence.
  • detector 292 may include a diode array that may be radially positioned in a two-dimensional array such that the intensity of returned light may be measured at a number of angles of incidence.
  • light returned from the specimen may pass through quarter-wave plate 294 .
  • the quarter-wave plate may be configured to retard the phase of one of the polarization states of the returned light by about 90 degrees.
  • polarizer 290 may be configured to cause the two polarization states to interfere.
  • Detector 292 for such a measurement device may include a quad-cell detector having four quadrants. Each quadrant of the detector may be configured to generate one or more output signals approximately proportional to the magnitude of the power of the returned light striking the quadrant of the detector. Each signal may represent an integration of the intensities of the returned light at different angles of incidence.
  • Such a quad-cell detector may also be configured to operate as a full power detector if the one or more output signals from all of the quadrants is summed.
  • processor 296 may be configured to determine a thickness, an index of refraction, an extinction coefficient of the specimen and/or a critical dimension of a feature on the specimen from one or more output signals of detector 292 .
  • processor 296 may determine a thickness of a layer or a feature on specimen 278 or a thickness of a feature such as an isolation structure formed in specimen 278 from one or more output signals of detector 292 .
  • light source 282 may be configured to generate broadband light having a known polarization state.
  • An appropriate light source may include a polychromatic light source such as a tungsten halogen lamp.
  • light returned from the specimen may be passed through a filter (not shown).
  • the filter may be configured to pass light through two quadrants of the filter and to block light through two other quadrants of the filter.
  • light passed through the filter may have an ellipsometric signal, ⁇ , of only one sign, for example, positive.
  • the returned light may pass through a spatial filter (not shown) having a small aperture.
  • the spatial filter may be configured to limit the wavelength of light that may be directed to detector 292 .
  • the width of the aperture of the spatial filter may be larger or smaller depending on, for example, the desired wavelength resolution.
  • the measurement device may also include a grating (not shown) configured to focus the returned light such that light from all angles of incidence may be combined and to angularly disperse the returned light as a function of wavelength.
  • the grating may include a curved grating and a curved mirror, a lens and a separate planar grating, or a prism.
  • Detector 292 may include an array of a plurality of individual detector elements. In this manner, the detector may be configured to measure an intensity of returned light over a narrow wavelength regime and a number of angle of incidences.
  • the spatial filter, the grating, and the detector may have a configuration substantially similar to a conventional spectrophotometer.
  • the measurement device may be further configured to perform a second measurement of light returned from the surface of the specimen.
  • light passed through the filter may have an ellipsometric signal, ⁇ , opposite to the sign of the light passed through the filter for the first measurement (i.e., negative).
  • processor 296 may also be configured to determine a thickness, an index of refraction, an extinction coefficient of the specimen, and/or a critical dimension of a feature on the specimen from one or more output signals of the detector.
  • the processor may be configured to determine a thickness of a layer on specimen 278 or a feature such as an isolation structure formed in specimen 278 from the one or more output signals of the detector.
  • the system may further include a calibration ellipsometer (not shown).
  • the calibration ellipsometer may be configured to determine a thickness of a reference layer on a specimen. The thickness of the reference layer may be measured using the measurement device as described herein.
  • a phase offset of the thickness measurements of the reference layer generated by the calibration ellipsometer and the measurement device may be determined by processor 296 .
  • the processor may be configured to use the phase offset to determine additional layer thicknesses from measurements made by the measurement device.
  • the calibration ellipsometer may also be coupled to process chamber 274 of the etch tool. As such, the calibration ellipsometer may be used to reduce, and even eliminate, variations in measured ellipsometer parameters.
  • measurements of the ellipsometric parameter, ⁇ may vary due to changing environmental conditions along one or more optical paths of the measurement device.
  • a variation in the ellipsometric parameter, ⁇ may alter thickness measurements of a layer on a specimen. Therefore, a calibration ellipsometer may be used to reduce, and even eliminate, a drift in thickness measurements of a layer on a specimen.
  • the polarization state of light returned from a specimen may be altered during etching of the specimen.
  • etch process such as a reactive ion etch (“RIE”) or a plasma etch process
  • RIE reactive ion etch
  • a selectively exposed layer on the specimen may be removed by chemical reactions involving chemical reactive species of plasma 298 and a surface of specimen 278 and ionic species of plasma 298 striking the surface of specimen 278 .
  • a thickness of the selectively exposed layer may be removed during the etch process.
  • the reflectivity of the layer may vary approximately sinusoidally with variations in the thickness of the layer. Therefore, the intensity of the returned light may vary depending on a thickness of the selectively exposed layer.
  • tan ⁇ may be independent of thickness, and ⁇ may be approximately linearly proportional to the thickness of the layer. In this manner, output signals from the measurement device responsive to the intensity of the light returned from the specimen may be used to determine a thickness of the layer.
  • An etch rate may be defined as a thickness of a layer on a specimen that may be removed in a period of time. The etch rate, therefore, may determine the variations in the thickness of a layer on a specimen during an etch process.
  • An etch rate may be substantially constant throughout an etch process. Alternatively, an etch rate may vary throughout an etch process. For example, an etch rate may decrease exponentially throughout an etch process.
  • the etch rate may be determined by a number of parameters of one or more instruments coupled to the etch tool. For example, one parameter may include a flow rate of etchant gases from gas source 300 to process chamber 274 of the etch tool.
  • the flow rate may vary depending upon, for example, a parameter such as a position or a setting of an instrument such as valve 301 .
  • such parameters may also include radio frequency power values, which may be determined by instruments such as power supplies 302 and 304 coupled to process chamber 274 .
  • An additional parameter may include a pressure within the process chamber and may be determined by instrument 306 , which may be configured as a pressure gauge.
  • Such parameters may affect thickness variations of a layer on a specimen during an etch process. For example, as pressure decreases in a process chamber, a thickness of a layer on a specimen may be removed at an increased rate during the etch process. In this manner, an intensity of a returned sample beam may vary depending upon a parameter of one or more instruments coupled to the process chamber of the etch tool. Therefore, processor 296 coupled to measurement device 272 may be configured to determine a parameter of an instrument coupled to process chamber 274 of the etch tool from the measured intensity of the returned sample beam during an etch process.
  • processor 296 coupled to measurement device 272 may be configured to receive one or more output signals from detector 292 .
  • the processor may be configured to determine a property of an etched region of specimen 278 from the one or more output signals.
  • Measurement device 272 may be configured as described herein.
  • measurement device 272 may be configured as a beam profile ellipsometer, a spectroscopic beam profile ellipsometer, a null ellipsometer, a spectroscopic ellipsometer and/or a scatterometer as described herein.
  • property of the etched region may include, but is not limited to, a thickness, an index of refraction, an extinction coefficient, a critical dimension of a feature on the specimen, or any combination thereof. Thickness, index of refraction, and/or extinction coefficient may be commonly referred to as “thin film” characteristics.
  • a specimen may be stripped to remove residual masking material from the specimen.
  • a material such as a conductive material may be deposited upon the specimen.
  • the specimen may also be polished such that an upper surface of the specimen may be substantially planar.
  • a number of semiconductor features such as interlevel contact structures may be formed on the specimen.
  • the properties of the semiconductor features formed on the specimen may vary depending on, for example, one or more properties of the etched region and process conditions of the stripping, deposition, and polishing processes. As such, properties of a semiconductor feature on specimen 278 may be determined using the determined properties of the etched region.
  • processor 296 coupled to measurement device 272 may also be configured to determine a presence of defects such as foreign material on the specimen, prior to, during, or subsequent to the etch process from one or more output signals from detector 292 .
  • processor 296 may be coupled to measurement device 272 and process chamber 274 of an etch tool. Processor 296 may be configured to interface with measurement device 272 and process chamber 274 . For example, processor 296 may receive one or more output signals from a device coupled to process chamber 274 during an etch process. Such one or more output signals may be responsive to a parameter of an instrument coupled to the process chamber such as pressure gauge 306 . Processor 296 may also be configured to receive one or more output signals from detector 292 as described herein.
  • the measurement device may be configured, as described above, to measure variations in the intensity of light returned from the specimen during an etch process.
  • the measurement device may be configured to measure the intensity of light returned from the specimen substantially continuously or at predetermined time intervals during an etch process.
  • the processor may, therefore, receive output signals responsive of the intensity of light returned from the specimen from the measurement device and may monitor variations in the output signals during an etch process.
  • processor 296 may be configured to determine a relationship between the output signals from measurement device 272 and a parameter of one or more instruments coupled to process chamber 274 . As such, processor 296 may be configured to alter a parameter of one or more instruments coupled to process chamber 274 in response to the determined relationship.
  • the processor may be configured to determine a parameter of the instrument using the relationship and one or more output signals from the measurement device.
  • processor 296 may be further configured to control measurement device 272 and etch tool 274 .
  • the processor may be configured to alter a parameter of an instrument coupled to the etch tool in response to one or more output signals from the measurement device.
  • the processor may be configured to alter a parameter of an instrument coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to one or more output signals from the measurement device.
  • the processor may be configured to alter a sampling frequency of the measurement device in response to the output signals from the measurement device, as described herein.
  • the processor may also generate a signature that may be responsive to the etch process.
  • the signature may include at least one singularity that may be characteristic of an endpoint of the etch process.
  • an endpoint for an etch process may be a predetermined thickness of a layer on the specimen.
  • a predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen.
  • an endpoint for an etch process may be approximately complete removal of a layer on a specimen. Such an endpoint may correspond to etching through substantially an entire thickness of a layer such that an underlying layer of material may be exposed for subsequent processing.
  • the processor may reduce, and even terminate, etching of the specimen by altering a parameter of an instrument coupled to the etch tool.
  • a method for detecting an endpoint of an etch process is illustrated in PCT Application Nos. WO 00/03421 to Sui et al. and WO 00/60657 to Grimbergen et al., and is incorporated by reference as if fully set forth herein.
  • the processor may be configured to determine a parameter of one or more instruments coupled to the etch tool for subsequent etch processes of additional specimens using one or more output signals from the measurement device. For example, a thickness of a layer on the specimen may be determined using one or more output signals from the measurement device. The thickness of the layer on the specimen may be, for example, greater than a predetermined thickness. The predetermined thickness may vary depending on, for example, a feature of a semiconductor device, which may be fabricated during the etch process.
  • a radio frequency power or another parameter of one or more instruments coupled to the etch tool may be altered. For example, the radio frequency power of the etch process may be increased to etch a greater thickness of a layer on additional specimens.
  • a thickness of a layer on additional specimens etched by the etch process may be closer to the predetermined thickness than the layer measured on the specimen.
  • the processor may be configured to alter a parameter of one or more instruments coupled to an etch tool in response to output signals from the measurement device using a feedback control technique.
  • the processor may be configured to determine process conditions of additional semiconductor fabrication processes using one or more output signals from the measurement device.
  • the additional semiconductor fabrication processes may be performed subsequent to an etch process.
  • Additional semiconductor fabrication processes performed subsequent to the etch process may include, but are not limited to, a process to strip a masking material on the specimen.
  • a masking material may be patterned on a specimen using a lithography process such that regions of the specimen may be exposed during subsequent processing. At least a portion of the exposed regions of the specimen may be removed during a subsequent etch process.
  • Masking material remaining on the specimen after the etch process may be removed by a stripping process.
  • a thickness of a masking material on a specimen during or subsequent to an etch process may be determined using one or more output signals from the measurement device.
  • the determined thickness of the masking material on the specimen subsequent to an etch process may be, for example, greater than a predetermined thickness.
  • Current process conditions of a stripping process may be optimized for the predetermined thickness of the masking material on the specimen. Therefore, before stripping the masking material, a process condition of the stripping process such as process time or process temperature may be altered such that substantially the entire masking material may be removed by the stripping process.
  • a process time of the stripping process may be increased such that approximately an entire thickness of the masking material may be removed from the specimen.
  • the processor may be configured to alter a parameter of an instrument coupled to a stripping tool in response to one or more output signals from the measurement device using a feedforward control technique.
  • the processor may be further configured according to any of the embodiments described herein.
  • a method for determining a characteristic of a specimen during an etch process may include disposing specimen 278 upon stage 280 .
  • Stage 280 may be disposed within process chamber 274 of an etch tool.
  • the stage may be configured to support the specimen during an etch process.
  • Measurement device 272 may be coupled to process chamber 274 of the etch tool as described herein.
  • stage 280 may be coupled to measurement device 272 .
  • measurement device 272 may be configured as described herein.
  • the method may include directing an incident beam of light to a region of the specimen.
  • the incident beam of light may have a known polarization state.
  • the directed incident beam of light may illuminate the region of the specimen at multiple angles of incidence during the etch process.
  • the illuminated region of the specimen may be an exposed region of the specimen being removed during the etch process.
  • the method may include detecting light returned from the illuminated region of the specimen during the etch process.
  • the method may also include generating one or more output signals in response to the detected light.
  • the one or more output signals may be responsive to a polarization state of the light returned from the illuminated region of the specimen. Therefore, the method may include determining a change in a polarization state of the incident beam of light returned from the specimen.
  • the change in the polarization state of the incident beam of light returned from the specimen may vary depending upon, for example, one or more characteristics of the specimen such as a thickness of a layer on the specimen. In this manner, the method may include determining one or more characteristics of a layer on the specimen using the one or more output signals.
  • the method may include determining one or more characteristics of more than one layer on the specimen using the one or more output signals.
  • characteristics may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof.
  • the method for determining a characteristic of a layer on a specimen during an etch process may include any steps of the embodiments as described herein.
  • the method may include altering a parameter of one or more instruments coupled to the etch tool in response to one or more output signals from the measurement device.
  • the method may include altering a parameter of one or more instrument coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include altering a parameter of one or more instruments coupled to the measurement device in response to one or more output signals from the measurement device.
  • the method may include altering a sampling frequency of the measurement device in response to one or more output signals from the measurement device.
  • the method may include obtaining a signature characterizing an etch process.
  • the signature may include at least one singularity representative of an endpoint of the etch process.
  • an endpoint of an etch process may be a predetermined thickness of a layer on the specimen.
  • the predetermined thickness may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen.
  • the method may include altering a parameter of one or more instruments coupled to the etch tool to reduce, and even terminate, the etch process.
  • An additional embodiment relates to a computer-implemented method for controlling a system configured to determine a characteristic of a specimen during an etch process.
  • the system may include a measurement device coupled to an etch tool as described herein.
  • the method may include controlling the measurement device to detect light returned from a region of the specimen during an etch process.
  • controlling the measurement device may include controlling a light source to direct an incident beam of light to a region of the specimen during an etch process.
  • the light source may be controlled such that the incident beam of light may illuminate the region of the specimen at multiple angles of incidence during the etch process.
  • the incident beam of light may have a known polarization state.
  • the illuminated region of the specimen may include a region of the specimen being removed during the etch process.
  • controlling the measurement device may include controlling a detector to detect at least a portion of light returned from the illuminated region of the specimen during the etch process.
  • the method may also include generating one or more output signals responsive to the detected light.
  • the method may include processing the one or more output signals to determine a change in a polarization state of the incidence beam of light returned from the illuminated region of the specimen.
  • the method may further include determining one or more characteristics of a layer on the specimen using the one or more output signals. The characteristics may include, but are not limited to, a thickness, an index of refraction, an extinction coefficient of the layer on the specimen, and/or a critical dimension of a feature on the specimen, or any combination thereof.
  • the computer-implemented method for controlling a system configured to determine a characteristic of a specimen during an etch process may include steps of any of the embodiments as described herein.
  • the method may include controlling an instrument coupled to the etch tool to alter a parameter of the instrument in response to one or more output signals from the measurement device.
  • the method may include controlling an instrument coupled to the etch tool to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include controlling an instrument coupled to the measurement device to alter a parameter of the instrument in response to one or more output signals from the measurement device.
  • the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to one or more output signals from the measurement device.
  • the method may include controlling the measurement device to obtain a signature characteristic of an etch process.
  • the signature may include at least one singularity representative of an endpoint of the etch process.
  • An endpoint of an etch process may include, but is not limited to, a predetermined thickness of a layer on the specimen. The predetermined thickness may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen.
  • the method may include controlling a parameter of one or more instruments coupled to the etch tool to alter a parameter of the instruments to reduce, and even end, the etch process.
  • An additional embodiment relates to a method for fabricating a semiconductor device, which may include disposing a specimen upon a stage.
  • the stage may be disposed within a process chamber of an etch tool, as shown in FIG. 24.
  • the stage may be configured to support the specimen during an etch process.
  • a measurement device may also be coupled to the process chamber of the etch tool, as shown in FIG. 24. In this manner, the stage may be coupled to the measurement device.
  • the method may further include forming a portion of a semiconductor device upon the specimen.
  • forming a portion of a semiconductor device may include etching exposed regions of the specimen.
  • a masking material may be arranged on the specimen prior to the etch process to expose predetermined regions of the specimen to the etch chemistry.
  • portions of the masking material may be removed using a lithography process and/or an etch process to expose predetermined regions of the specimen.
  • the exposed predetermined regions may be regions of the specimen in which features of a semiconductor device may be formed. Remaining portions of the masking material may substantially inhibit underlying regions of the specimen to be etched during the etch process.
  • Appropriate masking materials may include, but are not limited to, a resist, a dielectric material such as silicon oxide, silicon nitride, and titanium nitride, a conductive material such polycrystalline silicon, cobalt silicide, and titanium silicide, or any combination thereof.
  • the method for fabricating a semiconductor device may also include directing an incident beam of light to a region of the specimen.
  • the incident beam of light may have a known polarization state.
  • the region of the specimen may be a region of the specimen being removed during the etch process.
  • the method may also include detecting at least a portion of the light returned from the illuminated region of the specimen during the etch process.
  • the method may further include generating a signal responsive to the detected light.
  • the method may include determining a change in a polarization state of the incident beam of light returned from the specimen. The change in the polarization state of the incident beam of light returned from the specimen may vary depending on, for example, one or more characteristics of the specimen.
  • the method may include determining one or more characteristics of a layer on the specimen using the one or more output signals.
  • the characteristics may include, but are not limited to, a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof.
  • the method for fabricating a semiconductor device may include steps of any of the embodiments as described herein.
  • the method may include altering a parameter of one or more instruments coupled to the etch tool in response to one or more output signals from the measurement device.
  • the method may include altering a parameter of one or more instruments coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include altering a parameter of one or more instruments coupled to the measurement device in response to one or more output signals from the measurement device.
  • the method may include altering a sampling frequency of the measurement device in response to one or more output signals from the measurement device.
  • the method may include obtaining a signature characteristic of an etch process.
  • the signature may include at least one singularity representative of an endpoint of the etch process.
  • An endpoint of an etch process may be a predetermined thickness of a layer on the specimen.
  • the predetermined thickness may be larger or smaller depending upon, for example, the semiconductor device being fabricated on the specimen.
  • the method may include altering a parameter of one or more instruments coupled to the etch tool to reduce, and even terminate, the etch process.
  • FIG. 25 illustrates an embodiment of a system configured to evaluate an ion implantation process.
  • a system configured to evaluate an ion implantation process may include measurement device 308 coupled to ion implanter 310 .
  • Measurement device 308 may be coupled to ion implanter 310 such that measurement device 308 may be external to the ion implanter. As such, exposure of the measurement device to chemical and physical conditions within the ion implanter may be reduced, and even eliminated.
  • measurement device 308 may be externally coupled to ion implanter 310 such that the measurement device does not alter the operation, performance, or control of the ion implantation process.
  • an ion implanter process chamber may include relatively small sections of a substantially transparent material 312 disposed within walls of the process chamber.
  • a configuration of an ion implanter may determine an appropriate method to couple the measurement device to the ion implanter.
  • the placement and dimensions of the substantially transparent material sections 312 within walls of the process chamber may vary depending on the configuration of the components within the process chamber. Examples of ion implanters are illustrated in U.S. Pat. No. 5,78,589 to Aitken, U.S. Pat. No. 4,587,432 to Aitken, U.S. Pat. No. 4,733,091 to Robinson et al., U.S. Pat. No. 4,743,767 to Plumb et al., U.S.
  • measurement device 308 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16.
  • the measurement chamber may be coupled to ion implanter 310 , as shown in FIG. 17.
  • the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of ion implanter 310 .
  • a robotic wafer handler of ion implanter 310 , stage 316 , or another suitable mechanical device may be configured to move specimen 314 to and from the measurement chamber and process chambers of the ion implanter.
  • the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 314 between process chambers of the ion implanter and the measurement chamber.
  • Measurement device 308 may be further coupled to ion implanter 310 as further described with respect to FIG. 17.
  • Measurement device 308 may be configured to periodically direct an incident beam of light to specimen 314 such that a region of the specimen may be periodically excited prior to, during, and/or subsequent to ion implantation. Measurement device 308 may also be configured to direct a sample beam of light to the periodically excited region of specimen 314 prior to, during, and/or subsequent to ion implantation. In addition, measurement device 308 may be configured to measure an intensity of the sample beam reflected from the periodically excited region of specimen 314 prior to, during, and/or subsequent to ion implantation.
  • the small sections of substantially transparent material 312 may transmit the incident and sample beams from one or more illumination systems outside the process chamber to a specimen within the process chamber and the reflected sample beam from the specimen to a detection system outside the process chamber.
  • the substantially transparent material 312 may have optical and/or material properties such that the beams may pass through the substantially transparent sections of the process chamber without undesirably altering the optical properties of the incident, sample, and reflected beam.
  • measurement device 308 may be coupled to stage 316 disposed within the process chamber and configured to support specimen 314 .
  • measurement device 308 may include light source 318 such as an argon laser configured to emit an incident beam of light.
  • the light source may also be configured to generate electromagnetic radiation of other and/or multiple wavelengths including X-rays, gamma rays, infrared light, ultraviolet light, visible light, microwaves, or radio-frequencies.
  • Light source 318 may also include any energy source that may cause a localized heated area on a surface of specimen 314 such as a beam of electrons, protons, neutrons, ions, or molecules. Such an energy source may be disposed within the process chamber of ion implanter 310 .
  • light source 318 may also include any energy source configured to cause at least some electrons of the specimen in a valence band to be excited across the band gap to a conductor band thereby creating a plurality of electron-hole pairs called a plasma.
  • Measurement device 308 may also include modulator 320 , which may be configured to chop the incident beam emitted from light source 318 . The modulated incident light beam may be directed to specimen 314 to periodically excite a region of the specimen.
  • Measurement device 308 may also include additional light source 322 such as a helium neon laser configured to emit a sample beam of light.
  • the measurement device may further include additional optical components such as dichroic mirror 324 , polarizing beamsplitter 326 , quarter wave plate 328 , and focusing lens 330 such as a microscopic objective.
  • the additional optical components may be arranged within the measurement device such that the modulated incident beam and the sample beam may be directed to substantially the same region of the specimen.
  • the additional optical components may also be arranged within the measurement device such that the modulated incident beam and the sample beam may be directed to two overlapping but non-coaxial, or two laterally spaced, regions of the specimen.
  • Measurement device 308 may also include a tracker (not shown) coupled to each of the light sources.
  • the trackers may be configured to control a position of the incident beam and the sample beam.
  • the trackers may be configured to alter a position of the incident beam with respect to a position of the sample beam during an ion implantation process.
  • the trackers may be configured to control positions of the incident beam and the sample beam such that the beams may be directed to substantially different regions of the specimen during an ion implantation process.
  • the system may be configured to evaluate the ion implantation process at any number of positions on the specimen.
  • the additional optical components may also be arranged within the measurement device such that the sample beam reflected from the surface of the specimen may be directed to a detection system of the measurement device.
  • detection system 332 may include a conventional photodetector that may be configured to measure intensity variations of the reflected sample beam.
  • the intensity variations of the reflected sample beam may vary depending on, for example, periodic reflectivity changes in the periodically excited region of specimen 314 .
  • detection system 332 may include a conventional interferometer. In this manner, the reflected sample beam may be combined with a reference beam prior to striking the interferometer.
  • the reference beam may be a portion of the sample beam and may be directed to the interferometer by partially transmissive mirror 326 . Since the sample beam reflected from the specimen and the reference beam may not be in phase, interference patterns may develop in the combined beam. Intensity variations of the interference patterns may be detected by the interferometer.
  • detection system 332 may include a split or bi-cell photodetector having a number of quadrants. Each quadrant of the photodetector may be configured to independently measure an intensity of the reflected sample beam. In this manner, each quadrant may detect different intensities as the reflected sample beam fluctuates across the surface of the photodetector.
  • the split photodetector may be configured to measure the extent of deflection of the reflected sample beam. For deflection measurements, the modulated incident beam and the sample beam may be directed to two overlapping but non-coaxial regions of the specimen as described above. Examples of modulated optical reflectance measurement devices are illustrated in U.S. Pat. No.
  • the intensity variations of the reflected sample beam may be altered by the implantation of ions into the specimen.
  • a portion of the specimen may be damaged due to the implantation of ions into the specimen.
  • a damaged portion of the specimen may, typically, include an upper crystalline damaged layer and an intermediate layer of amorphous silicon.
  • a lattice structure of the upper crystalline damaged layer may be substantially different than a lattice structure of the intermediate layer of amorphous silicon.
  • the upper crystalline layer and the amorphous layer of silicon may, therefore, act as thermal and optical boundaries.
  • the two layers may have different periodic excitations due to differences in lattice structure.
  • the different periodic excitations may cause the two layers to reflect the sample beam in a different manner.
  • the intensity variations of the reflected sample beam may depend on a thickness and a lattice structure of the upper crystalline layer and the amorphous layer.
  • the thickness of the upper crystalline layer and the amorphous layer may depend on a parameter of one or more instrument coupled to the ion implanter.
  • a parameter of one or more instruments coupled to the ion implanter may determine the process conditions of an ion implantation process.
  • Instruments coupled to ion implanter may include, but are not limited to, gas supply 334 , energy source 336 , pressure valve 338 , and modulator 340 .
  • Damage in the upper crystalline layer may vary depending on, for example, electronic collisions between atoms of the silicon layer and the implanted ions. Displacement damage, however, may not be produced if the ions entering the silicon layer do not have enough energy per nuclear collision to displace silicon atoms from their lattice sites.
  • a thickness of the upper crystalline layer may vary depending upon, for example, implant energy. Increasing the dose of ions, and in particular heavy ions, may produce an amorphous region below the upper crystalline damaged layer in which the displaced atoms per unit volume may approach the atomic density of the semiconductor. As the implant dose of an ion implantation process increases, a thickness of the amorphous layer may also increase. In this manner, the intensity variations of the reflected sample beam may be dependent upon process conditions during implantation including, but not limited to, the implant energy and dose.
  • processor 342 coupled to measurement device 308 may be configured to determine a parameter of an instrument coupled to ion implanter 310 from the measured intensity variations of the reflected sample beam prior to, during, and/or subsequent to ion implantation.
  • Parameters of one or more instruments coupled to the ion implanter may define process conditions including, but not limited to, an implant energy, an implant dose, an implant species, an angle of implantation, and temperature.
  • processor 342 coupled to measurement device 308 may be configured to determine one or more characteristics of an implanted region of specimen 314 from one or more output signals from detection system 332 prior to, during, and/or subsequent to ion implantation.
  • the characteristics of an implanted region may include, but are limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof.
  • the specimen may be annealed to electrically activate implanted regions of the specimen.
  • Characteristics of an electrically activated implanted region such as depth and distribution profile may depend upon thicknesses of the upper crystalline layer and the amorphous layer formed during implantation and process conditions of the anneal process. As such, characteristics of an electrically activated implanted region may be determined from the determined characteristics of the implanted region.
  • processor 342 coupled to measurement device 308 may be configured to determine a presence of defects such as foreign material on the specimen prior to, during, and/or subsequent to an implantation process from one or more output signals from detection system 332 .
  • processor 342 may be coupled to measurement device 308 and ion implanter 310 .
  • the processor may be configured to interface with the measurement device and the ion implanter.
  • the processor may receive output signals from the ion implanter during an ion implantation process that may be representative of a parameter of one or more instrument coupled to the ion implanter.
  • the processor may also be configured to receive output signals from the detection system during an ion implantation process.
  • the measurement device may be configured to measure variations in output signals from the detection system during an ion implantation process.
  • the measurement device may be configured to detect the reflected sample beam substantially continuously or at predetermined time intervals during implantation.
  • the processor may, therefore, be configured to receive output signals responsive to the detected light substantially continuously or at predetermined time intervals and to monitor variations in the one or more output signals during the ion implantation process.
  • processor 342 may be configured to determine a relationship between the output signals responsive to the detected light and parameters of one or more instruments coupled to an ion implanter. As such, processor 342 may be configured to alter a parameter of one or more instruments in response to the determined relationship. In addition, processor 342 may be configured to determine a parameter of one or more instruments using the relationship and output signals from the measurement device.
  • controller computer 344 may be coupled to ion implanter 310 .
  • Controller computer 344 may be configured to alter a parameter of one or more instruments coupled to the ion implanter.
  • Processor 342 may also be coupled to controller computer 344 .
  • controller computer 344 may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to one or more output signals from processor 342 , which may be responsive to a determined parameter.
  • controller computer 344 may monitor a parameter of one or more instruments coupled to the ion implanter and may send one or more output signals responsive to the monitored parameters to processor 342 .
  • the processor may be further configured to control the measurement device and the ion implanter.
  • the processor may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to one or more output signals from the measurement device.
  • the processor may be configured to alter a parameter of an instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to output signals from the measurement device.
  • the processing device may be configured to alter a sampling frequency of the measurement device in response to output signals from the measurement device.
  • the processor may also generate a signature that may be representative of the implantation of the ions into the specimen.
  • the signature may include at least one singularity that may be characteristic of an endpoint of the ion implantation process.
  • an appropriate endpoint for an ion implantation process may be a predetermined concentration of ions in the specimen.
  • the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen.
  • the processor may be configured to determine appropriate process conditions for subsequent ion implantation processes of additional specimens using output signals from the measurement device. For example, a depth of implanted ions in the specimen may be determined using the output signals. The determined depth of an implanted region of the specimen may be less than a predetermined depth. The predetermined depth may vary depending on a semiconductor device being fabricated on the specimen.
  • a parameter of one or more instruments coupled to the ion implanter may be altered such that an implanted depth of the additional specimens may be closer to the predetermined depth than the implanted depth of the measured specimen. For example, the implant energy of the ion implant process may be increased to drive the ions deeper into the additional specimens.
  • the processor may be coupled to alter a parameter of one or more instruments coupled to an ion implanter in response to output signals from the measurement device using a feedback control technique.
  • the processor may be configured to determine process conditions of additional semiconductor fabrication processes that may be performed subsequent to the ion implantation process using output signals from the measurement device.
  • Additional semiconductor fabrication process may include, but are not limited to, a process to anneal implanted regions of the specimen. For example, a depth of an implanted region of a specimen may be determined using the output signals. The determined depth of the implanted region of the specimen may be greater than a predetermined depth. Current process conditions of a subsequent annealing process, however, may be optimized for the predetermined depth. Therefore, before annealing the implanted specimen, a process condition of the annealing process such as anneal time or anneal temperature may be altered.
  • an anneal time may be increased to ensure substantially complete recrystallization of the amorphous layer formed in the specimen.
  • the processor may be configured to alter a parameter of one or more instruments coupled to an anneal tool in response to output signals from the measurement device using a feedforward control technique.
  • the processor may be further configured according to any of the embodiments as described herein.
  • a method for determining a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process may include disposing the specimen upon a stage.
  • the stage may be disposed within a process chamber of an ion implanter.
  • the stage may also be configured according to any of the embodiments as described herein.
  • a measurement device may be coupled to the ion implanter as described herein. As such, the stage may be coupled to the measurement device. In addition, the measurement device may be configured as described herein.
  • the method may include directing an incident beam of light to a region of the specimen to periodically excite a region of the specimen during the ion implantation process.
  • the region of the specimen may be a region of the specimen being implanted during the ion implantation process.
  • the method may also include directing a sample beam of light to the periodically excited region of the specimen during the ion implantation process.
  • the method may include detecting at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process.
  • the method may further include generating one or more output signals in response to the detected light.
  • the method may include determining one or more characteristics of the implanted region of the specimen using the one or more output signals.
  • the characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof.
  • the method for determining a characteristic of a specimen during an ion implantation process may include steps of any of the embodiments described herein.
  • the method may include altering a parameter of one or more instruments coupled to the ion implanter in response to the one or more output signals.
  • the method may include altering a parameter of one or more instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include altering a parameter of one or more instruments coupled to the measurement device in response to the one or more output signals.
  • the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may further include obtaining a signature characterizing the implantation of the ions into a specimen.
  • the signature may include at least one singularity representative of an endpoint of the ion implantation process.
  • an endpoint for an ion implantation process may be a predetermined concentration of ions.
  • the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen.
  • the method may include altering a parameter of one or more instruments coupled to the ion implanter to reduce, and even terminate, the ion implantation process.
  • a computer-implemented method may be used to control a system configured to determine a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process.
  • the system may include a measurement device coupled to an ion implanter as described herein.
  • the method may include controlling the measurement device to measure modulated optical reflectance of a region of a specimen during the ion implantation process.
  • controlling the measurement device may include controlling a light source to direct an incident beam of light to a region of the specimen such that the region may be periodically excited during the ion implantation process.
  • Controlling the measurement device may also include controlling an additional light source to direct a sample beam of light to the periodically excited region of the specimen during the ion implantation process.
  • controlling the measurement device may include controlling a detection system to detect at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process.
  • the method may include generating one or more output signals in response to the detected light.
  • the method may include processing the one or more output signals to determine one or more characteristics of the implanted region of the specimen.
  • the characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof.
  • the computer-implemented method for controlling a system to determine a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process may include steps of any of the embodiments described herein.
  • the method may include controlling an instrument coupled to the ion implanter to alter a parameter of the instrument in response to the one or more output signals.
  • the method may include controlling an instrument coupled to the ion implanter to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include controlling an instrument coupled to the measurement device to alter the parameter in response to the one or more output signals.
  • the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may include controlling additional components of the system.
  • the method may include controlling the trackers to control lateral positions of the incident beam and the sample beam with respect to the specimen during use. In this manner, the method may include controlling the trackers to evaluate the ion implantation process at any number of positions on the specimen.
  • the method may include controlling the measurement device to obtain a signature characterizing the implantation of the ions into the specimen.
  • the signature may include at least one singularity representative of an endpoint of the ion implantation process.
  • an endpoint for an ion implantation process may be a predetermined concentration of ions.
  • the predetermined concentration of ions may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen.
  • the method may include controlling a parameter of an instrument coupled to the ion implanter to alter the parameter of the instrument thereby reducing, and even terminating, implantation of ions into the specimen.
  • An additional embodiment relates to a method for fabricating a semiconductor device that may include disposing a specimen upon a stage.
  • the stage may be disposed within a process chamber of an ion implanter.
  • the stage may be configured as described herein.
  • a measurement device may also be coupled to the process chamber of the ion implanter. In this manner, the stage may also be coupled to the measurement device.
  • the method may include forming a portion of the semiconductor device upon the specimen. For example, forming the portion of the semiconductor device may include implanting ions into the specimen.
  • the entire wafer may be scanned with a beam of ions.
  • a masking material may be arranged on the specimen to expose predetermined regions of the specimen to implantation.
  • portions of the masking material may be removed using a lithography process and/or an etch process to expose regions of the specimen to an implantation process.
  • the exposed regions may include regions of the specimen in which features of a semiconductor device are to be formed.
  • Appropriate masking materials may include, but are not limited to, a resist, a dielectric material such as silicon oxide, silicon nitride, and titanium nitride, a conductive material such as polycrystalline silicon, cobalt silicide, and titanium silicide, or any combination thereof.
  • the method for fabricating a semiconductor device may also include directing an incident beam of light to a region of the specimen.
  • the directed incident beam of light may periodically excite a region of the specimen during the ion implantation process.
  • the region of the specimen may be a region of the specimen implanted during the ion implantation process.
  • the method may also include directing a sample beam of light to the periodically excited region of the specimen during the ion implantation process.
  • the method may include detecting at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process.
  • the method may also include generating one or more output signals in response to the detected light.
  • the method may include determining one or more characteristics of the implanted region of the specimen using the one or more output signals.
  • the characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration, a depth, and a distribution profile of implanted ions in the specimen, or any combination thereof.
  • the method for fabricating a semiconductor device may include steps of any of the embodiments described herein.
  • the method may include altering a parameter of an instrument coupled to the ion implanter in response to the one or more output signals.
  • the method may include altering a parameter of an instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals.
  • the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may include obtaining a signature characteristic of the implantation of the ions into the specimen.
  • the signature may include at least one singularity representative of an endpoint of the ion implantation process.
  • an endpoint for an ion implantation process may be a predetermined concentration of ions.
  • the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen.
  • the method may include altering a parameter of an instrument coupled to the ion implanter to reduce, and even terminate, the implantation of ions into the specimen.
  • FIG. 26 illustrates an embodiment of a system configured to determine at least one characteristic of micro defects on a surface of a specimen.
  • a system may include measurement device 346 coupled to process tool 348 .
  • Process tool 348 may be configured as a process chamber of a semiconductor fabrication process tool or a semiconductor fabrication process tool. In this manner, process tool 348 may be configured to perform a step of a semiconductor fabrication process such as lithography, etch, ion implantation, chemical-mechanical polishing, plating, chemical vapor deposition, physical vapor deposition, and cleaning.
  • process tool 348 may include a resist apply chamber of a process tool or a develop chamber of a process tool. As such, process tool 348 may be configured to fabricate a portion of a semiconductor device on specimen.
  • Measurement device 346 may be coupled to process tool 348 such that the measurement device may be external to the process tool. As such, exposure of the measurement device to chemical and physical conditions within the process tool may be reduced, and even eliminated. Furthermore, the measurement device may be externally coupled to the process tool such that the measurement device may not alter the operation, performance, or control of the process.
  • a process tool may include one or more relatively small sections of a substantially transparent material 350 disposed within walls of the process tool.
  • the configuration of process tool 348 may determine an appropriate method to couple measurement device 346 to the process tool. For example, the placement and dimensions of the substantially transparent material sections 350 within the walls of the process tool may be depend on the configuration of the components within the process tool.
  • measurement device 346 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16.
  • the measurement chamber may be coupled to process tool 348 , as shown in FIG. 17.
  • the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of process tool 348 .
  • a robotic wafer handler of process tool 348 , stage 354 , or another suitable mechanical device may be configured to move specimen 352 to and from the measurement chamber and process chambers of the process tool.
  • the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 352 between process chambers of the process tool and the measurement chamber.
  • Measurement device 346 may be further coupled to process tool 348 as further described with respect to FIG. 17.
  • stage 354 may be disposed within process tool 348 .
  • Stage 354 may be configured to support specimen 352 during a process.
  • stage 354 may also be configured according to any of the embodiments described herein.
  • the stage may include a motorized stage that may be configured to rotate in a direction indicated by vector 356 .
  • Illumination system 358 of measurement device 346 may be configured to direct light toward a surface of specimen 352 .
  • illumination system 358 may be configured to direct light toward a surface of the specimen during a process such as fabrication of a portion of a semiconductor device and during rotation of the stage.
  • a detection system of measurement device 346 may include a first detector 360 and a second detector 362 . Detectors 360 and 362 may be configured to detect light propagating from the surface of the specimen during a process such as fabrication of a portion of the semiconductor device and during rotation of the stage.
  • first detector 360 may be configured to detect dark field light propagating along a dark field path from the surface of specimen 352 .
  • second detector 362 may be configured to detect bright field light propagating along a bright field path from the surface of specimen 352 .
  • light detected by the measurement device may include dark field light propagating along a dark field path from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen.
  • the detectors may be configured to substantially simultaneously detect light propagating from a surface of the specimen.
  • detected light may include dark field light propagating along multiple dark field paths from the surface of the specimen.
  • a detection system of measurement device 365 may include a plurality of detectors 366 .
  • the plurality of detectors may be positioned with respect to light source 368 such that each of the plurality of detectors may detect dark field light propagating from the surface of the specimen.
  • the plurality of detectors may be arranged at a different radial and vertical positions with respect to light source 368 .
  • a system that includes measurement device 365 may be commonly referred to as a “pixel-based” inspection system. Examples of pixel-based inspection systems are illustrated in U.S. Pat. No.
  • measurement device 365 may be further configured to direct light to multiple surfaces of specimen 370 , which may be disposed upon a stage (not shown).
  • the stage may be configured to move laterally and/or rotatably with respect to measurement device 365 as described herein.
  • the stage may be configured to move laterally while light from light source 368 may be configured to scan across the specimen in a direction substantially parallel to a radius of the specimen.
  • the stage may be configured to move in two linear directions, which may be substantially orthogonal to one another, and optical components of measurement device 365 may be substantially stationary.
  • the configuration of the stage with relation to the optical components of the measurement device may vary, however, depending upon, for example, space and mechanical constraints within the system.
  • Light source 368 of measurement device may include any of the light sources as described herein.
  • fiber optic cable 372 or another suitable light cable may be coupled to light source 368 and illumination system 374 positioned below specimen 370 .
  • the measurement device may be configured to direct light to multiple surfaces of a specimen.
  • measurement device 365 may include at least two light sources. Each of the plurality of light sources may be configured to direct light to a different surface of the specimen.
  • Measurement device 365 may also include detector 376 coupled to illumination system 374 . As shown in FIG. 27, detector 376 may be positioned with respect to illumination system 374 such that the detector may detect dark field light propagating along a dark field path. In an alternative embodiment, however, detector 376 may be positioned with respect to illumination 374 such that the detector may detect bright field light propagating along a bright field path. Measurement device 346 and measurement device 365 may be further configured as according to any of the embodiments described herein.
  • the measurement device may be further configured according to any of the embodiments described herein.
  • the system may include an additional measurement device.
  • the additional measurement device may include any of the measurement device as described herein.
  • processor 364 coupled to measurement device 346 may be configured to determine one or more characteristics of defects on a surface of specimen 352 , as shown in FIG. 26.
  • processor 378 coupled to measurement device 365 may be configured to determine one or more characteristics of defects on one or more surfaces of specimen 370 .
  • Processor 364 and processor 378 may be similarly configured.
  • processors 364 and 378 may be configured to receive one or more output signals from detectors 360 and 362 or 366 and 376 , respectively, in response to light detected by the detectors.
  • both processors may be configured to determine at least one characteristic of defects on at least one surface of a specimen.
  • the defects may include macro defects and/or micro defects.
  • processor 264 and processor 378 may be configured to determine at least one characteristics of macro defects on a front side and a back side of a specimen.
  • one or more characteristics of defects may include, but are not limited to, a presence of defects on a surface of specimen, a type of defects on a surface of a specimen, a number of defects on a surface of a specimen, and a location of defects on a surface of a specimen.
  • processor 364 and processor 378 may be configured one or more characteristics of defects substantially simultaneously or sequentially. In this manner, further description of processor 364 may be applied equally to processor 378 .
  • processor 364 may be coupled to measurement device 346 and process tool 348 .
  • the process tool may include, for example, a wafer cleaning tool such as a wet or dry cleaning tool, a laser cleaning tool, or a shock wave particle removal tool.
  • a wafer cleaning tool such as a wet or dry cleaning tool
  • a laser cleaning tool is illustrated in “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28 th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein.
  • An example of a shock wave particle removal method and apparatus is illustrated in U.S. Pat. No.
  • Processor 364 may be configured to interface with measurement device 346 and process tool 348 .
  • processor 364 may receive one or more output signals from process tool 348 during a process that may be responsive to a parameter of an instrument coupled to the process tool.
  • Processor 364 may also be configured to receive one or more output signals from measurement device 346 , which may be responsive to light detected by detector 360 and detector 362 as described herein.
  • the measurement device may be configured to detect light returned from the specimen during a process, as described herein.
  • the measurement device may be configured to detect light propagating from the specimen substantially continuously or at predetermined time intervals during a process.
  • the processor may, therefore, receive output signals from the measurement device in response to the detected light and may monitor variations in the output signals during a process.
  • processor 364 may be configured to determine a relationship between the output signals and a parameter of one or more instruments coupled to process tool 348 .
  • processor 364 may be configured to alter a parameter of an instrument coupled to the process tool in response to the determined relationship.
  • the processor may be configured to determine a parameter of an instrument coupled to the process tool using the relationship and one or more output signals from the measurement device.
  • processor 364 may be further configured to control measurement device 346 and process tool 348 .
  • the processor may be configured to alter a parameter of one or more instruments coupled to the process tool in response to output signals from the measurement device.
  • the processor may be configured to alter a parameter of one or more instruments coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to one or more output signals from the measurement device.
  • the processor may be configured to alter a sampling frequency of the measurement device in response to the output signals.
  • the processor may also generate a signature that may be characteristic of the process.
  • the signature may include at least one singularity that may be characteristic of an endpoint of the process.
  • an endpoint for a process may be a predetermined thickness of a layer.
  • a predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen.
  • the processor may reduce, and even terminate, processing of the specimen by altering a parameter of one or more instruments coupled to the process tool.
  • the processor may be configured to determine parameters of one or more instruments coupled to the process tool for processing of additional specimens using output signals from the measurement device. For example, a thickness of a layer on the specimen may be determined using output signals from the measurement device. The thickness of the layer on the specimen may be greater than a predetermined thickness. The predetermined thickness may vary depending on, for example, a semiconductor device being fabricated one the specimen. Before processing additional specimens, a parameter of one or more instruments coupled to the process tool may be altered such that a thickness of a layer on the additional specimens may be closer to the predetermined thickness than a thickness of the layer on the measured specimen. For example, the radio frequency power of an etch process may be increased to etch a greater thickness of the layer on the specimen. In this manner, the processor may be used to alter a parameter of one or more instruments coupled to a process tool in response to output signals from the measurement device using a feedback control technique.
  • the processor may be configured to determine process conditions of additional semiconductor fabrication processes using output signals from the measurement device.
  • the processor may be configured to alter a parameter of an instrument coupled to a stripping tool in response to output signals from the measurement device using a feedforward control technique.
  • the processor may be further configured according to the embodiments described herein.
  • a method for determining a characteristic of a specimen during a process may include disposing specimen 352 upon stage 354 .
  • Stage 354 may be disposed within process tool 348 .
  • the stage may also be configured according to any of the embodiments described herein.
  • Measurement device 346 may be coupled to process tool 348 as described herein. As such, stage 354 may be coupled to measurement device 346 .
  • measurement device 346 may be configured as described herein.
  • the method may include directing light to a surface of the specimen during a process.
  • the method may include detecting light returned from the surface of the specimen during a process.
  • the method may also include generating one or more output signals in response to the detected light. In this manner, the method may include determining a characteristic of the specimen being processed using the one or more output signals.
  • the characteristic may include a presence, a number, a location, and a type of defects on at least one surface of the specimen, or any combination thereof.
  • the method for determining a characteristic of a specimen during a process may include steps of any of the embodiments described herein.
  • the method may include altering a parameter of an instrument coupled to the process tool in response to the one or more output signals.
  • the method may include altering a parameter of an instrument coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals.
  • the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may include obtaining a signature characteristic of the process.
  • the signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the process tool to reduce, and even terminate, the process.
  • a computer-implemented method may be used to control a system configured to determine a characteristic of a specimen during a process.
  • the system may include a measurement device coupled to a process tool as described herein.
  • the method may include controlling the measurement device to detect light returned from a surface of a specimen during a process.
  • controlling the measurement device may include controlling a light source to direct light to a surface of the specimen during the process.
  • controlling the measurement device may include controlling a detector configured to detect light returned from the surface of the specimen during the process.
  • the method may also include generating one or more output signals in response to the detected light.
  • the method may include processing the one or more output signals to determine at least one characteristic of defects on at least one surface of the specimen using the one or more output signals.
  • the characteristics may also include any of the characteristics described herein.
  • the computer-implemented method for controlling a system to determine a characteristic of a specimen during a process may include any steps of the embodiments described herein.
  • the method may include controlling one or more instruments coupled to the process tool to alter a parameter of the instruments in response to the one or more output signals.
  • the method may include controlling one or more instruments coupled to the process tool to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include controlling an instrument coupled to the measurement device to alter the parameter in response to the one or more output signals.
  • the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may include controlling the measurement device to obtain a signature characteristic of the process.
  • the signature may include at least one singularity representative of an endpoint of the process.
  • the method may include controlling a parameter of one or more instruments coupled to the process tool to alter a parameter of an instrument to reduce, and even stop, the process.
  • An additional embodiment relates to a method for fabricating a semiconductor device, which may include disposing a specimen upon a stage.
  • the stage may be disposed within a process tool.
  • the stage may be configured as described herein.
  • a measurement device may also be coupled to the process tool. In this manner, the stage may be coupled to the measurement device.
  • the method may further include forming a portion of a semiconductor device upon the specimen.
  • forming a portion of a semiconductor device may include performing at least a step of a semiconductor fabrication process on the specimen.
  • the method for fabricating a semiconductor device may also include directing light to a surface of the specimen.
  • the method may further include detecting light returned from the surface of the specimen during the process.
  • the method may include generating one or more output signals in response to the detected light. Furthermore, the method may include determining at least one characteristic of the specimen from the one or more output signals. The characteristic may include a presence, a number, a type, or a location of defects on at least one surface of the specimen, or any combination thereof.
  • the method for fabricating a semiconductor device may include any steps of the embodiments described herein.
  • the method may include altering a parameter of one or more instruments coupled to the process tool in response to the one or more output signals.
  • the method may include altering a parameter of one or more instruments coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique.
  • the method may include altering a parameter of one or more instruments coupled to the measurement device in response to the one or more output signals.
  • the method may include altering a sampling frequency of the measurement device in response to the one or more output signals.
  • the method may include obtaining a signature characteristic of the process.
  • the signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the process tool to reduce, and even terminate, the process.
  • each of the systems describe above may be coupled to an energy dispersive X-ray spectroscopy (“EDS”) device.
  • EDS energy dispersive X-ray spectroscopy
  • Such a device may be configured to direct a beam of electrons to a surface of the specimen.
  • the specimen may emit secondary electrons and a characteristic X-ray in response to the directed beam of electrons.
  • the secondary electrons may be detected by a secondary electron detector and may be converted to electrical signals.
  • the electrical signals may be used for brightness modulation or amplitude modulation of an image of the specimen produced by the system.
  • the characteristic X-ray may be detected by a semiconductor X-ray detector and may be subjected to energy analysis.
  • the X-ray spectrum may be analyzed to determine a composition of material on the specimen such as defects on a surface of the specimen.
  • each of the systems, as described herein, may be used to reduce, and even to minimize, within wafer (“WIW”) variability of critical metrics of a process such as a lithography process.
  • critical metrics of a lithography process may include a property such as, but are not limited to, critical dimensions of features formed by the lithography process and overlay misregistration.
  • Critical metrics of a process may also include any of the properties as described herein including, but not limited to, a presence of defects on the specimen, a thin film characteristic of the specimen, a flatness measurement of the specimen, an implant characteristic of the specimen, an adhesion characteristic of the specimen, a concentration of an elements in the specimen.
  • Such systems may be configured to determine at least one property of a specimen at more than one position on the specimen.
  • the measurement device may be configured to measure at least the one property of the specimen at multiple positions within a field and/or at multiple positions within at least two fields on the specimen.
  • the measured property may be sent to a processor, or a within wafer film processor.
  • the processor may be coupled to the measurement device and may be configured as described herein.
  • At least one property of the specimen may be measured at various positions across the specimen, at least one property may be determined for each of the various positions.
  • a parameter of one or more instruments coupled to a tool or a process chamber of a process tool may also be altered, as described above, independently from field to field on the specimen.
  • many exposure process tools may be configured such that the exposure dose and focus conditions of the expose process may be varied across the specimen, i.e., from field to field.
  • process conditions such as exposure dose and/or post exposure bake temperature may vary across the specimen in subsequent processes in response to variations in at least one measured property from field to field across the specimen.
  • the exposure dose and focus conditions may be determined and/or altered as described herein using a feedback or feedforward control technique. In this manner, critical metrics of a process such as a lithography process may be substantially uniform across the specimen.
  • a temperature of the post exposure bake plate may be altered across the bake plate by using a number of discrete secondary heating elements disposed within a primary heating element. Secondary heating elements may be independently controlled. As such, a temperature profile across a specimen during a post exposure bake process may be altered such that individual fields on a specimen may be heated at substantially the same temperature or at individually determined temperatures. A pressure of a plating head of a chemical mechanical polishing tool may be similarly altered across the plate head in response to at least the two properties determined at multiple locations on the specimen.
  • At least the one parameter of a process chamber may be altered such that a first portion of a specimen may be processed with a first set of process conditions during a step of the process and such that a second portion of the specimen may be processed with a second set of process conditions during the step.
  • each portion of the specimen may be a field of the specimen.
  • each field of the specimen may be subjected to a different process conditions such as, but not limited to, exposure dose and focus conditions and post exposure bake temperatures.
  • process conditions such as, but not limited to, exposure dose and focus conditions and post exposure bake temperatures.
  • a system configured to evaluate and control a process using field level analysis as described above may provide dramatic improvements over current process control methods. Measuring within wafer variability of critical metrics, or critical dimensions, may provide tighter control of the critical dimension distribution.
  • the method described above may also enable a manufacturing process to locate the distribution performance of manufactured devices closer to a higher performance level. As such, the high margin product yield may also be improved by using such a method to evaluate and control a process.
  • additional variations in the process may also be minimized.
  • a process may use two different, but substantially similarly configured process chambers, to process one lot of specimens. Two process chambers may be used to perform the same process such that two specimens may be processed simultaneously in order to reduce the overall processing time. Therefore, the above method may be used to evaluate and control each process chamber separately. As such, the overall process spread may also be reduced.
  • Data gathered using a system, as described herein, may be analyzed, organized and displayed by any suitable means.
  • the data may be grouped across the specimen as a continuous function of radius, binned by radial range, binned by stepper field, by x-y position (or range of x-y positions, such as on a grid), by nearest die, and/or other suitable methods.
  • the variation in data may be reported by standard deviation from a mean value, a range of values, and/or any other suitable statistical method.
  • the extent of the within wafer variation may be analyzed as a function of specimen, lot and/or process conditions.
  • the within wafer standard deviation of the measured CD may be analyzed for variation from lot to lot, wafer to wafer, and the like. It may also be grouped, reported and/or analyzed as a function of variation in one or more process conditions, such as develop time, photolithographic exposure conditions, resist thickness, post exposure bake time and/or temperature, pre-exposure bake time and/or temperature, and the like. It may also or instead be grouped, reported and/or analyzed as a function of within wafer variation in one or more of such processing conditions.
  • Data gathered using a system, as described herein, may be used not just to better control process conditions, but also where desirable to better control in situ endpointing and/or process control techniques.
  • data may be used in conjunction with an apparatus such as that set forth in U.S Pat. No. 5,689,614 to Gronet et al. and/or Published European Patent Application No. EP 1 066 925 A2, which are incorporated by reference as if fully set forth herein, to improve the control over localized heating of the substrate or closed loop control algorithms.
  • Within wafer variation data may be fed forward or back to such a tool to optimize the algorithms used in control of local specimen heating or polishing, or even to optimize the tool design.
  • wafer variation data may be used to control or optimize a process or tool such as that set forth in one or more of Published PCT Patent Applications No. WO 99/41434 or WO 99/25004 and/or Published European Patent Application No 1065567 A2, which are hereby incorporated by reference as if fully set forth herein.
  • a process or tool such as that set forth in one or more of Published PCT Patent Applications No. WO 99/41434 or WO 99/25004 and/or Published European Patent Application No 1065567 A2, which are hereby incorporated by reference as if fully set forth herein.
  • wafer variation data taken, for example, from stand alone and/or integrated measurement tools may be used to better control and/or optimize the algorithms, process parameters and integrated process control apparatuses and methods in such tools or processes.
  • Data regarding metal thickness and its within wafer variation may be derived from an x-ray reflectance tool such as that disclosed in US Patent No. 5,619,548 and/or Published PCT Application No. WO 01/09566, which are
  • an embodiment of system 70 may have a plurality of measurement devices.
  • Each of the measurement devices may be configured as described herein. As described above, each of the measurement devices may be configured to determine a different property of a specimen.
  • system 70 may be configured to determine at least four properties of a specimen.
  • measurement device 72 may be configured to determine a critical dimension of a specimen.
  • measurement device 74 may be configured to determine overlay misregistration of the specimen.
  • measurement device 76 may be configured to determine a presence of defects such as macro defects on the specimen.
  • measurement device 76 may be configured to determine a number, a location, and/or a type of defects on the specimen.
  • measurement device 78 may be configured as to determine one or more thin film characteristics of the specimen and/or a layer on the specimen. Examples of thin film characteristics include, but are not limited to, a thickness, an index of refraction, and an extinction coefficient.
  • each of the measurement devices may be configured to determine two or more properties of a specimen.
  • measurement device 72 may be configured to determine a critical dimension and a thin film characteristic of a specimen substantially simultaneously or sequentially.
  • measurement device 72 may be configured to determine a presence of defects on the specimen.
  • system 70 may be configured to determine at least four properties of the specimen simultaneously or sequentially.
  • System 70 may be arranged as a cluster tool.
  • An example of a configuration of a cluster tool is illustrated in FIG. 14.
  • each of the measurement device described herein may be disposed in a measurement chamber.
  • Each of the measurement chamber may be disposed proximate one another and/or coupled to each other.
  • system 70 may include a wafer handler.
  • the wafer handler may include any mechanical device as described herein.
  • the system may be configured to receive a plurality of specimen to be measured and/or inspected such as a cassette of wafers.
  • the wafer handler may be configured to remove a specimen from the cassette prior to measurement and/or inspection and to dispose a specimen into the cassette subsequent to measurement and/or inspection.
  • the wafer handler may also be configured to dispose a specimen within each measurement chamber and to remove a specimen from each measurement chamber.
  • the system may include a plurality of such wafer handlers.
  • the system may be further configured as described with reference to FIG. 14.
  • the system may be configured as a stand-alone metrology and/or inspection system. In this manner, the system may not be coupled to a process tool.
  • Such a system may provide advantages over a similarly configured integrated tool. For example, such a system may be designed to be faster and cheaper than a similarly configured integrated tool because there may be less physical and mechanical constraints for a stand-alone system versus an integrated system.
  • System 70 may be further configured as described herein.
  • a system may be configured to determine at least two properties of a specimen including a thickness of a layer formed on the specimen and at least one additional property such as an index of refraction, a velocity of sound, a density, and a critical dimension, which may include a profile, of a layer or a feature formed upon the specimen.
  • the specimen may include a structure such as single layer or multiple layers formed upon the specimen.
  • the single layer or multiple layers formed on the specimen may include, but are not limited to, any combination of substantially transparent, semi-transparent, and opaque metal films.
  • the specimen may also be a blanket wafer or a patterned wafer.
  • the term, “blanket wafer,” generally refers to a wafer having at least an upper layer that may not have been subjected to a lithography process.
  • the term, “patterned wafer,” generally refers to a wafer having at least an upper layer that may be patterned by, for example, a lithography process and/or an etch process.
  • the system may be configured as described herein.
  • the system may include a processor coupled to two or more measurement devices.
  • the processor may be configured to determine at least a thickness of the specimen and/or a layer on the specimen and at least one additional property of the specimen and/or a layer on the specimen from one or more output signals generated by the measurement devices.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include, but is not limited to, a small-spot photo-acoustic device, a grazing X-ray reflectometer, and a broadband small-spot spectroscopic ellipsometer. Examples of photo-acoustic devices are illustrated in U.S. Pat. No.
  • the system may also include a pattern recognition system that may be used in conjunction with the above devices.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, at least one element of a first measurement device, for example, may also be at least one element of a second measurement device. In addition, it may be advantageous for additional elements such a handling robots, stages, processor, and power supplies of a first measurement device to be used by a second measurement device.
  • the system may also include an autofocus mechanism that may be configured to bring a specimen substantially into focus (i.e., to approximately a correct height) for a first measurement device, and then for a second measurement device.
  • An example of an autofocus mechanism is shown in FIG. 11 b , as autofocus sensor 124 .
  • Appropriate combinations of devices included in the measurement device may include, for example, a small-spot photo-acoustic device and a grazing X-ray reflectometer or a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer.
  • a photo-acoustic device may provide measurements of layers having thickness of less than about a few hundred angstroms while a grazing X-ray reflectometer may provided measurements of layers having thicknesses in a range from about 50 angstroms to about 1000 angstroms.
  • Ellipsometric techniques may provide measurements of metal and semi-metallic layers having thicknesses of less than about 500 angstroms because at such thicknesses even metal may allow some light to pass through the layer.
  • ellipsometric techniques may also provide measurements of transparent layers having thicknesses from about 0 angstroms to a few microns.
  • a system as described herein, may provide measurements of layers having a broad range of thicknesses and materials.
  • such a system may be coupled to a chemical-mechanical polishing tool as described herein.
  • the system may be coupled to or arranged proximate a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen, a layer of a specimen, and/or a feature formed on the specimen subsequent to a chemical-mechanical polishing process.
  • a feature formed on the specimen may include a relatively wide metal line.
  • Such a relatively wide metal line may include, for example, a test structure formed on the specimen.
  • one or more of the determined properties of the test structure may be correlated (experimentally or theoretically) to one or more properties of a feature such as a device structure formed on the specimen.
  • at least a portion of the specimen may include an exposed dielectric layer.
  • the system may be coupled to any other process tools as described herein.
  • An appropriate spectroscopic ellipsometer may include a broadband light source, which may include one or a combination of light sources such as a xenon arc lamp, a quartz-halogen lamp, or a deuterium lamp.
  • the ellipsometer may have a relatively high angle of incidence. For example, the angle of incidence may range from approximately 40 degrees to approximately 80 degrees, to the normal to the surface of the specimen.
  • the spectroscopic ellipsometer may include an array detector such as a silicon photodiode array or a CCD array, which may be back thinned.
  • the spectroscopic ellipsometer may include one or more fiber optic elements.
  • a first fiber optic element may be configured to transmit light from the light source to a first polarizing element.
  • a fiber may ensure that the light is randomly polarized or depolarized.
  • the spectroscopic ellipsometer may also include a second fiber optic element configured to transmit light to a spectrometer from an analyzer assembly. In this manner, the fiber optic element may be configured to alter, or “scramble,” a polarization state of light from the analyzer assembly such that the signal may not need correction for the polarization sensitivity of the spectrometer.
  • the second fiber optic element may be configured to alter the polarization state of the light such that the spectrometer may be conveniently located at some distance from the specimen.
  • the fiber optic element may, preferably, be made of fused silica or sapphire such that the fiber optic element may be transmissive at ultraviolet wavelengths.
  • the first polarizer may include a linear polarizing element such as a Rochon prism or a Wollaston prism and, optionally, a retarder (i.e., a compensator).
  • the analyzer assembly may include a linear polarizing element and, optionally, a retarder. At least one of the linear polarizing elements may rotate continuously when making measurements. For calibration, at least two elements will be rotated either continuously or in a series of discrete steps.
  • the spectroscopic ellipsometer may further include reflective or refractive optics (or combinations thereof) configured to focus the light to a small spot on the specimen and to collect the light from the specimen.
  • Any refractive components may, preferably, be made from fused SiO 2 or CaF 2 for relatively good ultraviolet transmission.
  • Any reflective components may, preferably, be coated with Al for relatively good broadband transmission.
  • a thin overcoat of MgF 2 or SiO 2 may be formed over the Al to reduce, and even eliminate, oxidation of the Al.
  • the reflective components may be spherical or aspherical. Diamond turning may be a convenient and well-known technique for making aspheric mirrors.
  • gold or platinum may be a suitable coating material.
  • the spectroscopic ellipsometer may be further configured as described herein.
  • a spectroscopic ellipsometer may be coupled to a lithography track.
  • the lithography track may be configured as illustrated in FIG. 13 and as described herein.
  • the spectroscopic ellipsometer may be configured as in any of the embodiments described herein.
  • a processor may be coupled to the spectroscopic ellipsometer.
  • the processor may be configured to determine at least one property of the specimen including, but not limited to, a critical dimension, a profile, a thickness or other thin film characteristics of the specimen, a layer formed on the specimen, and/or a feature formed on the specimen from one or more output signals generated by the spectroscopic ellipsometer.
  • the spectroscopic ellipsometer may be coupled to the lithography track as described herein.
  • the spectroscopic ellipsometer may be coupled to a process chamber of the lithography track such that the spectroscopic ellipsometer may direct light toward and detect light returned from a specimen on a support device in the process chamber.
  • the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen while the support device is spinning.
  • the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen during a process being performed in the process chamber.
  • the process may include, but is not limited to, a resist apply process, a post apply bake process, and a chill process.
  • the spectroscopic ellipsometer may be disposed within the lithography track.
  • the spectroscopic ellipsometer may be disposed above a chill chamber, in an integration system, or laterally proximate or vertically proximate to a process chamber of the lithography track.
  • An integration system may be configured to couple a lithography track to an exposure tool.
  • the integration system may be configured to receive a specimen from the lithography track and to send the specimen to the exposure tool.
  • the integration system may be configured to receive or remove a specimen from the exposure tool and to send the specimen to the lithography track.
  • the integration system may also include one or more chill plates and a handling robot. In this manner, the system may be configured to determine at least one property of the specimen at various points in a lithography process such as prior to an exposure step, subsequent to the exposure step, and subsequent to a develop step of the process.
  • the spectroscopic ellipsometer may or may not be disposed within a measurement chamber as described above.
  • the spectroscopic ellipsometer may be coupled to a robotic wafer handler of the lithography track.
  • the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen prior to or subsequent to a process such prior to exposure, subsequent to exposure, or after develop.
  • the spectroscopic ellipsometer may be configured to generate one or more output signals responsive to a critical dimension, a profile, a thickness or other thin film characteristics of a latent image formed on the specimen by the exposure process.
  • An environment within the track may be controlled by chemical filtration of atmospheric air or by feeding a supply of sufficiently pure gas.
  • the environment within the track may be controlled such that levels of chemical species including, but not limited to, ammonia and amine-group-containing compounds, water, carbon dioxide, and oxygen may be reduced.
  • the environment within the track may be controlled by a controller computer such as controller computer 162 , as illustrated in FIG. 14 coupled to the ISP system.
  • the controller computer may be further configured to control additional environmental conditions within the track including, but not limited to, relative humidity, particulate count, and temperature.
  • the spectroscopic ellipsometer may be configured as described herein.
  • an appropriate spectroscopic ellipsometer may include a broadband light source, which may include one or a combination of light sources such as a xenon arc lamp, a quartz-halogen lamp, or a deuterium lamp.
  • the ellipsometer may have a relatively high angle of incidence. For example, the angle of incidence may range from approximately 40 degrees to approximately 80 degrees, to the normal to the surface of the specimen.
  • the spectroscopic ellipsometer may include an array detector such as a silicon photodiode array or a CCD array, which may be back thinned.
  • the spectroscopic ellipsometer may include one or more fiber optic elements.
  • a first fiber optic element may be configured to transmit light from the light source to a first polarizing element.
  • a fiber may ensure that the light is randomly polarized or depolarized.
  • the spectroscopic ellipsometer may also include a second fiber optic element configured to transmit light to a spectrometer from an analyzer assembly. In this manner, the fiber optic element may be configured to alter, or “scramble,” a polarization state of light from the analyzer assembly such that the signal may not need correction for the polarization sensitivity of the spectrometer.
  • the second fiber optic element may be configured to alter the polarization state of the light such that the spectrometer may be conveniently located at some distance from the specimen.
  • the fiber optic element may, preferably, be made of fused silica or sapphire such that the fiber optic element may be transmissive at ultraviolet wavelengths.
  • the first polarizer may include a linear polarizing element such as a Rochon prism or a Wollaston prism and, optionally, a retarder (i.e., a compensator).
  • the analyzer assembly may include a linear polarizing element and, optionally, a retarder. At least one of the linear polarizing elements may rotate continuously when making measurements. For calibration, at least two elements will be rotated either continuously or in a series of discrete steps.
  • the spectroscopic ellipsometer may further include reflective or refractive optics (or combinations thereof) configured to focus the light to a small spot on the specimen and to collect the light from the specimen.
  • Any refractive components may, preferably, be made from fused SiO 2 or CaF 2 for relatively good ultraviolet transmission.
  • Any reflective components may, preferably, be coated with Al for relatively good broadband transmission.
  • a thin overcoat of MgF 2 or SiO 2 may be formed over the Al to reduce, and even eliminate, oxidation of the Al.
  • the reflective components may be spherical or aspherical. Diamond turning may be a convenient and well-known technique for making aspheric mirrors.
  • gold or platinum may be a suitable coating material.
  • the spectroscopic ellipsometer may be further configured as described herein.
  • the processor may be configured to compare one or more output signals from the spectroscopic ellipsometer with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics and, possibly, interpolated data between the expected output signals versus wavelength.
  • the processor may be configured to perform an iteration using one or more starting guesses through (possibly approximate) equations to converge to a good fit for one or more output signals from the spectroscopic ellipsometer. Suitable equations may include, but are not limited to, any non-linear regression algorithm known in the art.
  • the spectroscopic ellipsometer may be configured to image approximately all, or an area of, a specimen onto a one-dimensional or two-dimensional detector. In this manner, multiple locations on the specimen may be measured substantially simultaneously.
  • the spectroscopic ellipsometer may be configured to measure multiple wavelengths by sequentially changing wavelength with filters, a monochromator, or by dispersing the light. For example, the light may be dispersed with a prism or grating in one dimension on a two-dimensional detector while one dimension of the specimen is being imaged in the other dimension.
  • a system may be configured to determine at least two properties of a specimen including a thickness of the specimen and/or a layer formed on the specimen, a feature formed on the specimen and an additional property such as a lattice constant, residual stress, average grain size, crystallinity, crystal defects, an index of refraction, a velocity of sound, a density, and a critical dimension, which may include a profile, of a layer or a feature formed upon the specimen.
  • the specimen may include a single layer or multiple layers formed upon the specimen.
  • the single layer or multiple layers formed on the specimen may include, but are not limited to, any combination of transparent, semi-transparent, and opaque metal films.
  • the specimen may also be a blanket wafer or a patterned wafer.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a measurement device and configured to determine at least a thickness of the specimen and/or a layer on the specimen and an additional property of a layer on the specimen and/or a feature formed on the specimen from one or more output signals generated by the measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the measurement device may include, but is not limited to, a grazing X-ray reflectometer, an X-ray reflectometer such as a grating X-ray reflectometer, and/or an X-ray diffractometer.
  • the measurement device may also include a pattern recognition system that may be used in conjunction with the above devices.
  • An X-ray reflectometer may be configured to perform an X-ray reflectance technique as described herein.
  • An X-ray diffractometer may be configured to perform X-ray diffraction.
  • X-ray diffraction involves coherent scattering of x-rays by polycrystalline materials. The x-rays are scattered by each set of lattice planes at a characteristic angle, and the scattered intensity is a function of the atoms which occupy those planes.
  • X-ray diffraction peaks may be produced by constructive interference of a monochromatic beam scattered from each set of lattice planes at specific angles. The peak intensities are determined by atomic arrangement within the lattice planes. In this manner, the scattering from all the different sets of planes results in a pattern, which is unique to a given compound.
  • the scattered x-rays may be detected and one or more output signals responsive to the intensity of the scattered x-rays may be generated.
  • the one or more output signals may be used to obtain one or more properties of a layer on a specimen or a specimen.
  • an X-ray diffractometer may be coupled to a process tool configured to grow an epitaxial layer of silicon on a specimen such as a wafer.
  • Epitaxy is a process in which a relatively thin crystalline layer is grown on a crystalline substrate.
  • An epitaxial layer of silicon which may be commonly referred to as “epitaxy” or “epi,” may be a layer of extremely pure silicon or silicon-germanium formed on a silicon containing substrate. The layer may be grown to form a substantially uniform crystalline structure on the wafer.
  • the substrate acts as a seed crystal, and the epitaxial film duplicates the structure (orientation) of the crystal.
  • Epitaxial techniques include, but are not limited to, vapor-phase epitaxy, liquid-phase epitaxy, solid-phase epitaxy, and molecular beam epitaxy.
  • a thickness of the epitaxial layer during an epitaxy process may vary over time depending upon, for example, chemical source, deposition temperature, and mole fraction of the reactants.
  • chemical sources include, but are not limited to, silicon tetrachloride (“SiCl 4 ”), trichlorosilane (“SiHCl 3 ”), dichlorosilane (“SiH 2 Cl 2 ”), and silane (“SiH 4 ”).
  • Examples of appropriate temperatures for an epitaxy process may range from about 950° C.
  • An appropriate temperature may be higher or lower, however, depending upon, for example, the chemical source used for the epitaxy process.
  • Such process tools are commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • the X-ray diffractometer may be configured as described above.
  • the X-ray diffractometer may be coupled to the process tool according to any of the embodiments described herein.
  • an X-ray diffractometer may be coupled to a process chamber of the epitaxial process tool or may be disposed proximate to the process chamber in a measurement chamber.
  • a processor may be coupled to the X-ray diffractometer and the process tool. The processor may be further configured as described above.
  • the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, elements of a first measurement device, for example, may also be elements of a second measurement device. In addition, it may be advantageous for additional elements such a handling robots, stages, processor, and power supplies of a first measurement device to be used by a second measurement device.
  • the measurement device may also include an autofocus mechanism that may be configured to bring a specimen substantially into focus (i.e., to approximately a correct height) for a first measurement device, and then for a second measurement device.
  • the system, the measurement device, the autofocus mechanism, and the processor may be further configured as described herein.
  • such a system may be coupled to a process tool including, but not limited to, a chemical-mechanical polishing tool, a deposition tool such as a physical vapor deposition tool, a plating tool, and an etch tool.
  • the system may be coupled to the process tool as described herein.
  • the system may be coupled to or disposed proximate to a process tool such that the system may determine at least two properties of a specimen, a layer of a specimen, and/or a feature formed on the specimen prior to, during, or subsequent to a process.
  • a system may be configured to determine at least two properties of a specimen including an electrical property such as a capacitance, a dielectric constant, and a resistivity of the specimen and/or a layer on the specimen and a thin film characteristic of the specimen and/or a layer on the specimen.
  • the thin film characteristic may include any of the characteristics as described herein.
  • the specimen may include a wafer or a dielectric material disposed upon a wafer or another substrate. Examples of appropriate dielectric materials include, but are not limited to, gate dielectric materials and low-k dielectric materials. Typically, low-k dielectric materials include materials having a dielectric constant less than about 3.8, and high-k materials include materials having a dielectric constant greater than about 4.5.
  • the system may be configured as described herein.
  • the system may include a processor coupled to a first measurement device and a second measurement device and configured to determine at least a thin film characteristic of the specimen and/or a layer on the specimen from one or more output signals of the first measurement device and an electrical property of the specimen and/or a layer on the specimen from an output signal of the second measurement device.
  • the processor may be configured to determine other properties of the specimen from the one or more output signals.
  • the processor may also be used to determine additional properties of the specimen including, but not limited to, a characteristic of metal contamination on the specimen.
  • the first measurement device may include, but is not limited to, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer and a system configured to measure an electrical property of the specimen.
  • the system, the first measurement device, and the processor may be further configured as described herein.
  • Such a system may be coupled to a process tool such as a deposition tool including, but not limited to, a chemical vapor deposition tool, an atomic layer deposition tool and a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool such as a furnace, a cleaning tool, and an ion implanter, as described herein.
  • a process tool such as a deposition tool including, but not limited to, a chemical vapor deposition tool, an atomic layer deposition tool and a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool such as a furnace, a cleaning tool, and an ion implanter, as described herein.
  • a system may also be coupled to an etch tool. In this manner, at least the two properties may be used to determine an amount of plasma damage caused to the specimen and/or a layer on the specimen during an etch process performed by the etch tool.
  • plasma damage may include, but is not limited
  • the second measurement device may be configured to measure an electrical property of the specimen as illustrated, for example, in U.S. Patent Application entitled “A Method Of Detecting Metal Contamination On A Semiconductor Wafer,” by Xu et al., filed May 10, 2001, which is incorporated by reference as if fully set forth herein.
  • a specimen may be placed into a wafer cassette, which may be loaded into the system.
  • the system may include a robotic handler, which may be configured as described herein.
  • the system may also include a pre-aligner that may be configured to alter a position of a specimen.
  • a pre-aligner may be configured to alter a position of the specimens such the orientation of each specimen may be substantially the same during processing.
  • the pre-aligner may be configured to detect an alignment mark formed on a specimen and to alter a position of the specimen such that a position of the alignment mark may be substantially the same as a predetermined position.
  • the second measurement device may also include an oven that may be used to anneal a specimen.
  • the oven may be configured to heat the specimen to a temperature, for example, of less than approximately 1100° C.
  • the oven may also be configured to drive the metal contamination into a dielectric material of the specimen or into a semiconductor substrate of the specimen.
  • the second measurement device may also include a cooling device configured to reduce a temperature of the specimen subsequent to the annealing process.
  • the cooling device may include any such device known in the art such as a chill plate.
  • the second measurement device may include a device configured to deposit a charge on an upper surface of the specimen.
  • the device may include, for example, a non-contact corona charging device such as a needle corona source or a wire corona source. Additional examples of non-contact corona charging devices are illustrated in U.S. Pat. No. 5,99,558 to Castellano et al., U.S. Pat. No. 5,594,247 to Verkuil et al., U.S. Pat. No. 5,644,223 to Verkuil, and U.S. Pat. No. 6,191,605 to Miller et al., which are incorporated by reference as if fully set forth herein.
  • the deposited charge may be positive or negative depending on the parameters of the device used to deposit the charge.
  • the device may be used to deposit a charge on predetermined regions of the specimen or on randomly determined regions of the specimen.
  • the device may also be used to deposit a charge on a portion of the specimen or on substantially the entire specimen.
  • the second measurement device may also include a sensor configured to measure at least one electrical property of the charged upper surface of the specimen.
  • the sensor may be configured to operate as a non-contact work function sensor or a surface photo-voltage sensor.
  • the non-contact work function sensor may include, e.g., a Kelvin probe sensor or a Monroe sensor. Additional examples of work function sensors, which may be incorporated into the system, are illustrated in U.S. Pat. No. 4,812,756 to Curtis et al., U.S. Pat. No. 5,485,091 to Verkuil, U.S. Pat. No. 5,650,731 to Fung, and U.S. Pat. No.
  • the sensor may be used to measure electrical properties, which may include, but are not limited to, a tunneling voltage, a surface voltage, and a surface voltage as a function of time.
  • the second measurement device may also include an illumination system that may be configured to direct a pulse of light toward the specimen and that may be used to generate a surface photo-voltage of the specimen.
  • an electrical property that may be measured by the sensor may also include a surface photo-voltage of the specimen.
  • the system may further include a movable chuck configured to alter a position of the specimen under the device, under the illumination system, and under the sensor.
  • the second measurement device may be used to measure an electrical property of the specimen as a function of time and position of the specimen.
  • the system may also include a processor that may be configured as described herein and may be used to monitor and control operation of the oven to heat the specimen to an anneal temperature.
  • the processor may also be configured to monitor and control the operation of the device to deposit a charge on an upper surface of the specimen.
  • the processor may be further configured to monitor and control the operation of the sensor to measure an electrical property of the specimen.
  • the measured electrical property may include a surface voltage of a dielectric material formed on the specimen, which may be measured as a function of time.
  • the second measurement device may be configured to generate one or more output signals responsive to the measured electrical property.
  • the processor may be configured to use one or more output signals from the second measurement device to determine at least one property of the specimen such as a resistivity of the dielectric material.
  • the resistivity of the dielectric material may be determined by using the following equation:
  • ⁇ dielectric ⁇ V /[( dV/dt ) ⁇ 0 ],
  • ⁇ dielectric is the resistivity of the dielectric material
  • V is the measured surface voltage of the dielectric material
  • t is the decay time
  • is the dielectric constant of the dielectric material
  • ⁇ 0 is the vacuum permittivity.
  • a characteristic of metal contamination in the dielectric material may also be a function of the resistivity of the dielectric material.
  • the processor may be used to determine a characteristic of the metal contamination in the specimen.
  • the characteristic of the metal contamination in the specimen may be determined as a function of the measured electrical property.
  • the processor may also be configured to monitor and control an additional device of the operating system including, but not limited to, a robotic wafer handler, a pre-aligner, a wafer chuck, and/or an illumination system.
  • each of the systems described above may be coupled to an secondary electron spectroscopy device.
  • Such a system may be configured to determine material composition of a specimen by analyzing secondary electron emission from the specimen.
  • An example of such a device is illustrated in PCT Application No. WO 00/70646 to Shachal et al., and is incorporated by reference as if fully set forth herein.
  • more than one system described herein may be coupled to a semiconductor fabrication process tool.
  • Each of the systems may be configured to determine at least two properties of a specimen during use.
  • each of the systems may be configured to determine at least two substantially similar properties or at least two different properties. In this manner, properties of a plurality of specimens may be determined substantially simultaneously and at multiple points throughout a semiconductor fabrication process.
  • each of the systems described herein may be coupled to a stand alone metrology and/or inspection system.
  • each of the systems described herein may be coupled to a stand alone metrology and/or inspection system such that signals such as analog or digital signals may be sent between the coupled systems.
  • Each of the systems may be configured as a single tool or a cluster tool that may or may not be coupled to a process tool such as a semiconductor fabrication process tool.
  • the stand alone metrology and/or inspection system may be configured such that the stand alone system may be calibrated with a calibration standard.
  • An appropriate calibration standard may include any calibration standard known in the art.
  • the stand alone metrology and/or inspection system may be configured to calibrate the system coupled to the stand alone system.
  • the stand alone metrology and/or inspection system may be coupled to a plurality of systems as described herein.
  • the stand alone metrology and/or inspection system may be configured to calibrate the plurality of systems coupled to the stand alone system.
  • a plurality of systems may include single tools and/or cluster tools incorporated within the same manufacturing and/or research and development facility.
  • Each of the plurality of systems may be configured to determine the same at least two characteristics of a specimen.
  • each of the plurality of systems may be configured to determine at least two characteristics of substantially the same type of specimen such as specimens upon which a substantially similar type of semiconductor device may be formed.
  • each of the plurality of systems may be incorporated into the same type of product line in a manufacturing facility.
  • the stand alone metrology and/or inspection system may be configured to calibrate each of the plurality of systems using the same calibration standard. As such, a plurality of metrology and/or inspection systems in a manufacturing and/or research and development facility may be calibrated using the same calibration standard.
  • the stand alone metrology and/or inspection system may be configured to generate a set of data.
  • the set of data may include output signals from a measurement device of a system and characteristics of a specimen determined by a processor of the system using the output signals.
  • the set of data may also include output signals and determined characteristics corresponding to the output signals that may be generated by using a plurality of systems as described herein. Therefore, the set of data may be used to calibrate and/or monitor the performance of a plurality of systems.
  • each of the systems, as described herein, may be coupled to a cleaning tool.
  • a cleaning tool may include any tool configured to remove unwanted material from a wafer such as a dry cleaning tool, a wet cleaning tool, a laser cleaning tool, and/or a shock wave cleaning tool.
  • a dry cleaning tool may include a dry etch tool, which may be configured to expose a specimen to a plasma. For example, resist may be stripped from a specimen using an oxygen plasma in a plasma etch tool. An appropriate plasma may vary depending upon, for example, the type of material to be stripped from a specimen.
  • the plasma etch tool may be further configured as described herein. Dry cleaning tools are commercially available from, for example, Applied Materials, Inc., Santa Clara, Calif.
  • a wet cleaning tool may be configured to submerge a specimen in a chemical solution, which may include, but is not limited to, a sulfuric-acid mixture or a hydrofluoric acid mixture. Subsequent to exposure to the chemical solution, the specimen may be rinsed with de-ionized water and dried.
  • Wet cleaning tools are commercially available from, for example, FSI International, Inc., Chaska, Minn.
  • An example of a laser cleaning tool is illustrated in “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28 th Annual Meeting of the Fine Particle Society, Apr.
  • each of the systems, as described herein, may be coupled to a thermal tool such as a tool configured for rapid thermal processing (“RTP”) of a wafer.
  • a rapid thermal processing tool may be configured to subject a specimen to a relatively brief, yet highly controlled thermal cycle.
  • the RTP tool may be configured to heat a specimen to over approximately 1000° C. in under approximately 10 seconds.
  • RTP may be used mainly for modifying properties of a specimen or a film formed on a specimen formed by other processes.
  • RTP may be commonly used for annealing, which may activate and control the movement of atoms in a specimen after implanting.
  • Another common use is for silicidation, which may form silicon-containing compounds with metals such as tungsten or titanium.
  • a third type of RTP application is oxidation, which may involve growing oxide on a specimen such as a silicon wafer.
  • RTP tools are commercially available from, for example, Applied Materials, Inc., Santa Clara, Calif.
  • each of the processors described above including a local processor, a remote controller computer, or a remote controller computer coupled to a local processor may be configured to perform a computer integrated manufacturing technique as illustrated in European Patent Application EP 1 072 967 A2 to Arackaparambil et al., which is incorporated by reference as if fully set forth herein.
  • each of the processors as described herein may be configured to automatically generate a schedule for wafer processing within a multichamber semiconductor wafer processing tool as illustrated in U.S. Pat. No. 6,201,999 to Jevtic, U.S. Pat. No. 6,224,638 to Jevtic, and PCT Application No. WO 98/57358 to Jevtic, which are incorporated by reference as if fully set forth herein.
  • each of the systems as described herein may include a multiple blade wafer handler.
  • a processor as described herein may be configured to control the multiple blade wafer handler.
  • Each of the processors as described herein may be configured to assign a priority value to process chambers and/or measurement chambers of a cluster tool such as a process tool or a measurement and/or inspection system.
  • One or more measurement chambers may be coupled to a process tool according to any of the embodiments as described herein.
  • Each of the processors as described herein may also be configured to assign a priority to measurement chambers of a cluster tool such as a metrology and/or inspection system.
  • the processor may be configured to control the multiple blade wafer handler such that the multiple blade wafer handler may be configured to move a specimen from chamber to chamber according to the assigned priorities.
  • the processor may also be configured to determine an amount of time available before a priority move is to be performed. If the determined amount of time is sufficient before a priority move is to be performed, the processor may control the multiple blade wafer handler to perform a non-priority move while waiting. For example, if the determined amount of time is sufficient before a process step is to be performed on a specimen, then the multiple blade wafer handler may move the specimen to a measurement chamber.
  • a system as described herein may be configured to determine at least two properties of a specimen while the specimen is waiting between process steps.
  • the processor may also be configured to dynamically vary assigned priorities depending upon, for example, the availability of process and/or measurement chambers. Furthermore, the processor may assign priorities to the process and/or measurement chambers based upon, for example, a time required for a wafer handler to move the wafer in a particular sequence.
  • each of the processors as described herein may be configured to use “options,” which may correspond to optional components of a process tool, and which may be selected by a user according to the optional components that the user desires to have as part of the process tool as illustrated in U.S. Pat. No. 6,199,157 to Dov et al., which is incorporated by reference as if fully set forth herein.
  • a process tool as described herein may also include multiple chill process chambers or a multi-slot chill process chamber. Such multiple or multi-slot chill process chambers allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers.
  • each of the processors as described herein may be configured to assign a priority level to each wafer in a processing sequence depending on its processing stage, and this priority level may be used to sequence the movement of wafers between chambers as illustrated in U.S. Pat. No. 6,201,998 to Lin et al., which is incorporated by reference as if fully set forth herein. In this manner, a system as described herein may increase an efficiency at which wafers are transferred among different processing chambers in a wafer processing facility.
  • each of the processors may be configured to determine at least a roughness of a specimen, a layer on a specimen, and/or a feature of a specimen.
  • a processor may be configured to determine a roughness from one or more output signals of a measurement device using mathematical modeling.
  • the one or more output signals may be generated by a measurement device such as a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, and a non-imaging Linnik microscope.
  • Appropriate mathematical models may include any mathematical models known in the art such as mathematical models that may be used to determine a critical dimension of a feature.
  • the mathematical models may be configured to process data of multiple wavelengths or data of a single wavelength.
  • a system including such a processor, may be coupled to a process tool such as a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • a process tool such as a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
  • a develop process step in a lithography process may cause a significant amount of roughness to a patterned resist.
  • a layer of material formed by atomic layer deposition may have a significant amount of roughness, particularly on sidewalls of features on a specimen.
  • wet cleaning tools may tend to etch a specimen, a layer on a specimen, and/or features on a specimen, which may cause roughness on the specimen, the layer, and/or the features, respectively.
  • the system may also be coupled to any process tool configured to perform a process that may cause roughness on a surface of a specimen.
  • the system may be coupled to the process tool according to any of the embodiments described herein.
  • a measurement device of such a system may be coupled to a process chamber of a process tool such that the system may determine at least a roughness of a specimen, a layer on a specimen, and/or a feature on a specimen prior to and subsequent to a process.
  • the measurement device may be coupled to a process tool such that a robotic wafer handler may move below or above the measurement device.
  • the system may be further configured as described herein.
  • the system may also include a stage configured to tilt in a number of angles and directions with respect to a measurement device.
  • this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims.

Abstract

Methods and systems for monitoring semiconductor fabrication processes are provided. A system may include a stage configured to support a specimen and coupled to a measurement device. The measurement device may include an illumination system and a detection system. The illumination system and the detection system may be configured such that the system may be configured to determine multiple properties of the specimen. For example, the system may be configured to determine multiple properties of a specimen including, but not limited to, critical dimension and a thin film characteristic. In this manner, a measurement device may perform multiple optical and/or non-optical metrology and/or inspection techniques.

Description

    PRIORITY CLAIM
  • This application claims priority to U.S. Provisional Application No. 60/234,323 entitled “Methods and Systems for Semiconductor Fabrication Processes,” filed Sep. 20, 2000.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • This invention generally relates to methods and systems for semiconductor fabrication processes. Certain embodiments relate to a method and a system for evaluating and/or controlling a semiconductor fabrication process by determining at least two properties of a specimen. [0003]
  • 2. Description of the Related Art [0004]
  • Fabrication of semiconductor devices such as logic and memory devices typically includes a number of processes that may be used to form various features and multiple levels or layers of semiconductor devices on a surface of a semiconductor wafer or another appropriate substrate. For example, lithography is a process that typically involves transferring a pattern to a resist arranged on a surface of a semiconductor wafer. Additional examples of semiconductor fabrication processes may include chemical-mechanical polishing, etch, deposition, ion implantation, plating, and cleaning. Semiconductor devices are significantly smaller than a typical semiconductor wafer or substrate, and an array of semiconductor devices may be formed on a semiconductor wafer. After processing is complete, the semiconductor wafer may be separated into individual semiconductor devices. [0005]
  • Semiconductor fabrication processes, however, are among the most sophisticated and complex processes used in manufacturing. In order to perform efficiently, semiconductor fabrication processes may require frequent monitoring and careful evaluation. For example, semiconductor fabrication processes may introduce a number of defects (e.g., non-uniformities) into a semiconductor device. As an example, defects may include contamination introduced to a wafer during a semiconductor fabrication process by particles in process chemicals and/or in a clean room environment. Such defects may adversely affect the performance of the process to an extent that overall yield of the fabrication process may be reduced below acceptable levels. Therefore, extensive monitoring and evaluation of semiconductor fabrication processes may typically be performed to ensure that the process is within design tolerance and to increase the overall yield of the process. Ideally, extensive monitoring and evaluation of the process may take place both during process development and during process control of semiconductor fabrication processes. [0006]
  • As features sizes of semiconductor devices continue to shrink, a minimum feature size that may be fabricated may often be limited by the performance characteristics of a semiconductor fabrication process. Examples of performance characteristics of a semiconductor fabrication process include, but are not limited to, resolution capability, across chip variations, and across wafer variations. In optical lithography, for example, performance characteristics such as resolution capability of a lithography process may be limited by the quality of the resist application, the performance of the resist material, the performance of the exposure tool, and the wavelength of light used to expose the resist. The ability to resolve a minimum feature size, however, may also be strongly dependent on other critical parameters of the lithography process such as a temperature of a post exposure bake process and an exposure dose of an exposure process. As such, controlling the parameters of processes that may be critical to the resolution capability of a semiconductor fabrication process such as a lithography process is becoming increasingly important to the successful fabrication of semiconductor devices. [0007]
  • As the dimensions of semiconductor devices continue to shrink with advances in semiconductor materials and processes, the ability to examine microscopic features and to detect microscopic defects has also become increasingly important to the successful fabrication of semiconductor devices. Significant research has been focused on increasing the resolution limit of metrology and/or inspection tools used to examine microscopic features and defects. There are several disadvantages, however, in using the currently available methods and systems for metrology and/or inspection of specimens fabricated by semiconductor fabrication processes. For example, multiple stand-alone metrology/inspection systems may be used for metrology and/or inspection of specimens fabricated by such processes. As used herein, “stand-alone metrology/inspection system” may generally refer a system that is not coupled to a process tool and is operated independently of any other process tools and/or metrology/inspection systems. Multiple metrology/inspection systems, however, may occupy a relatively large amount of clean room space due to the footprints of each of the metrology and/or inspection systems. [0008]
  • In addition, testing time and process delays associated with measuring and/or inspecting a specimen with multiple metrology/inspection systems may increase the overall cost of manufacturing and the manufacturing time for fabricating a semiconductor device. For example, process tools may often be idle while metrology and/or inspection of a specimen is performed such that the process may be evaluated before additional specimens are processed thereby increasing manufacturing delays. Furthermore, if processing problems can not be detected before additional wafers have been processed, wafers processed during this time may need to be scrapped, which increases the overall cost of manufacturing. Additionally, buying multiple metrology/inspection systems increases the cost of fabrication. [0009]
  • In an additional example, for in situ metrology and/or inspection using multiple currently available systems, determining a characteristic of a specimen during a process may be difficult if not impossible. For example, measuring and/or inspecting a specimen with multiple currently available systems during a lithography process may introduce a delay time between or after process steps of the process. If the delay time is relatively long, the performance of the resist may be adversely affected, and the overall yield of semiconductor devices may be reduced. As such, there may also be limitations on process enhancement, control, and yield of semiconductor fabrication processes due to the limitations associated with metrology and/or inspection using multiple currently available systems. Process enhancement, control, and yield may also be limited by an increased potential for contamination associated with metrology and/or inspection using multiple currently available metrology/inspection systems. In addition, there may be practical limits to using multiple metrology/inspection systems in semiconductor manufacturing processes. In an example, for in situ metrology and/or inspection using multiple currently available systems, integrating multiple metrology/inspection systems into a process tool or a cluster tool may be difficult due to the availability of space within the tool. [0010]
  • SUMMARY OF THE INVENTION
  • An embodiment relates to a system that may be configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0011]
  • In an embodiment, the first property may include a critical dimension of the specimen. The second property may include overlay misregistration of the specimen. In addition, the processor may be configured to determine a third and/or a fourth property of the specimen from the one or more output signals. For example, a third property of the specimen may include a presence of defects on the specimen, and the fourth property of the specimen may include a flatness measurement of the specimen. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0012]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine the third property and/or the fourth property of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, or a feedforward control technique. [0013]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen. The method may also include detecting energy propagating from the surface of the specimen. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0014]
  • In an embodiment, the first property may include a critical dimension of the specimen. The second property may include overlay misregistration of the specimen. In addition, the method may further include processing the one or more output signals to determine a third and/or a fourth property of the specimen. For example, a third and a fourth property of the specimen may include a presence of defects on the specimen and a flatness measurement of the specimen. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon the specimen. [0015]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, or a feedforward control technique. [0016]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may further include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a critical dimension of the specimen. Furthermore, the second property may include overlay misregistration of the specimen. The computer-implemented method may also include processing the one or more output signals to determine a third and/or fourth properties of the specimen. In an example, the third and fourth properties of the specimen may include a presence of defects on the specimen and a flatness measurement of the specimen. [0017]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0018]
  • In an embodiment, the first property may include a presence of defects on specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a dual beam spectrophotometer, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0019]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, or a feedforward control technique. [0020]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen. The method may also include detecting energy propagating from the surface of the specimen. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0021]
  • In an embodiment, the first property may include a presence of defects on specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen. [0022]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, or a feedforward control technique. [0023]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a presence of defects on specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0024]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0025]
  • In an embodiment, the first property may include a presence of defects on specimen. The second property may include a critical dimension of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0026]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0027]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0028]
  • In an embodiment, the first property may include a presence of defects on specimen. The second property may include a critical dimension of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0029]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0030]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a presence of defects on specimen. The second property may include a critical dimension of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0031]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0032]
  • In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a dual beam spectrophotometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0033]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0034]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0035]
  • In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0036]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0037]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a critical dimension of the specimen. The second property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0038]
  • An embodiment relates to a system configured to determine at least three properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property, a second property and a third property of the specimen from the one or more output signals. [0039]
  • In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-image imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0040]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and/or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property, the second property and the third property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first, second, or third property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0041]
  • An additional embodiment relates to a method for determining at least three properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property, a second property, and a third property of the specimen. [0042]
  • In an embodiment, the first property may include a critical dimension of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0043]
  • In an embodiment, processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0044]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least three properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen. For example, the first property may include a critical dimension of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0045]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0046]
  • In an embodiment, the first property may include a presence of macro defects on the specimen. The second property may a presence of micro defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field and/or dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0047]
  • In an embodiment, the processor may include a local processor coupled to the measurement device or a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0048]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may also include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0049]
  • In an embodiment, the first property may include a presence of macro defects on the specimen. The second property may be a presence of micro defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0050]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0051]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a presence of macro defects on the specimen. The second property may be a presence of micro defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0052]
  • An embodiment relates to a system configured to determine at least three properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property, a second property and a third property of the specimen from the one or more output signals. [0053]
  • In an embodiment, the first property may include a flatness measurement of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0054]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property, the second property and the third property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first second or third property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0055]
  • An additional embodiment relates to a method for determining at least three properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property, a second property, and a third property of the specimen. [0056]
  • In an embodiment, the first property may include a flatness measurement of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0057]
  • In an embodiment, processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0058]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least three properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property, a second property and a third property of the specimen. For example, the first property may include a flatness measurement of the specimen. The second property may include a presence of defects on the specimen. The third property may include a thin film characteristic of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0059]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the detected light. [0060]
  • In an embodiment, the first property may include overlay misregistration of the specimen. The second property may include a flatness measurement of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0061]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0062]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0063]
  • In an embodiment, the first property may include overlay misregistration of the specimen. The second property may include a flatness measurement of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0064]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0065]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include overlay misregistration of the specimen. The second property may include a flatness measurement of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0066]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may also be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0067]
  • In an embodiment, the first property may include a characteristic of an implanted region of the specimen. The second property may include a presence of defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device; for example, may also be optical elements of a second measurement device. [0068]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0069]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0070]
  • In an embodiment, the first property may include a characteristic of an implanted region of the specimen. The second property may include a presence of defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0071]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the semiconductor fabrication process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0072]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a characteristic of an implanted region of the specimen. The second property may include a presence of defects on the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0073]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may be configured to generate one or more output signals in response to the detected light. The system may also include a processor coupled to the measurement device. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0074]
  • In an embodiment, the first property may include an adhesion characteristic of the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0075]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the semiconductor fabrication process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0076]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0077]
  • In an embodiment, the first property may include an adhesion characteristic of the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0078]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0079]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include an adhesion characteristic of the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0080]
  • An embodiment relates to a system configured to determine at least two properties of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The measurement device may be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The process may be configured to determine at least a first property and a second property of the specimen from the one or more output signals. [0081]
  • In an embodiment, the first property may include a concentration of an element in the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, an ellipsometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0082]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine at least the first property and the second property of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0083]
  • An additional embodiment relates to a method for determining at least two properties of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine at least a first property and a second property of the specimen. [0084]
  • In an embodiment, the first property may include a concentration of an element in the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0085]
  • In an embodiment, processing the one or more output signals to determine at least a first property and a second property of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least the determined first or second property of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0086]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine at least two properties of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a first property and a second property of the specimen. For example, the first property may include a concentration of an element in the specimen. The second property may include a thickness of the specimen. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0087]
  • An embodiment relates to a system coupled to a deposition tool. The deposition tool may be configured to form a layer of material on a specimen. The layer of material may be formed on the specimen by the deposition tool. The measurement device may be configured to determine a characteristic of a layer of material prior to, during, or after formation of the layer. The system may include a stage configured to support the specimen. The measurement device may include an illumination system configured to direct energy toward a surface of the specimen prior to, during ,or after formation of the layer. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen prior to, during, or after formation of the layer. The measurement device may be configured to generate one or more output signals in response to the detected energy. The system may also include a processor coupled to the measurement device. The processor may be configured to determine a characteristic of the layer from the one or more output signals. The processor may also be coupled to the deposition tool. The processor may be configured to alter a parameter of one or more instruments coupled to the deposition tool. Additionally, the processor may be configured to alter a parameter of the instruments coupled to the deposition tool in response to the determined characteristic of the formed layer. [0088]
  • In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. The deposition tool may include any tool configured to form a layer upon a semiconductor substrate. Deposition tools may include chemical vapor deposition tools, physical vapor deposition tool, atomic layer deposition tools, and electroplating tools. [0089]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and/or the deposition tool and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a characteristic of the formed layer on the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled to a deposition tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the deposition tool in response to at least the determined characteristic of a layer formed upon the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0090]
  • An additional embodiment relates to a method of evaluating a characteristic of a layer formed upon a specimen. The method may include depositing a layer upon a specimen using a deposition tool. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen using the illumination system. The method may also include detecting energy propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to determine a characteristic of the formed layer. [0091]
  • In an embodiment, the processor may be configured to determine a characteristic of the formed layer. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0092]
  • In an embodiment, processing the one or more output signals to determine a characteristic of a formed layer may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the deposition tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the deposition tool using the remote controller computer in response to at least the determined characteristic of the formed layer on the specimen. Altering the parameter of the deposition tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0093]
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes a deposition tool and a measurement device. Controlling the system may include controlling the measurement device, the deposition tool, or both. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine at least a characteristic of the layer as it is formed or after it is formed. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0094]
  • An embodiment relates to a system that includes an etch tool coupled to a beam profile ellipsometer. The etch tool may be configured to direct chemically reactive and/or ionic species toward a specimen. The beam profile ellipsometer may be configured to determine a property of an etched region of the specimen during or after the etching process. The beam profile ellipsometer may include an illumination system configured to direct an incident beam of light having a known polarization state toward a surface of the specimen during or after etching of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to generate one or more output signals representative of light returned from the specimen during or after etching of the specimen. The system may also include a processor coupled to the measurement device. The processor may be configured to determine a property of the etched region of a specimen from the one or more output signals. The processor may also be coupled to the etch tool. The processor may alter a parameter of one or more instruments coupled to the etch tool. Additionally, the processor may be configured to alter a parameter of the instruments coupled to the etch tool in response to the properties of the etched layer. [0095]
  • In an embodiment, the system may also include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, or any combination thereof. In this manner, the system may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0096]
  • In an embodiment, the processor may include a local processor coupled to the beam profile ellipsometer and/or the etch tool and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a property of an etched region on the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled to a etch tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the etch tool in response to at least the determined property of the etched region of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0097]
  • An additional embodiment relates to a method of evaluating an etched region of a specimen with a beam profile ellipsometer. The method may include etching a layer upon a specimen using an etch tool. The beam profile ellipsometer may include an illumination system and a detection system. In addition, the method may include directing light toward a surface of the specimen using the illumination system. The method may also include detecting light propagating from the surface of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to a property of the etched region of the specimen. In addition, the method may include processing the one or more output signals to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0098]
  • In an embodiment, processing the one or more output signals to determine a property of an etched region of a specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the beam profile ellipsometer. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the etch tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the etch tool using the remote controller computer in response to at least the determined characteristic of the formed layer on the specimen. Altering the parameter of the etch tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0099]
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes an etch tool and a beam profile ellipsometer. Controlling the system may include controlling the beam profile ellipsometer, the etch tool, or both. In addition, the beam profile ellipsometer may include an illumination system and a detection system. The beam profile ellipsometer may also be coupled to a stage. Controlling the beam profile ellipsometer may include controlling the illumination system to direct light toward a surface of the specimen. Additionally, controlling the beam profile ellipsometer may include controlling the detection system to detect light propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected light. The computer-implemented method may further include processing the one or more output signals to determine at least a property of an etched region of a specimen during etching, after the region is etched, or both. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0100]
  • An embodiment relates to a system that includes an ion implanter coupled to a measurement device. The measurement device may be configured to determine at least a characteristic of an implanted region of a specimen. The measurement device may be configured to determine a characteristic of an implanted region of a specimen during or after implantation of the specimen. The system may include a stage configured to support the specimen. The measurement device may include an illumination system configured to periodically direct two or more beams of light toward a surface of the specimen during or after implantation. In one embodiment, the measurement device may direct an incident beam of light to a specimen to periodically excite a region of the specimen during implantation. Additionally, the measurement device may direct a sample beam of light to the excited region of the specimen. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to measure an intensity of the sample beam reflected from the excited region of the specimen. The measurement device may also be configured to generate one or more output signals in response to the measured intensity. [0101]
  • The system may also include a processor coupled to the measurement device. The processor may be configured to determine a characteristic of an implanted region from the one or more output signals. The processor may also be coupled to the ion implanter. The processor may be configured to alter a parameter coupled to one or more instruments coupled to the ion implanter. Additionally, the processor may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to the determined characteristic of the implanted region. [0102]
  • In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a modulated optical reflectance device, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0103]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and/or the ion implanter and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a characteristic of the implanted region of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled to an ion implanter. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the ion implanter in response to at least the determined property of the ion implantation region of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0104]
  • An additional embodiment relates to a method of evaluating an implanted region of a specimen. The method may include implanting ions into a region of a specimen using an ion implanter. The measurement device may include an illumination system and a detection system. In addition, the method may include directing an incident beam of light toward a region of the specimen to periodically excite the region of the specimen during implantation or after implantation. A sample beam may also be directed to the excited region of the specimen. The method may also include measuring an intensity of light propagating from the excited region of the specimen using the detection system. The method may further include generating one or more output signals in response to the measured intensity. Furthermore, the method may include processing the one or more output signals to determine a characteristic of the implanted region. In addition, the method may include processing the one or more output signals to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0105]
  • In an embodiment, processing the one or more output signals to determine a property of an ion implantation region may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the ion implanter. In this manner, the method may include altering a parameter of one or more instruments coupled to the ion implanter using the remote controller computer in response to at least the determined property of the ion implanted region of the specimen. Altering the parameter of the ion implanter may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0106]
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes an ion implanter and a measurement device. Controlling the system may include controlling the measurement device, the ion implanter, or both. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct light toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect light propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected light. The computer-implemented method may further include processing the one or more output signals to determine at least a characteristic an implanted region of the specimen. In addition, the method may include determining other properties of the specimen from the one or more output signals. [0107]
  • An embodiment relates to a system that includes a process chamber coupled to a measurement device. The process chamber may be configured to fabricate a portion of a semiconductor device on a specimen. The measurement device may be configured to determine a presence of defects on a specimen. The measurement device may be configured to determine a presence of defects on a specimen prior to, during, or after fabrication of a portion of the semiconductor device on the specimen. In one embodiment, the measurement device may be configured to detect micro defects. The system may include a stage configured to support the specimen. The stage may be configured to rotate. [0108]
  • The measurement device may include an illumination system configured to direct energy toward a surface of the specimen prior to, during, or after fabrication. Additionally, the measurement device may be configured to direct energy toward a surface of the specimen while the stage is stationary or while the stage is rotating. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating from the surface of the specimen. The detection system may detect energy prior to, during, or after fabrication. The detection system may also be configured to detect energy while the stage is stationary or rotating. The measurement device may also be configured to generate one or more output signals in response to the detected energy. [0109]
  • The system may also include a processor coupled to the measurement device. The processor may be configured to a presence of defects on a surface of the specimen from the one or more output signals. The processor may also be coupled to the process chamber. The processor may control a parameter of one or more instruments coupled to the process chamber. Additionally, the processor may be configured to alter a parameter of one or more instruments coupled to the process chamber in response to the detection of micro defects on the surface of the specimen. [0110]
  • In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0111]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and/or the process chamber and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the local processor. In addition, the remote controller computer may be configured to determine a presence of defects on the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. The remote controller computer may also be coupled the process chamber. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process chamber in response to a determined presence of defects on the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0112]
  • An additional embodiment relates to a method of evaluating a presence of defects on a surface of a specimen using a system that includes a process tool and a measurement device. The method may be used to detect a presence of micro defects on a specimen. The method may include fabricating a portion of a semiconductor device on a specimen using a process tool. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a surface of the specimen. The method may also include detecting energy propagating from the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine a presence of defects on the specimen. The measurement device may be configured to determine the presence of defects prior to, during, or after a process. The specimen may also be placed on a stage. The method may include determining a presence of defects on the specimen while the stage is stationary or a while the stage is rotating. [0113]
  • In addition, the method may include determining other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0114]
  • In an embodiment, processing the one or more output signals to determine a presence of defects on a specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to the process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the one or more output signals. Altering the parameter of the process tool may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0115]
  • Additional embodiments relate to a computer-implemented method for controlling a system that includes a process tool and a measurement device. Controlling the system may include controlling the measurement device, the process tool, or both. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The method may also include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine a presence of defects on the specimen prior to, during, or subsequent to processing. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. [0116]
  • An embodiment relates to a system that may be configured to determine a presence of defects on multiple surfaces of a specimen. The system may include a stage configured to support the specimen. The system may also include a measurement device coupled to the stage. The stage may be configured to move. The measurement device may include an illumination system configured to direct energy toward a front side and a back side of the specimen. The illumination system may be used while the stage is stationary or moving. The measurement device may also include a detection system coupled to the illumination system. The detection system may be configured to detect energy propagating along multiple paths from the front and back sides of the specimen. The system may also include a processor coupled to the measurement device. The measurement device may be configured to generate one or more output signals in response to the detected light. The processor may be configured to determine a presence of defects on the front and back sides of the specimen from the one or more output signals. [0117]
  • In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field and/or dark field imaging device, a bright field and/or dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0118]
  • In an embodiment, the processor may include a local processor coupled to the measurement device and a remote controller computer coupled to the local processor. The local processor may be configured to at least partially process the one or more output signals. The remote controller computer may be configured to receive the at least partially processed one or more output signals from the processor. In addition, the remote controller computer may be configured to determine a presence of defects on the front and back sides of the specimen from the at least partially processed one or more output signals. Furthermore, the remote controller computer may be configured to determine additional properties of the specimen from the at least partially processed one or more output signals. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the remote controller computer may be further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least the determined first or second property of the specimen using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0119]
  • An additional embodiment relates to a method for determining defects on multiple surfaces of a specimen. The method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may include an illumination system and a detection system. In addition, the method may include directing energy toward a front side and a back side of the specimen using the illumination system. The method may also include detecting energy propagating along multiple paths from the front and back sides of the specimen using the detection system. The method may further include generating one or more output signals in response to the detected energy. Furthermore, the method may include processing the one or more output signals to determine the presence of defects on the front and back sides of the specimen. [0120]
  • In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an additional embodiment, a semiconductor device may be fabricated by the method. For example, the method may include forming a portion of a semiconductor device upon a specimen such as a semiconductor substrate. [0121]
  • In an embodiment, processing the one or more output signals to determine the presence of defects on multiple surfaces of the specimen may include at least partially processing the one or more output signals using a local processor. The local processor may be coupled to the measurement device. Processing the one or more output signals may also include sending the partially processed one or more output signals from the local processor to a remote controller computer. In addition, processing the one or more output signals may include further processing the partially processed one or more output signals using the remote controller computer. In an additional embodiment, the remote controller computer may be coupled to a process tool such as a semiconductor fabrication process tool. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to a determined presence of defects on multiple surfaces of the specimen. Altering the parameter of the instruments may include using an in situ control technique, a feedback control technique, and/or a feedforward control technique. [0122]
  • Additional embodiments relate to a computer-implemented method for controlling a system configured to determine defects on multiple surfaces of a specimen. The system may include a measurement device. In this manner, controlling the system may include controlling the measurement device. In addition, the measurement device may include an illumination system and a detection system. The measurement device may also be coupled to a stage. Controlling the measurement device may include controlling the illumination system to direct energy toward a surface of the specimen. Additionally, controlling the measurement device may include controlling the detection system to detect energy propagating from the surface of the specimen. The stage may be configured to move. The method may also include controlling the stage such that the specimen is moved during analysis. The method may further include generating one or more output signals in response to the detected energy. The computer-implemented method may further include processing the one or more output signals to determine a presence of defects on multiple surfaces of the specimen. [0123]
  • In an embodiment, any of the systems, as described herein, may be used during the production of a semiconductor device. A semiconductor device may be formed using one or more semiconductor processing steps. Each processing step may cause a change to a specimen. After a processing step, a portion of the semiconductor device may be formed upon a specimen. Prior to, during, or subsequent to a processing step, the specimen may be placed on a stage of a system configured to determine at least two properties of the specimen. The system may be configured according to any of the above embodiments. [0124]
  • After the first and second properties are determined, these properties may be used to determine further processing steps for formation of the semiconductor device. For example, the system may be used to evaluate if a semiconductor process is performing adequately. If a semiconductor process is not performing adequately, data obtained from the system may be used to determine further processing the specimen. In another embodiment, detection of an incorrectly processed specimen may indicate that the specimen should be removed from the semiconductor process. By using a multiple analysis system such as described above, processing of semiconductor devices may be enhanced. The time required for testing may be reduced. Also, the use of multiple tests may ensure that only apparently properly processed specimens are advanced to the next processing steps. In this manner, yield of semiconductor devices may increase.[0125]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which: [0126]
  • FIG. 1 depicts a schematic top view of an embodiment of a specimen having a plurality of dies and a plurality of defects on a surface of a specimen; [0127]
  • FIG. 2[0128] a depicts a schematic top view of an embodiment of a stage configured to move rotatably during use and a measurement device configured to move linearly during use;
  • FIG. 2[0129] b depicts a schematic top view of an embodiment of a stage configured to move rotatably during use and a stationary measurement device;
  • FIG. 3 depicts a schematic side view of an embodiment of a system having one illumination system and one detection system; [0130]
  • FIG. 4 depicts a schematic side view of an embodiment of a system having multiple illumination systems and one detection system; [0131]
  • FIG. 5 depicts a schematic side view of an embodiment of a system having multiple illumination systems and multiple detection system; [0132]
  • FIG. 6 depicts a schematic side view of an embodiment of a system having one illumination system and multiple detection systems; [0133]
  • FIG. 7 depicts a schematic side view of an embodiment of a system having one illumination system and multiple detection systems; [0134]
  • FIG. 8 depicts a schematic side view of an embodiment of a specimen; [0135]
  • FIG. 9 depicts a schematic top view of an embodiment of a system having a plurality of measurement devices; [0136]
  • FIG. 10 depicts a schematic side view of an embodiment of a system configured to determine a critical dimension of a specimen; [0137]
  • FIG. 11[0138] a depicts a schematic side view of an embodiment of a measurement device configured to determine a critical dimension of a specimen;
  • FIG. 11[0139] b depicts a schematic side view of an embodiment of a portion of a measurement device configured to determine a critical dimension of a specimen;
  • FIG. 12 depicts a schematic side view of an embodiment of a system configured to determine multiple properties of multiple surfaces of a specimen; [0140]
  • FIG. 13 depicts a schematic top view of an embodiment of a system coupled to a semiconductor fabrication process tool; [0141]
  • FIG. 14 depicts a perspective view of an embodiment of a system configured to be coupled to a semiconductor fabrication process tool; [0142]
  • FIG. 15 depicts a perspective view of an embodiment of a system coupled to a semiconductor fabrication process tool; [0143]
  • FIG. 16 depicts a schematic side view of an embodiment of a system disposed within a measurement chamber; [0144]
  • FIG. 17 depicts a schematic side view of an embodiment of a measurement chamber arranged laterally proximate to a process chamber of a semiconductor fabrication process tool; [0145]
  • FIG. 18 depicts a schematic side view of an embodiment of a system coupled to a process chamber of a semiconductor fabrication process tool; [0146]
  • FIG. 19 depicts a flow chart illustrating an embodiment of a method for determining at least two properties of a specimen; [0147]
  • FIG. 20 depicts a flow chart illustrating an embodiment of a method for processing detected light returned from a surface of the specimen; [0148]
  • FIG. 21 depicts a flow chart illustrating an embodiment of a method for controlling a system configured to determine at least two properties of a specimen; [0149]
  • FIG. 22 depicts a schematic side view of an embodiment of a system coupled to a chemical-mechanical polishing tool; [0150]
  • FIG. 23 depicts a schematic side view of an embodiment of a system coupled to a chemical vapor deposition tool; [0151]
  • FIG. 24 depicts a schematic side view of an embodiment of a system coupled to an etch tool; [0152]
  • FIG. 25 depicts a schematic side view of an embodiment of a system coupled to an ion implanter; [0153]
  • FIG. 26 depicts a schematic side view of an embodiment of a system configured to determine a characteristic of micro defects on a surface of a specimen; and [0154]
  • FIG. 27 depicts a schematic side view of an embodiment of a system configured to determine a characteristic of defects of multiple surfaces of a specimen.[0155]
  • While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. [0156]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Turning now to the drawings, FIG. 1 illustrates a schematic top view of an embodiment of a surface of [0157] specimen 10. Specimen 10 may include a substrate such as a monocrystalline silicon substrate, a silicon germanium substrate, or a gallium arsenide substrate. In addition, specimen 10 may include any substrate suitable for fabrication of semiconductor devices. Specimen 10 may include plurality of dies 12 having repeatable pattern features. Alternatively, specimen 10 may be unpatterned such as a virgin semiconductor wafer or an unprocessed wafer. In addition, specimen 10 may include a glass substrate or any substrate formed from a substantially transparent material, which may be suitable for fabrication of a reticle. Furthermore, specimen 10 may include any specimen known in the art.
  • In addition, [0158] specimen 10 may include one or more layers arranged upon a substrate. For example, layers which may be formed on a substrate may include, but are not limited to, a resist, a dielectric material, and/or a conductive material. The resist may include photoresist materials that may be patterned by an optical lithography technique. The resist may include other resists, however, such as e-beam resists or X-ray resists that may be patterned by an e-beam or an X-ray lithography technique, respectively. Examples of an appropriate dielectric material may include, but are not limited to, silicon dioxide, silicon nitride, silicon oxynitride, and titanium nitride. In addition, examples of an appropriate conductive material may include aluminum, polysilicon, and copper. Furthermore, a specimen may also include semiconductor devices such as transistors formed on a substrate such as a wafer.
  • FIGS. 2[0159] a and 2 b illustrate a schematic top view of an embodiment of stage 24 configured to support a specimen. The stage may be a vacuum chuck or an electrostatic chuck. In this manner, a specimen may be held securely in place on the stage. In addition, the stage may be a motorized translation stage, a robotic wafer handler, or any other suitable mechanical device known in the art. In an embodiment, the system may include measurement device 26 coupled to the stage. As such, the stage may be configured to impart relative motion to the specimen with respect to the measurement device. In an example, the stage may be configured to move specimen relative to the measurement device in a linear direction. The relative motion of the stage may cause an incident beam of energy from an energy source of a measurement device to traverse the surface of the specimen while leaving the angle of incidence at which light strikes the surface of the specimen substantially unchanged. As used herein, the term “measurement device” is generally used to refer to a metrology device, an inspection device, or a combination metrology and inspection device.
  • As shown in FIGS. 2[0160] a and 2 b, stage 24 may be configured to rotate in clockwise and counterclockwise directions as indicated by vector 28 such that a specimen may be oriented with respect to measurement device 26 in a plurality of directions. As such, the stage may also be used to correct an orientation of a specimen such that a specimen may be substantially aligned with respect to a measurement device during measurement or inspection. In addition, stage 24 may be further configured to rotate and to move linearly simultaneously. Examples of methods for aligning a specimen to a measurement device are illustrated in U.S. Pat. No. 5,682,242 to Eylon, U.S. Pat. No. 5,867,590 to Eylon, and U.S. Pat. No. 6,038,029 to Finarov, and are incorporated by reference as if fully set forth herein.
  • In an embodiment, [0161] stage 24 may be further configured to move along a z-axis to alter a distance between a specimen and measurement device 26. For example, altering a distance between a specimen and a measurement device may substantially focus a beam of energy from an energy source of the measurement device on the surface of the specimen. Examples of focusing systems are illustrated in U.S. Pat. No. 5,604,344 to Finarov, and U.S. Pat. No. 6,124,924 to Feldman et al., which are incorporated by reference as if fully set forth herein. An example for focusing a charged particle beam on a specimen is illustrated in European Patent Application No. EP 1 081 741 A2 to Pearl et al., and is incorporated by reference as if fully set forth herein.
  • As shown in FIG. 2[0162] a, stage 24 may be configured to move with respect to measurement device 26, and the measurement device may be configured to move with respect to the stage. For example, measurement device 26 may be configured to move linearly along a direction indicated by vector 29 while stage 24 may be configured to move rotatably. As such, an incident beam of energy from an energy source of the measurement device may traverse a radius of the stage as the stage is rotating.
  • As shown in FIG. 2[0163] b, measurement device 30 may be configured to be relatively stationary in a position relative to stage 24. Devices (not shown) including, but not limited to, a deflector such as an acousto-optical deflector (“AOD”) within measurement device 30 may be configured to linearly alter a position of an incident beam with respect to the stage. An example of an AOD is illustrated in PCT Application No. WO 01/14925 A1 to Allen et al., and is incorporated by reference as if fully set forth herein. In this manner, the incident beam may be traverse a radius of the stage as the stage is rotating. In addition, by altering a position of an incident beam with respect to the stage using such devices, registry of the measurement device with a pattern formed on a surface of a specimen may be maintained. The device may be configured to cause an incident beam of energy from an energy source of the measurement device to traverse the surface of the specimen while leaving the angle of incidence at which the beam of energy strikes the surface of the specimen substantially unchanged.
  • In a further embodiment, [0164] measurement device 30 may include a plurality of energy sources such as illumination systems and a plurality of detection systems. The plurality of illumination systems and the plurality of detection systems may be arranged in two linear arrays. The illumination systems and the detection systems may be arranged such that each illumination system may be coupled to one of the detection systems. As such, measurement device 30 may be configured as a linear imaging device. In this manner, the measurement device may be configured to measure or inspect any location on a surface of specimen substantially simultaneously or sequentially. In addition, the measurement device may be configured such that measurements may be made at multiple locations on a specimen substantially simultaneously while the stage may be rotating. Furthermore, the stage and the measurement device may be configured to move substantially continuously or intermittently. For example, the stage and the measurement device may be moved intermittently such that the system may be configured as a move-acquire-measure system.
  • A measurement device and stage configured, as described above, to control and alter the measurement or inspection location of the specimen may provide several advantages in comparison to currently used systems. For example, currently used systems configured to inspect multiple locations on a specimen may include a stationary measurement device and a stage configured to move laterally in two independent directions. Alternatively, currently used systems may include a stationary stage and a measurement device configured to alter a position of an beam of energy incident on a specimen by altering a position of two mirrors in a first direction and a position of two mirrors in a second direction. An example of such a system is illustrated in U.S. Pat. No. 5,517,312 to Finarov and U.S. Pat. No. 5,764,365 to Finarov, and are incorporated by reference as if fully set forth herein. An additional system may include a stage configured to rotate and a laser light source configured to move radially. Such a system may be unsuitable for measurement or inspecting a patterned specimen. Additional examples of currently used systems are illustrated in U.S. Pat. No. 5,943,122 to Holmes, and is incorporated by reference as if fully set forth herein. [0165]
  • As the lateral dimension of specimens such as wafers increases to 300 mm, moving a specimen linearly during inspection or measurement may become impractical due to space requirements of a typical semiconductor fabrication facility. In addition, moving such a specimen may become extremely expensive due to the cost of maintaining a relatively larger clean space for such tools. As such, a system configured as described in above embodiments may be configured to inspect or measure an entire surface of a specimen without linearly moving the specimen. [0166]
  • FIG. 3 illustrates a schematic side view of an embodiment of [0167] system 32 configured to determine at least two properties of a specimen. System 32 may include measurement device 34 having illumination system 36 and detection system 38. Illumination system 36 may be configured to direct light toward a surface of specimen 40 disposed upon stage 42. Stage 42 may be configured as described in above embodiments. Detection system 38 may be coupled to illumination system 36 and may be configured to detect light propagating from the surface of the specimen. For example, detection system 38, illumination system 36, and additional optical components may be arranged such that spectrally reflected light or scattered light propagating from the surface of specimen 40 may be detected by the detection system.
  • [0168] Illumination system 36 may include energy source 44. Energy source 44 may be configured to emit monochromatic light. For example, a suitable monochromatic light source may be a gas laser or a solid state laser diode. Alternatively, the energy source may be configured to emit electromagnetic radiation of multiple wavelengths, which may include ultraviolet light, visible light, infra-red light, X-rays, gamma rays, microwaves, or radio-frequencies. In addition, the energy source may be configured to emit another source of energy source such as an beam of electrons, protons, neutrons, ion, or molecules. For example, a thermal field emission source is typically used as an electron source.
  • [0169] Detection system 38 may include detector 46. Detector 46 may include light sensitive sensor devices including, but not limited to, a photodetector, a multi-cell photodetector, an interferometer, an array of photodiodes such as a linear sensor array, a conventional spectrophotometer, a position sensitive detector, photomultiplier tubes, avalanche photodiodes, a charge-coupled device (“CCD”) camera, a time delay integration (“TDI”) camera, a video camera, a pattern recognition device, and an imaging system. In addition, the detector may include solid state detectors such as Schottky solid state barrier detectors.
  • In addition, [0170] measurement device 34 may include any number of additional optical components (not shown). Appropriate optical components may include, but are not limited to, beam splitters or dichroic mirrors, quarter wave plates, polarizers such as linear and circular polarizers, rotating polarizers, rotating analyzers, collimators, focusing lenses, additional lenses, folding mirrors, partially transmissive mirrors, filters such as spectral or polarizing filter, spatial filters, reflectors, deflectors, and modulators. Each of the additional optical components may be coupled to or disposed within the illumination system or the detection system. Furthermore, the measurement device may include a number of additional electromagnetic devices (not shown) that may include magnetic condenser lenses, magnetic objective lenses, electrostatic deflection systems, beam limiting apertures, and Wien filters.
  • An arrangement of the illumination system, the detection system, and additional optical and electromagnetic components may vary depending on, for example, the technique or techniques used to determine at least the two properties of the specimen. The arrangement of the illumination system, the detection system, and additional optical and electromagnetic components may also depend on the properties of the specimen, which are being determined. For example, as shown in FIG. 3, [0171] measurement device 34 may include optical component 48 disposed within or coupled to illumination system 36. Optical component 48 may include, but is not limited to, a polarizer, a spectral or polarizing filter, and a quarter wave plate. In addition, measurement device 34 may include beam splitter 50 and optical component 52. Optical component 52 may be disposed within or coupled to detection system 38. Optical component 52 may include, but is not limited to, a quarter wave plate, a collimator, and a focusing lens.
  • FIGS. [0172] 4-7 illustrate alternate embodiments of measurement device 34 of system 32. As will be further described herein, elements of system 32, which may be similarly configured in each of the embodiments illustrated in FIGS. 3-7 have been indicated by the same reference characters. For example, energy source 44 may be similarly configured in each of the embodiments illustrated in FIGS. 3-7. As shown in FIG. 4, measurement device 34 may include a plurality of energy sources 44. Each of energy sources may be configured to emit substantially similar types of energy or different types of energy. For example, the plurality of energy sources 44 may include any of the light sources described herein. The light sources may be configured to emit broadband light. Alternatively, the light sources may include two emit different types of light. For example, one of the light sources may be configured to emit light of a single wavelength, and the other light source may be configured to emit broadband light. In addition, the energy sources may be configured to direct a beam of energy to substantially the same location on the surface of specimen 40, as shown in FIG. 4. Alternatively, the plurality of energy sources 44 may be configured to direct a beam of energy to substantially different locations on the surface of specimen 40, as shown in FIG. 5. For example, as shown in FIG. 5, the plurality of energy sources may be configured to direct energy to laterally spaced locations on the surface of specimen 40. The plurality of energy sources shown in FIG. 5 may also be configured as described above.
  • As shown in FIG. 4, measurement device may include [0173] detector 46 coupled to the plurality of energy sources 44. In this manner, detector 46 may be positioned with respect to the plurality of energy sources such that the detector may be configured to detect different types of energy propagating from the surface of specimen 40 such as specularly reflected light and scattered light. The detector may also be configured to detect different types of energy propagating from the surface of the specimen substantially simultaneously. For example, the detector may include an array of photodiodes. A first portion of the array of photodiodes may be configured to detect only incident light from one of the plurality of light sources propagating from the surface of the specimen. A second portion of the array of photodiodes may be configured to detect only incident light from the other of the plurality of light source propagating from the surface of the specimen. As such, the detector may be configured to detect incident light from each of a plurality of light sources propagating from the surface of the specimen substantially simultaneously. Alternatively, the plurality of energy sources may be configured to intermittently direct energy to the surface of the specimen. As such, the detector may be configured to detect incident energy from each of the plurality of energy sources propagating from the surface of the specimen intermittently.
  • As shown in FIG. 5, [0174] measurement device 34 may include a plurality of detectors 46. Each of the plurality of detectors may be coupled to one of the plurality of energy sources 44. In this manner, each detector 46 may be positioned with respect to one of the energy sources such that the detector may be configured to detect incident energy from one of the energy sources propagating from the surface of specimen 40. For example, one of the detectors may be positioned with respect to a first light source to detect light scattered from the surface of the specimen. In an example, scattered light may include dark field light propagating along a dark field path. A second of the plurality of detectors may be positioned with respect to a second light source to detect light specularly reflected from the surface of the specimen such as bright field light propagating along a bright field path. The plurality of detectors may be configured as described in above embodiments. For example, the plurality of detectors may include two different detectors or two of the same type of detectors. For example, a first detector may be configured as a conventional spectrophotometer, and a second detector may be configured as a quad-cell detector. Alternatively, both detectors may be configured as an array of photodiodes.
  • As shown in FIG. 4, [0175] measurement device 34 may also include multiple optical components 48. For example, optical components 48 may be coupled to each of the plurality of energy sources 44. In an example, a first of the optical components may be configured as a polarizer, and a second of the optical components may be configured as a focusing lens. Alternatively, as shown in FIG. 5, measurement device 34 may include one optical component 48 coupled to each of the plurality of energy sources 44. Each of the optical components 48 may be configured as described herein. In addition, as shown in FIG. 5, measurement device 34 may include an optical component such as beam splitter 50 coupled to one of the plurality of energy sources. For example, beam splitter 50 may be positioned along a path of light directed from a light source. Beam splitter 50 may be configured to transmit light from the light source and to reflect light propagating from the surface of the specimen. The beam splitter may be configured to reflect light propagating from the surface of the specimen such that the reflected light may be directed to detector 46. In addition, beam splitters may be positioned along a path of the light directed from each of the plurality of light sources. Optical component 52 may also be coupled to detector 46, as shown in FIG. 4, and may be configured as, for example, a quarter wave plate, a collimator, and a focusing lens. Optical component 52 may be further configured as described herein. Multiple optical components 52 may also be coupled to each of the detectors. The position and the configuration of each of the optical components may vary, however, depending on the properties of the specimen to be determined by the system as will be described in more detail below.
  • FIGS. 6 and 7 illustrate schematic side views of additional embodiments of [0176] system 32. As shown in these figures, measurement device 34 may include a single energy source 44. In addition, measurement device 34 may include a plurality of detectors 46. The detectors may include any of devices as described herein. Each of the plurality of detectors 46 may be positioned at a different angle with respect to energy source 44. For example, as shown in FIG. 6, one of the detectors may be configured to detect dark field light propagating along a dark field path. The second detector may be configured to detect bright field light propagating along a bright field path. Alternatively, as shown in FIG. 7, each of the plurality of detectors may be configured to detect specularly reflected light. The plurality of detectors may be similarly configured, for example, as photodiode arrays. Alternatively, the plurality of detectors may be configured as different detectors such as a conventional spectrophotometer and a quad cell detector.
  • In addition, the illumination system may be configured to direct different types of energy to the surface of the specimen at varying intervals. For example, the energy source may be configured to emit one type of light. As shown in FIG. 7, [0177] optical component 48 may be coupled to energy source 44. Optical component 48 may also be configured to alter the light emitted by energy source 44 at varying intervals. For example, optical component 48 may be configured as a plurality of spectral and/or polarizing filters that may be rotated in a path of the light emitted by energy source 44 at varying intervals or a liquid crystal display (“LCD”) filter that may be controlled by a controller coupled to the filter. The controller may be configured to alter the transmissive, reflective, and/or polarization properties of the LCD filter at varying intervals. The properties of the LCD filter may be altered to change a spectral property or a polarization state of the light emitted from the energy source. In addition, each of the plurality of detectors may be suitable to detect a different type of light propagating from the surface of the specimen. As such, the measurement device may be configured to measure substantially different optical characteristics of the specimen at varying intervals. In this manner, measurement device 34 may be configured such that energy directed to the surface of the specimen and the energy returned from the surface of the specimen may vary depending on, for example, the properties of the specimen to be measured using the system.
  • In an embodiment, [0178] system 32, as shown in FIGS. 3-7, may include processor 54 coupled to measurement device 34. The processor may be configured to receive one or more output signals generated by a detector of the measurement device. The one or more output signals may be representative of the detected energy returned from the specimen. The one or more output signals may be an analog signal or a digital signal. The processor may be configured to determine at least a first property and a second property of the specimen from the one or more output signals generated by the detector. The first property may include a critical dimension of specimen 40, and the second property may include overlay misregistration of specimen 40. For example, the measurement device may include, but is not limited to, a scatterometer, a non-imaging scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. In this manner, the system may be configured as a single measurement device or as multiple measurement devices.
  • Because multiple measurement devices may be integrated into a single system, optical elements of a first measurement device, for example, may also be used as optical elements of a second measurement device. In addition, multiple measurement devices may be coupled to a common stage, a common handler, and a common processor. The handler may include a mechanical device configured to dispose a specimen on the common stage and to remove a specimen from the common stage or any other handler as described herein. In addition, the system may be configured to determine a critical dimension and an overlay misregistration of a specimen sequentially or substantially simultaneously. In this manner, such a system may be more cost, time, and space efficient than systems currently used in the semiconductor industry. [0179]
  • FIG. 8 illustrates a schematic side view of an embodiment of a specimen. As shown in FIG. 8, a plurality of [0180] features 56 may be formed upon upper surface 58 of specimen 60. For example, features formed on an upper surface of the specimen may include local interconnects, gate structures such as gate electrodes and dielectric sidewall spacers, contact holes, and vias. The plurality of features, however, may also be formed within the specimen. Features formed within the specimen may include, for example, isolation structures such as field oxide regions within a semiconductor substrate and trenches. A critical dimension may include a lateral dimension of a feature defined in a direction substantially parallel to an upper surface of the specimen such as width 62 of feature 56 on specimen 60. Therefore, a critical dimension may be generally defined as the lateral dimension of a feature when viewed in cross section such as a width of a gate or interconnect or a diameter of a hole or via. A critical dimension of a feature may also include a lateral dimension of a feature defined in a direction substantially perpendicular to an upper surface of the specimen such as height 64 of feature 56 on specimen 60.
  • In addition, a critical dimension may also include a sidewall angle of a feature. A “sidewall angle” may be generally defined as an angle of a side (or lateral) surface of a feature with respect to an upper surface of the specimen. In this manner, a feature having a substantially uniform width across a height of the feature may have [0181] sidewall angle 66 of approximately 90°. Features of a specimen such as a semiconductor device that have a substantially uniform width across a height of the features may be formed relatively closely together thereby increasing device density of the semiconductor device. In addition, such a device may have relatively predictable and substantially uniform electrical properties. A feature having a tapered profile or non-uniform width across a height of the feature may have sidewall angle 68 of less than approximately 90°. A tapered profile may be desired if a layer may be formed upon the feature. For example, a tapered profile may reduce the formation of voids within the layer formed upon the feature.
  • Overlay misregistration may be generally defined as a measure of the displacement of a lateral position of a feature on a first level of a specimen with respect to a lateral position of a feature on a second level of a specimen. The first level may be formed above the second level. For example, overlay misregistration may be representative of the alignment of features on multiple levels of a semiconductor device. Ideally, overlay misregistration is approximately zero such that features on a first level of a specimen may be perfectly aligned to features on a second level of a specimen. For example, a significant overlay misregistration may cause undesirable contact of electrical features on first and second levels of a specimen. In this manner, a semiconductor device formed on such a significantly misaligned specimen may have a number of open or short circuits thereby causing device failure. [0182]
  • An extent of overlay misregistration of a specimen may vary depending on, for example, performance characteristics of a lithography process. During lithography, a reticle, or a mask, may be disposed above a resist arranged on a first level of the specimen. The reticle may have substantially transparent regions and substantially opaque regions that may be configured in a pattern, which may transferred to the resist. The reticle may be positioned above a specimen by an exposure tool configured to detect a position of an alignment mark on the specimen. In this manner, overlay misregistration may be caused by performance limitations of an exposure tool to detect an alignment mark and to alter a position of the reticle with respect to the specimen. [0183]
  • FIG. 9 illustrates a schematic top view of an embodiment of [0184] system 70 having a plurality of measurement devices. Each of the measurement devices may be configured as described herein. For example, each of the measurement devices may be configured to determine at least one property of a specimen. In addition, each of the measurement devices may be configured to determine a different property of a specimen. As such, system 70 may be configured to determine at least four properties of a specimen. For example, measurement device 72 may be configured to determine a critical dimension of a specimen. In addition, measurement device 74 may be configured to determine overlay misregistration of the specimen in a first lateral direction. Measurement device 76 may be configured to determine overlay misregistration of the specimen in a second lateral direction. The first lateral direction may be substantially orthogonal to the second lateral direction. Furthermore, measurement device 78 may be configured as a pattern recognition device. As such, system 70 may be configured to determine at least four properties of the specimen simultaneously or sequentially. In addition, each of the measurement devices may be configured to determine any property of a specimen as described herein.
  • FIG. 10 illustrates a schematic side view of an embodiment of [0185] system 80 configured to determine at least two properties of a specimen. For example, system 80 may be configured to determine at least a critical dimension of a specimen. As such, system 80 may be included in system 70 as described in above embodiments. System 80 may include broadband light source 82. The term “broadband light” is generally used to refer to radiation having a frequency-amplitude spectrum that includes two or more different frequency components. A broadband frequency-amplitude spectrum may include a broad range of wavelengths such as from approximately 190 nm to approximately 1700 nm. The range of wavelengths, however, may be larger or smaller depending on, for example, the light source capability, the sample being illuminated, and the property being determined. For example, a xenon arc lamp may be used as a broadband light source and may be configured to emit a light beam including visible and ultraviolet light.
  • [0186] System 80 may also include beam splitter 84 configured to direct light emitted from light source 82 to a surface of a specimen 85. The beam splitter may be configured as a beam splitter mirror that may be configured to produce a continuous broadband spectrum of light. System 80 may also include lens 86 configured to focus light propagating from beam splitter 84 onto a surface of specimen 85. Light returned from the surface of specimen 85 may pass through beam splitter 84 to diffraction grating 88. The diffraction grating may be configured to disperse light returned from the surface of the specimen. The dispersed light may be directed to a spectrometer such as detector array 90. The detector array may include a linear photodiode array. The light may be dispersed by a diffraction grating as it enters the spectrometer such that the resulting first order diffraction beam of the sample beam may be collected by the linear photodiode array. Examples of spectroscopic reflectometers are illustrated in U.S. Pat. No. 4,999,014 to Gold et al., and U.S. Pat. No. 5,747,813 to Norton et al. and are incorporated by reference as if fully set forth herein.
  • The photodiode array, therefore, may measure the [0187] reflectance spectrum 92 of the light returned from the surface of the specimen. A relative reflectance spectrum may be obtained by dividing the intensity of the returned light of the reflectance spectrum at each wavelength by a relative reference intensity at each wavelength. A relative reflectance spectrum may be used to determine the thickness of various films on the wafer. In addition, the reflectance at a single wavelength and the refractive index of the film may also be determined from the relative reflectance spectrum. Furthermore, a model method by modal expansion (“MMME”) model 94 may be used to generate library 96 of various reflectance spectrums. The MMME model is a rigorous diffraction model that may be used to calculate the theoretical diffracted light “fingerprint” from each grating in the parameter space. Alternative models may also be used to calculate the theoretical diffracted light, however, including, but not limited to, a rigorous coupling waveguide analysis (“RCWA”) model. The measured reflectance spectrum 92 may be fitted to a the various reflectance spectrums in library 96. The fitted data 97 may be used to determine critical dimension 95 such as a lateral dimension, a height, and a sidewall angle of a feature on the surface of a specimen as described herein. Examples of modeling techniques are illustrated in PCT Application No. WO 99/45340 to Xu et al., and is incorporated by reference as if fully set forth herein.
  • FIGS. 11[0188] a and 11 b illustrate additional schematic side views of an embodiment of measurement device 98 configured to determine a property such as a critical dimension of a specimen. The measurement device may be coupled to system 80 described above. Measurement device 98 may include fiber optic light source 100. The fiber optic light source may be configured to emit and direct light to collimating mirror 102. Collimating mirror 102 may be configured to alter a path of the light emitted by the fiber optic light source such that it propagates toward a surface of specimen 104 in substantially one direction along path 106. Light emitted by fiber optic light source 100 may also be directed to reflective mirror 108. Reflective mirror 108 may be configured to direct the light emitted by the fiber optic light source to reference spectrometer 110. Reference spectrometer 110 may be configured to measure an intensity of light emitted by the fiber optic light source. In addition, reference spectrometer 110 may be configured to generate one or more output signals in response to the measured intensity of light. As such, the signal generated by reference spectrometer 110 may be used to monitor variations in the intensity of light emitted by the fiber optic light source.
  • [0189] Measurement device 98 may also include polarizer 112. Polarizer 112 may be oriented at a 45° angle with respect to path 106 of the light. Polarizer 112 may be configured to alter a polarization state of the light such that light propagating toward a surface of the specimen may be linearly or circularly polarized. Measurement device 98 may also include light piston 114 positioned along path 106 of the light. The light piston may be configured to alter a direction of the path of the light propagating toward the surface of the specimen. For example, portion 115 of the measurement device may be configured to move with respect to the specimen to measure multiple locations on the specimen. In this manner, the light position may be configured to cause light propagating along path 106 to traverse the surface of the specimen while leaving the angle of incidence at which light strikes the surface of the specimen substantially unchanged.
  • The measurement device may also include [0190] apodizer 116. Apodizer 116 may have a two dimensional pattern of alternating relatively high transmittance areas and substantially opaque areas. The alternating pattern may have a locally averaged transmittance function such as an apodizing function. As such, an apodizer may be configured to reduce a lateral area of an illuminated region of a specimen to improve a focusing resolution of the measurement device. The measurement device may also include a plurality of mirrors 118 configured to direct light propagating along path 106 to a surface of a specimen. In addition, the measurement device may also include reflecting objective 120 configured to direct the light to the surface of the specimen. For example, a suitable reflecting objective may have a numerical aperture (“NA”) of approximately 0.1 such that light may be may be directed at a surface of the specimen at high angles of incidence.
  • Light returned from the surface of the specimen may be reflected by [0191] objective lens 120 and one of the mirrors to analyzer 122. Analyzer 122 may be configured to split the light returned from the surface of the specimen into two reflected light beams based on the polarization state of the light. For example, analyzer 112 may be configured to generate two separate beams of light having substantially different polarization states. As shown in FIG. 11b, measurement device may also include autofocus sensor 124. Autofocus sensor 124 may include a split photodiode detector configured to receive a substantially focused image of the illuminated spot on the specimen. The focused image of the spot may be provided by beam splitter 125 positioned along an optical path between analyzer 122 and mirror 118. For example, the beam splitter may be configured to direct a portion of the light returned from specimen 104 to the autofocus sensor. Autofocus sensor 124 may include two photodiodes configured to measure an intensity of the image and to send a signal representative of the measured intensity to a processor. The output of autofocus sensor may be called a focus signal. The focus signal may be a function of sample position. The processor may be configured to determine a focus position of the specimen with respect to the measurement device using a position of an extremum in the focus signal.
  • The measurement device may also include [0192] mirror 126 configured to direct light returned from the surface of the specimen to spectrometer 128. Spectrometer 128 may be configured to measure an intensity of the s and p components of reflectance across a spectrum of wavelengths. The term “s component” is generally used to describe the component of polarized radiation having an electrical field that is substantially perpendicular to the plane of incidence of the reflected beam. The term “p component” is generally used to describe the component of polarized radiation having an electrical field in the plane of incidence of the reflected beam. The measured reflectance spectrum may be used to determine a critical dimension, a height, and a sidewall angle of a feature on the surface of the specimen as described herein. For example, a relative reflectance spectrum may be obtained by dividing the intensity of the returned light at each wavelength measured by spectrometer 128 by a relative reference intensity at each wavelength measured by reference spectrometer 110 of the measurement device. The relative reflectance spectrum may be fitted to a theoretical model of the data such that a critical dimension, a height, and a sidewall angle may be determined.
  • In an embodiment, as shown in FIG. 9, [0193] measurement device 74 and measurement device 76 of system 70 may be configured as a coherence probe microscope, an interference microscope, or an optical profilometer. For example, a coherence probe microscope may be configured as a specially adapted Linnik microscope in combination with a video camera, a specimen transport stage, and data processing electronics. Alternatively, other interferometric optical profiling microscopes and techniques such as Fringes of Equal Chromatic Order (“FECO”), Nomarski polarization interferometer, differential interference contrast (“DIC”), Tolansky multiple-beam interferometry, and two-beam-based interferometry based on Michelson, Fizeau, and Mirau may be adapted to the system. The measurement device may utilize either broad band or relatively narrow band light to develop a plurality of interference images taken at different axial positions (elevations) relative to the surface of a specimen. The interference images may constitute a series of image planes. The data in these planes may be transformed by an additive transformation on video signal intensities. The transformed image data may be used to determine an absolute mutual coherence between the object wave and reference wave for each pixel in the transformed plane. Synthetic images may be formed whose brightness may be proportional to the absolute mutual coherence as the optical path length is varied.
  • In an embodiment, a measurement device configured as an interference microscope may include a energy source such as a xenon lamp configured to emit an incident beam of light. An appropriate energy source may also include a light source configured to emit coherent light such as light that may be produced by a laser. The measurement device may further include additional optical components configured to direct the incident beam of light to a surface of the specimen. Appropriate additional optical components may include condenser lenses, filters, diffusers, aperture stops, and field stops. Additional optical components may also include beam splitters, microscopic objectives, and partially transmissive mirrors. [0194]
  • The optical components may be arranged within the measurement device such that a first portion of the incident beam of light may be directed to a surface of a specimen. The optical components may be further arranged within the measurement device such that a second portion of the incident beam of light may be directed to a reference mirror. For example, the second portion of the incident beam of light may be generated by passing the incident beam of light through a partially transmissive mirror prior to directing the sample beam to a surface of the specimen. Light reflected from the surface of the specimen may then be combined with light reflected from the reference mirror. In an embodiment, the detection system may include a conventional interferometer. The reflected incident beam of light may be combined with the reference beam prior to striking the interferometer. Since the incident beam of light reflected from the surface of the specimen and the reference beam reflected from the reference mirror are not in phase, interference patterns may develop in the combined beam. Intensity variations of the interference patterns in the combined beam may be detected by the interferometer. [0195]
  • The interferometer may be configured to generate a signal responsive to the detected intensity variations of the interference patterns of the combined beam. The generated signal may be processed to provide surface information about the measured surface. The measurement device may also include a spotter microscope to aid in control of the incident beam of light. The spotter microscope may be electronically coupled to the measurement device to provide some control of the incident beam of light. Examples of interference microscopes and methods of use are illustrated in U.S. Pat. No. 5,112,129 to Davidson et al., U.S. Pat. No. 5,438,313 to Mazor et al., U.S. Pat. No. 5,712,707 to Ausschnitt et al., U.S. Pat. No. 5,757,507 to Ausschnitt et al., U.S. Pat. No. 5,805,290 to Ausschnitt et al., U.S. Pat. No. 5,914,784 to Ausschnitt et al., U.S. Pat. No. 6,023,338 to Bareket, all of which are incorporated by reference as if fully set forth herein. [0196]
  • In an additional embodiment, a measurement device configured as an optical profilometer may be used to determine a height of a surface of a specimen. Optical profilometers may be configured to use light scattering techniques, light sectioning, and various interferometric optical profiling techniques as described herein. An optical profilometer may be configured to measure interference between light on two beam paths. As a height of a surface of a specimen changes, one of the beam path lengths may change thereby causing a change in the interference patterns. Therefore, the measured interference patterns may be used to determine a height of a surface of a specimen. A Nomarski polarization interferometer may be suitable for use as an optical profilometer. [0197]
  • In an embodiment, an optical profilometer may include a light source such as a tungsten halogen bulb configured to emit an incident beam. The light source may be configured to emit light of various wavelengths such as infrared light, ultraviolet light, and/or visible light. The light source may also be configured to emit coherent light such as light produced from a laser. The optical profilometer may also include optical components configured to direct the light to a surface of a specimen. Such optical components may include any of the optical components as described herein. The optical profilometer may further include a rotating analyzer configured to phase shift the electromagnetic radiation, a charge coupled device (“CCD”) camera, a frame grabber, and electronic processing circuits. A frame grabber is a device that may be configured to receive a signal from a detector such as a CCD camera and to convert the signal (i.e., to digitize an image). A quarter wavelength plate and spectral filter may also be included in the optical profilometer. A polarizer and Nomarski prism may be configured to illuminate the specimen with two substantially orthogonally polarized beams laterally offset on the specimen surface by a distance smaller than the resolution limit of the objectives. After returned from the specimen, the light beams may be recombined by the Nomarski prism. [0198]
  • In an embodiment, the optical profilometer may include a conventional interferometer. Interference patterns of the recombined light beams may be detected by the interferometer. The detected interference patterns may be used to determine a surface profile of the specimen. An example of an optical profilometer is illustrated in U.S. Pat. No. 5,955,661 to Samsavar et al., which is incorporated by reference as if fully set forth herein. An example of a measurement device configured to determine overlay misregistration is illustrated in U.S. patent application Ser. No. 09/639,495, “Metrology System Using Optical Phase,” to Nikoonahad et al., filed Aug. 14, 2000, and is incorporated by reference as if fully set forth herein. [0199]
  • In an embodiment, [0200] measurement device 78 may be configured as a pattern recognition device. Measurement device 78 may include a light source such as a lamp configured to emit broadband light, which may include visible and ultraviolet radiation. The measurement device may also include a beam splitting mirror configured to direct a portion of the light emitted by the light source to an objective thereby forming a sample beam of light. The objective may include reflective objectives having several magnifications. For example, the objective may include a 15× Schwartzchild design all-reflective objective, a 4× Nikon CFN Plan Apochromat, and a 1× UV transmissive objective. The three objectives may be mounted on a turret configured to rotate such that one of the three objective may be placed in a path of the sample beam of light. The objective may be configured to direct the sample beam of light to a surface of a specimen.
  • Light returned from the surface of the specimen may pass back through the objective and the beam splitting mirror to a sample plate of the measurement device. The sample plate may be a reflective fused silica plate with an aperture formed through the plate. The light returned from the surface of the specimen may be partially reflected off of the sample plate and through a relatively short focal length achromat. The returned light may be reflected from a folding mirror to a beam splitter cube. The beam splitter cube may be configured to direct a portion of the returned light to a pentaprism. The pentaprism may be configured to reflect the portion of the returned light. The reflected portion of the returned light may also pass through additional optical components of [0201] measurement device 78 such as a relatively long focal length achromat and a filter. The reflected portion of the returned light may pass to a folding mirror configured to direct the returned light to a video camera. In addition, the video camera may be configured to generate a non-inverted image of the surface of the specimen. An example of a pattern recognition device is illustrated in U.S. Pat. No. 5,910,842 to Piwonka-Corle et al., and is incorporated by reference as if fully set forth herein.
  • In an additional embodiment, the measurement device may be configured as a non-imaging scatterometer, a scatterometer, or a spectroscopic scatterometer. Scatterometry is a technique involving the angle-resolved measurement and characterization of light scattered from a structure. For example, structures arranged in a periodic pattern on a specimen such as repeatable pattern features may scatter or diffract incident light into different orders. A diffracted light pattern from a structure may be used as a “fingerprint” or “signature” for identifying a property of the repeatable pattern features. For example, a diffracted light pattern may be analyzed to determine a property of repeatable pattern features on a surface of a specimen such as a period, a width, a step height, a sidewall angle, a thickness of underlying layers, and a profile of feature on a specimen. [0202]
  • A scatterometer may include a light source configured to direct light of a single wavelength toward a surface of the specimen. For example, the light source may include a gas laser or a solid state laser diode. Alternatively, the light source may be configured to direct light of multiple wavelengths toward a surface of the specimen. As such, the scatterometer may be configured as a spectroscopic scatterometer. In an example, the light source may be configured to emit broadband radiation. An appropriate broadband light source may include a white light source coupled to a fiber optic cable configured to randomize a polarization state of the emitted light and may create a substantially uniform incident beam of light. Light emitted from the fiber optic cable may pass through a plurality of optical components arranged within the measurement device. For example, light emitted from the fiber optic cable may pass through a slit aperture configured to limit a spot size of the incident beam of light. A spot size may be generally defined as a surface area of a specimen that may be illuminated by an incident beam of light. Light emitted from the fiber optic cable may also pass through a focusing lens. Furthermore, light emitted from the fiber optic cable may be further passed through a polarizer configured to produce an incident beam of light having a known polarization state. The incident beam of light having a known polarization state may be directed to a surface of the specimen. [0203]
  • The scatterometer may also include a detection system that may include a spectrometer. The spectrometer may be configured to measure an intensity of different wavelengths of light scattered from a surface of a specimen. In an embodiment, the zeroth diffraction order intensity may be measured. Although for some repeatable pattern features, measurement of higher diffraction order intensities may also be possible. A signal responsive to the zeroth and/or higher diffraction order intensities at different wavelengths generated by the spectrometer may be sent to a processor coupled to the spectrometer. The processor may be configured to determine a signature of a structure on a surface of the specimen. In addition, the processor may be configured to determine a property of repeatable pattern features on the surface of the specimen. For example, the processor may be further configured to compare the determined signature to signatures of a database. Signatures of the database may include signatures determined experimentally with specimens having known characteristics and/or signatures determined by modeling. A property of a repeatable pattern feature may include a period, a width, a step height, a sidewall angle, a thickness of underlying layers, and a profile of the features on a specimen [0204]
  • As described above, the scatterometer may include a polarizer coupled to the illumination system. The polarizer may be further configured to transmit light emitted by a light source of the illumination system of a first polarization state and to reflect light emitted by a light source of a second polarization state. In addition, the scatterometer may also include an analyzer coupled to the detection system. The analyzer may be configured to transmit light of substantially the same polarization state as the polarizer. For example, the analyzer may be configured to transmit light scattered from the surface of the specimen having the first polarization state. In an additional embodiment, the spectrometer may include a stage coupled to the illumination system and the detection system. The stage may be configured as described herein. In this manner, characteristics of repeatable pattern features having substantially different characteristics formed on a surface of a specimen may be determined sequentially or simultaneously. Examples of measurement devices are illustrated in PCT Application No. WO 99/45340 to Xu et al., and is incorporated by reference as if fully set forth herein. Additional examples of measurement devices configured to measure light scattered from a specimen are illustrated in U.S. Pat. No. 6,081,325 to Leslie et al., U.S. Pat. No. 6,201,601 to Vaez-Iravani et al., and U.S. Pat. No. 6,215,551 to Nikoonahad et al., and are incorporated by reference as if fully set forth herein. [0205]
  • A measurement device such as a scatterometer may be either an imaging device or a non-imaging device. In imaging devices, a lens may capture light scattered from a surface of a specimen. The lens may also preserve spatial information encoded in the reflected light (e.g., a spatial distribution of light intensity). In addition, the scatterometer may include a detector configured as an array of light sensitive devices such as a charge-coupled device (“CCD”) camera, a CMOS photodiode, or a photogate camera. Alternatively, in non-imaging devices, light from a light source may be directed to a relatively small area on a surface of a specimen. A detector such as a photomultiplier tube, a photodiode, or an avalanche photodiode may detect scattered or diffracted light and may produce a signal proportional to the integrated light intensity of the detected light. [0206]
  • In an additional embodiment, the measurement device may be configured as a bright field imaging device, a dark field imaging device, or a bright field and dark field imaging device. “Bright field” generally refers to a collection geometry configured to collect specularly reflected light from a specimen. A bright field collection geometry may have any angle of incidence although typically it may have an angle of incidence normal to the specimen plane. A bright field imaging device may include a light source configured to direct light to a surface of a specimen. The light source may also be configured to provide substantially continuous illumination of a surface of a specimen. The light source may be, for example, a fluorescent lamp tube. Continuous illumination may also be achieved by a string of point light sources coupled to a light diffusing element. The light source may also include any of the light sources as described herein. [0207]
  • A bright field imaging device may also include a bright field imaging system configured to collect bright field light propagating along a bright field path from the surface of a specimen. The bright field light may include light specularly reflected from the surface of the specimen. The bright field imaging system may include optical components such as slit mirrors and an imaging lens. The slit mirrors may be configured to direct bright field light propagating along a bright field path from the surface of a specimen to the imaging lens. The imaging lens may be configured to receive bright field light reflected from the slit mirrors. The imaging lens may be, for example, a fixed lens configured to reduce optical aberrations in the bright field light and to reduce effects of intensity reduction at an edge of the imaging field. The imaging lens may also be configured to concentrate light passing through the lens onto light sensitive devices positioned behind the imaging lens. The light sensitive devices may include, but are not limited to, an 8000 PN diode element line scan sensor array, a CCD camera, a TDI camera, or other suitable device type. [0208]
  • One or more output signals of the light sensitive devices may be transmitted to an image computer for processing. An image computer may be a parallel processing system that may be commonly used by the machine vision industry. The image computer may also be coupled to a host computer configured to control the bright field imaging device and to perform data processing functions. For example, data processing functions may include determining a presence of defects on a surface of a specimen by comparing multiple output signals of the light sensitive devices generated by illuminating multiple locations on the specimen. Multiple locations on the specimen may include, for example, two dies of a specimen, as illustrated in FIG. 1. [0209]
  • “Dark field” generally refers to a collection geometry configured to collect only scattered light from a specimen. “Double dark field” generally refers to an inspection geometry using a steep angle oblique illumination, and a collection angle outside of the plane of incidence. Such an arrangement may include a near-grazing illumination angle and a near-grazing collection angle to suppress surface scattering. This suppression occurs because of the dark fringe (also known as the Weiner fringe) near the surface that may occur due to interfering incident and reflected waves. A dark field imaging device may include any of the light sources as described herein. A double dark field device may be either an imaging device or a non-imaging device. [0210]
  • A dark field imaging device may also include a dark field imaging system configured to collect dark field light propagating along a dark field path from the surface of a specimen. The dark field imaging system may include optical components, an image computer, and a host computer as described herein. In this manner, a presence of defects on a surface of a specimen may be determined from a dark field image of the specimen as described herein. An example of an inspection system configured for dark field imaging is illustrated in PCT Application No. WO 99/31490 to Almogy, and is incorporated by reference as if fully set forth herein. [0211]
  • In addition, a measurement device may include bright field and dark field light sources, which may include one or more light sources. Each of the light sources may be arranged at different angles of incidence with respect to the surface of the specimen. Alternatively, each of the light sources may be arranged at the same angle of incidence with respect to the surface of the specimen. The measurement device may also include bright field and dark field imaging systems as described above. For example, the measurement device may include one or more imaging systems. Each of the imaging systems may be arranged at different angles of incidence with respect to the surface of the specimen. Alternatively, each of the imaging systems may be arranged at the same angle of incidence with respect to the surface of the specimen. As such, the measurement device may be configured to operate as a bright field and dark field imaging device. Each of the imaging systems may be coupled to the same image computer, which may be configured as described above. In addition, the image computer may be coupled to a host computer, which may be configured as described above. The host computer may also be configured to control both the bright field components and the dark field components of the measurement device. [0212]
  • The bright field, dark field, and bright field and dark field devices, however, may also be configured as non-imaging devices. For example, the detectors described above may be replaced with a photomultiplier tube, a photodiode, or an avalanche photodiode. Such detectors may be configured to produce a signal proportional to the integrated light intensity of the bright field light and/or the dark field light. [0213]
  • FIG. 12 illustrates a schematic side view of an alternate embodiment of [0214] system 32 configured to determine at least two properties of a specimen during use. As will be further described herein, elements of system 32 which may be similarly configured in each of the embodiments illustrated in FIGS. 3-7 and 12 have been indicated by the same reference characters. For example, stage 42 may be similarly configured in each of the embodiments illustrated in FIGS. 3-7 and 12.
  • As used herein, the terms “front side” and “back side” generally refer to opposite sides of a specimen. For example, the term, a “front side”, or “upper surface,” of a specimen such as a wafer may be used to refer to a surface of the wafer upon which semiconductor devices may be formed. Likewise, the term, a “back side”, or a “bottom surface,” of a specimen such as a wafer may be used to refer to a surface of the wafer which is substantially free of semiconductor devices. [0215]
  • [0216] System 32 may include stage 42 configured to support specimen 40. As shown in FIG. 12, stage 42 may contact a back side of the specimen proximate to an outer lateral edge of the specimen to support the specimen. For example, the stage may include a robotic wafer handler configured to support a specimen. In alternative embodiments, an upper surface of the stage may be configured to have a surface area less than a surface area of the back side of the specimen. In this manner, stage 42 may contact a back side of the specimen proximate to a center, or an inner surface area, of the specimen to support the specimen. In an example, the stage may include a vacuum chuck or an electrostatic chuck. Such a stage may be disposed within a process chamber of a process tool such as a semiconductor fabrication process tool and may be configured to support the specimen during a process step such as a semiconductor fabrication process step. Such a stage may also be included in any of the other measurement devices as described herein.
  • [0217] System 32 may include a measurement device coupled to the stage. The measurement device may include a plurality of energy sources 44. A first of the plurality of energy sources 44 may be configured to direct energy toward front side 40 a of specimen 40. As shown in FIG. 12, two detectors 46 a and 46 b may be coupled to the first of the plurality of energy sources. The two detectors may be positioned at different angles with respect to the first energy source. In this manner, each of the detectors may be configured to detect different types of energy propagating from front side 40 a of specimen 40. For example, detectors 46 b may be configured to detect dark field light propagating from the front side of specimen 40. In addition, detector 46 a may be configured to detect bright field light propagating from the front side of specimen 40. In an alternative embodiment, however, a single detector, either detector 46 a or detector 46 b, may be included in the measurement device and may be coupled to the first energy source. Additional components such as component 48 may also be coupled to the first energy source. For example, component 48 may include any of the optical components as described herein.
  • The measurement device may also include [0218] component 50. Component 50 may include, for example, a beam splitter configured to transmit light from the light source toward specimen 40 and to reflect light propagating from specimen 40 toward detector 46 a. The measurement device may also include additional component 52 coupled to detector 46 a. Component 52 may be configured as described in above embodiments. In addition, such a component may also be coupled to detector 46 b. The position and the configuration of each of the components may vary, however, depending on, for example, the properties of the specimen to be measured with the system.
  • In an embodiment, a second of the plurality of [0219] energy sources 44 may be configured to direct energy toward back side 40 b of specimen 40. The measurement device may also include detector 46 c coupled to the second energy source. In addition, multiple detectors may be coupled to the second energy source. Detector 46 c may be positioned with respect to the second energy source such that a particular type of energy propagating from back side 40 b of specimen 40 may be detected. For example, detector 46 c may be positioned with respect to the second energy source such that dark field light propagating along a dark field path from the back side 40 b of specimen 40 may be detected. Additional component 48 may also be coupled to the second energy source. Component 48 may include any of the optical components as described herein. Furthermore, system 32 may include processor 54. Processor 54 may be coupled to each of the detectors 46 a, 46 b, and 46 c, as shown in FIG. 12. The processor may be configured as described herein.
  • According to the above embodiment, therefore, [0220] system 32 may be configured to determine at least two properties on at least two surfaces of a specimen. For example, system 32 may be configured to determine a presence of defects on a front side of the specimen. In addition, system 32 may be configured to determine a presence of defects on a back side of the specimen. Furthermore, the system may be configured to determine a presence of defects on an additional surface of the specimen. For example, the system may be configured to determine a presence of defects on a front side, a back side, and an edge of the specimen. As used herein, the term “an edge” of a specimen generally refers to an outer lateral surface of the specimen substantially normal to the front and back sides of the specimen. Furthermore, the system may also be configured to determine a presence of defects on more than one surface of the specimen simultaneously.
  • In an additional embodiment, the system may also be configured to determine a number of defects on one or more surfaces of a specimen, a location of defects on one or more surfaces of a specimen, and/or a type of defects on one or more surfaces of a specimen sequentially or substantially simultaneously. For example, the processor may be configured to determine a number, location, and/or type of defects on one or more surfaces of a specimen from the energy detected by the measurement device. Examples of methods for determining the type of defect present on a surface of a specimen are illustrated in U.S. Pat. No. 5,831,865 to Berezin et al., and is incorporated by reference as if fully set forth herein. Additional examples of methods for determining the type of defects present on a surface of a specimen are illustrated in WO 99/67626 to Ravid et al., WO 00/03234 to Ben-Porath et al., and WO 00/26646 to Hansen, and are incorporated by reference as if fully set forth herein. [0221]
  • Furthermore, [0222] processor 54 may be further configured to determine at least three properties of the specimen. The three properties may include a critical dimension of the specimen, an overlay misregistration of the specimen, and a presence, a number, a location, and/or a type of defects on one or more surfaces of the specimen. In this manner, the system may be configured to determine a critical dimension of the specimen, an overlay misregistration of the specimen, and a presence, a number, a location, and/or a type of defects on one or more surfaces of the specimen sequentially or substantially simultaneously.
  • The system may be configured to determine micro and/or macro defects on one or more surfaces of a specimen sequentially or substantially simultaneously. An example of a system configured to determine macro and micro defects sequentially is illustrated in U.S. Pat. No. 4,644,172 to Sandland et al., which is incorporated by reference as if fully set forth herein. Macro-micro optics, as described by Sandland, may be incorporated into a measurement device, as described herein, which may be coupled to one stage. The stage may be configured as described herein. In this manner, the macro-micro optics of Sandland may be configured to determine micro and/or macro defects on one or more surfaces of a specimen substantially simultaneously. In addition, the macro-micro optics of Sandland may be configured to determine micro and macro defects on one or more surfaces of a specimen sequentially while the specimen is disposed on a single stage. Alternatively, the measurement device may include optical components configured as illustrated in U.S. Pat. No. 5,917,588 to Addiego, which is incorporated by reference as if fully set forth herein. For example, a measurement device, as described herein, may include micro optics, as described by Sandland, coupled to macro optics of the after develop inspection (“ADI”) Macro inspection system, as described by Addiego. [0223]
  • Micro defects may typically have a lateral dimension of less than approximately 25 μm. Macro defects may include yield-limiting large scale defects having a lateral dimension of greater than about 25 μm. Such large scale defects may include resist or developer problems such as lifting resist, thin resist, extra photoresist coverage, incomplete or missing resist, which may be caused by clogged dispense nozzles or an incorrect process sequence, and developer or water spots. Additional examples of macro defects may include regions of defocus (“hot spots”), reticle errors such as tilted reticles or incorrectly selected reticles, scratches, pattern integrity problems such as over or under developing of the resist, contamination such as particles or fibers, and non-uniform or incomplete edge bead removal (“EBR”). The term “hot spots” generally refers to a photoresist exposure defect that may be caused, for example, by a depth of focus limitation of an exposure tool, an exposure tool malfunction, a non-planar surface of a specimen at the time of exposure, foreign material on a back side of a specimen or on a surface of a supporting device, or a design constraint. For example, foreign material on the back side of the specimen or on the surface of a supporting device may effectively deform the specimen. Such deformation of the specimen may cause a non-uniform focal surface during an exposure process. In addition, such a non-uniform focal surface may be manifested on the specimen as an unwanted or missing pattern feature change. [0224]
  • Each of the above described defects may have a characteristic signature under either dark field or bright field illumination. For example, scratches may appear as a bright line on a dark background under dark field illumination. Extra photoresist and incomplete photoresist coverage, however, may produce thin film interference effects under bright field illumination. In addition, large defocus defects may appear as a dim or bright pattern in comparison to a pattern produced by a laterally proximate die under dark field illumination. Other defects such as defects caused by underexposure or overexposure of the resist, large line width variations, large particles, comets, striations, missing photoresist, underdeveloped or overdeveloped resist, and developer spots may have characteristic signatures under bright field and dark field illumination. [0225]
  • As shown in FIG. 1, a surface of [0226] specimen 10 may have a plurality of defects. Defect 14 on the surface of specimen 10 may be incomplete resist coverage. For example, incomplete resist coverage may be caused by a malfunctioning coating tool or a malfunctioning resist dispense system. Defect 16 on the surface of specimen 10 may be a surface scratch. Defect 18 on the surface of specimen 10 may be a non-uniform region of a layer of resist. For example, such a non-uniform region of the resist may be caused by a malfunctioning coating tool or a malfunctioning post apply bake tool. Defect 20 on the surface of specimen 10 may be a hot spot. In addition, defect 22 on the surface of specimen 10 may be non-uniform edge bead removal (“EBR”). Each of the defects described above may be present in any location on a surface of specimen 10. In addition, any number of each of the defects may also be present on the surface of the specimen.
  • Additional examples of methods and systems for determining a presence of defects on a surface of a specimen are illustrated in U.S. Pat. No. 4,247,203 to Levy et al., U.S. Pat. No. 4,347,001 to Levy et al., U.S. Pat. No. 4,378,159 to Galbraith, U.S. Pat. No. 4,448,532 to Joseph et al., U.S. Pat. No. 4,532,650 to Wihl et al., U.S. Pat. No. 4,555,798 to Broadbent, Jr. et al., U.S. Pat. No. 4,556,317 to Sandland et al., U.S. Pat. No. 4,579,455 to Levy et al., U.S. Pat. No. 4,601,576 to Galbraith, U.S. Pat. No. 4,618,938 to Sandland et al., U.S. Pat. No. 4,633,504 to Wihl, U.S. Pat. No. 4,641,967 to Pecen, U.S. Pat. No. 4,644,172 to Sandland et al., U.S. Pat. No. 4,766,324 to Saadat et al., U.S. Pat. No. 4,805,123 to Specht et al., U.S. Pat. No. 4,818,110 to Davidson, U.S. Pat. No. 4,845,558 to Tsai et al., U.S. Pat. No. 4,877,326 to Chadwick et al., U.S. Pat. No. 4,898,471 to Vaught et al., U.S. Pat. No. 4,926,489 to Danielson et al., U.S. Pat. No. 5,076,692 to Neukermans et al., U.S. Pat. No. 5,189,481 to Jann et al., U.S. Pat. No. 5,264,912 to Vaught et al., U.S. Pat. No. 5,355,212 to Wells et al., U.S. Pat. No. 5,537,669 to Evans et al., U.S. Pat. No. 5,563,702 to Emery et al., U.S. Pat. No. 5,565,979 to Gross, U.S. Pat. No. 5,572,598 to Wihl et al., U.S. Pat. No. 5,604,585 to Johnson et al., U.S. Pat. No. 5,737,072 to Emery et al., U.S. Pat. No. 5,798,829 to Vaez-Iravani, U.S. Pat. No. 5,633,747 to Nikoonahad, U.S. Pat. No. 5,822,055 to Tsai et al., U.S. Pat. No. 5,825,482 to Nikoonahad et al., U.S. Pat. No. 5,864,394 to Jordan, III et al., U.S. Pat. No. 5,883,710 to Nikoonahad et al., U.S. Pat. No. 5,917,588 to Addiego, U.S. Pat. No. 6,020,214 to Rosengaus et al., U.S. Pat. No. 6,052,478 to Wihl et al., U.S. Pat. No. 6,064,517 to Chuang et al., U.S. Pat. No. 6,078,386 to Tsai et al., U.S. Pat. No. 6,081,325 to Leslie et al., U.S. Pat. No. 6,175,645 to Elyasaf et al., U.S. Pat. No. 6,178,257 to Alumot et al., U.S. Pat. No. 6,122,046 to Almogy, and U.S. Pat. No. 6,215,551 to Nikoonahad et al., all of which are incorporated by reference as if fully set forth herein. Additional examples of defect inspection methods and apparatuses are illustrated in PCT Application Nos. WO 99/38002 to Elyasaf et al., WO 00/68673 to Reinhron et al., WO 00/70332 to Lehan, WO 01/03145 to Feuerbaum et al., and WO 01/13098 to Almogy et al., and are incorporated by reference as if fully set forth herein. Further examples of defect inspection methods and apparatuses are illustrated in European Patent Application Nos. [0227] EP 0 993 019 A2 to Dotan, EP 1 061 358 A2 to Dotan, EP 1 061 571 A2 to Ben-Porath, EP 1 069 609 A2 to Harvey et al., EP 1 081 489 A2 to Karpol et al., EP 1 081 742 A2 to Pearl et al., and EP 1 093 017 A2 to Kenan et al., which are incorporated by reference as if fully set forth herein. As such, the embodiments described above may also include features of any of the systems and methods illustrated in all of the patents which have been incorporated by reference herein.
  • In a further embodiment, the systems as described herein may also be configured to determine a flatness measurement of the specimen. “Flatness” may be generally defined as an average of the topographic characteristics of an upper surface of the specimen across a surface area of the specimen. For example, the topographic characteristics may include, but are not limited to, a roughness of an upper surface of a specimen and a planar uniformity of an upper surface of a layer arranged on the specimen. Roughness and planar uniformity of the upper surface of a layer may vary depending on, for example, processes performed on the specimen prior to measurement, which may include, in an example of semiconductor fabrication, etch, deposition, plating, chemical-mechanical polishing, or coating. [0228]
  • As described herein, a processor may be configured to determine at least three properties of the specimen from the detected energy. The three properties may include a critical dimension of the specimen, an overlay misregistration of the specimen, and a flatness of the specimen. In addition, the process may be configured to determine four properties of the specimen from the detected energy. The four properties may include critical dimension, overlay misregistration, flatness, and a presence, a number, a location, and/or a type of defects on the specimen. As such, the system may be configured to determine a critical dimension of the specimen, an overlay misregistration of the specimen, a flatness measurement, and/or a presence, a number, a location, and/or a type of defects on a surface of the specimen sequentially or substantially simultaneously. [0229]
  • FIG. 13 illustrates a schematic top view of an embodiment of [0230] system 32 coupled to a semiconductor fabrication process tool. For example, the system may be coupled to lithography tool 130. A lithography tool, which may be commonly referred to a lithography track or cluster tool, may include a plurality of process chambers 132, 144, 146, 148, 150, 154, and 156. The number and configuration of the process chambers may vary depending on, for example, the type of wafers processed in the lithography tool. Examples of lithography tools and processes are illustrated in U.S. Pat. No. 5,393,624 to Ushijima, U.S. Pat. No. 5,401,316 to Shiraishi et al., U.S. Pat. No. 5,516,608 to Hobbs et al., U.S. Pat. No. 5,968,691 to Yoshioka et al., and U.S. Pat. No. 5,985,497 to Phan et al., and are incorporated by reference as if fully set forth herein. Lithography tool 130 may be coupled to an exposure tool, which may include exposure chamber 134. A first portion of the process chambers may be configured to perform a step of a lithography process prior to exposure of a resist. A second portion of the process chambers may be configured to perform a step of the lithography process subsequent to exposure of the resist.
  • In an embodiment, [0231] lithography tool 130 may also include at least one robotic wafer handler 136. Robotic wafer handler 136 may be configured to move a specimen from a first process chamber to a second process chamber. For example, the robotic wafer handler may be configured to move along a direction generally indicated by vector 138. In addition, the robotic wafer handler may also be configured to rotate in a direction indicated by vector 140 such that a specimen may be moved from a first process chamber located on first side of the lithography tool to a second process chamber located on a second side of the lithography tool. The first side and the second side may be located on substantially opposite sides of the lithography tool. The robotic wafer handler may also be configured to move a specimen from lithography tool 130 to exposure chamber 134 of the exposure tool. In this manner, the robotic wafer handler may move a specimen sequentially through a series of process chambers such that a lithography process may be performed on the specimen.
  • The robotic wafer handler may be also configured to move [0232] specimen 139 from cassette 141 disposed within load chamber 142 of the lithography tool to a process chamber of the lithography tool. The cassette may be configured to hold a number of specimens which may be processed during the lithography process. For example, the cassette may be a front opening unified pod (“FOUP”). The robotic wafer handler may be configured to dispose the specimen in a process chamber such as surface preparation chamber 144. The surface preparation chamber may be configured to form an adhesion promoting chemical such as hexamethyldisilazane (“HMDS”) on the surface of the specimen. HMDS may be deposited at a temperature of approximately 80° C. to approximately 180° C. Subsequent to the surface preparation process, the robotic wafer handler may be configured to remove the specimen from surface preparation chamber 144 and place the specimen into chill chamber 146. As such, chill chamber 146 may be configured to reduce a temperature of the specimen to a temperature suitable for subsequent processing (e.g., approximately 20° C. to approximately 25° C.).
  • In an additional embodiment, an anti-reflective coating may be formed on the surface of the specimen. The anti-reflective coating may be formed on the specimen by spin coating followed by a post apply bake process. Since the post apply bake process for an anti-reflective coating generally may involve heating a coated specimen from approximately 170° C. to approximately 230° C., a chill process may also be performed subsequent to this post apply bake process. [0233]
  • A resist may be also formed upon the specimen. The robotic wafer handler may be configured to place the specimen into resist apply [0234] process chamber 148. A resist may be automatically dispensed onto an upper surface of the specimen. The resist may be distributed across the specimen by spinning the specimen at a high rate of speed. The spinning process may dry the resist such that the specimen may be removed from the resist apply process chamber without adversely affecting the coated resist. The robotic wafer handler may be configured to move the specimen from resist apply process chamber 148 to post apply bake process chamber 150. The post apply bake process chamber may be configured to heat the resist-coated specimen at a temperature of approximately 90° C. to approximately 140° C. The post apply bake process may be used to drive solvent out of the resist and to alter a property of the resist such as surface tension. Subsequent to the post apply bake process, the robotic wafer handler may be configured to move the specimen from the post apply bake process chamber 150 to chill process chamber 146. In this manner, a temperature of the specimen may be reduced to approximately 20° C. to approximately 25° C.
  • The robotic wafer handler may also be configured to move the specimen from [0235] chill process chamber 146 to exposure chamber 134. The exposure chamber may include interface system 152 coupled to lithography tool 130. Interface system 152 may include mechanical device 153 configured to move specimens between the lithography tool and the exposure chamber. The exposure tool may be configured to align a specimen in the exposure chamber and to expose the resist to energy such as deep-ultraviolet light. In addition, the exposure tool may be configured to expose the resist to a particular intensity of energy, or dose, and a particular focus condition. Many exposure tools may be configured to alter dose and focus conditions across a specimen, for example, from die to die. The exposure system may also be configured to expose an outer lateral edge of the specimen. In this manner, resist disposed proximal an outer lateral edge of the specimen may be removed. Removing the resist at the outer lateral edge of a specimen may reduce contamination in subsequent processes.
  • The robotic wafer handler may be further configured to move the specimen from [0236] exposure chamber 134 to post exposure bake process chamber 154. The specimen may then be subjected to a post exposure bake process step. For example, the post exposure bake process chamber may be configured to heat the specimen to a temperature of approximately 90° C. to approximately 150° C. A post exposure bake process may drive a chemical reaction in a resist, which may enable portions of the resist to be removed in subsequent processing. As such, the performance of the post exposure process may be critical to the overall performance of the lithography process.
  • Subsequent to the post exposure process, the robotic wafer handler may be configured to move the specimen from post expose [0237] bake process chamber 154 to chill process chamber 146. After the specimen has been chilled, the robotic wafer handler may be configured to move the specimen to develop process chamber 156. The develop process chamber may be configured to sequentially dispense a developer chemical and water on the specimen such that a portion of the resist may be removed. As such, resist remaining on the specimen may be patterned. Subsequent to the develop process step, the robotic wafer handler may be configured to move the specimen from the develop process chamber to a hard bake process chamber or a post develop bake process chamber. A hard bake process may be configured to heat a specimen to a temperature of approximately 90° C. to approximately 130° C. A hard bake process may drive contaminants and any excess water from the resist and the specimen. The temperature of the specimen may be reduced by chill process as described herein.
  • In an embodiment, [0238] system 32 may be arranged laterally proximate to lithography tool 130 or another semiconductor fabrication process tool. As shown in FIG. 13, system 32 may be located proximate cassette end 160 of lithography tool 130 or proximate exposure tool end 162 of lithography tool 130. In addition, a location of system 32 with respect to lithography tool 130 may vary depending on, for example, a configuration of the process chambers within lithography tool 130 and clean room constraints for space surrounding lithography tool 130. In an alternative embodiment, system 32 may be disposed within lithography tool 130. A position of system 32 within lithography tool 130 may vary depending on, for example, a configuration of the process chambers within lithography tool 130. In addition, a plurality of systems 32 may be arranged laterally proximate and/or disposed within lithography tool 130. Each system may be configured to measure at least two different properties of a specimen. Alternatively, each system may be similarly configured.
  • In either of these embodiments, [0239] robotic wafer handler 136 may be configured to move a specimen from lithography tool 130 to a stage within system 32. For example, robotic wafer handler 136 may be configured to move a specimen to a stage within system 32 prior to or subsequent to a lithography process or between steps of a lithography process. Alternatively, a stage within system 32 may be configured to move a specimen from system 32 to lithography tool 130. In an example, the stage may include a wafer handler configured to move a specimen from system 32 to a process chamber of the lithography tool 130. Furthermore, the stage of system 32 may be configured to move the specimen from a first process chamber to a second process chamber within lithography tool 130. System 32 may also be coupled to the stage such that system 32 may move with the stage from a first process chamber to a second process chamber within lithography tool 130. In this manner, the system may be configured to determine at least two properties of a specimen as the specimen is being moved from a first process chamber to a second process chamber of lithography tool 130. An example of an apparatus and a method for scanning a substrate in a processing system is illustrated in European Patent Application No. EP 1 083 424 A2 to Hunter et al., and is incorporated by reference as if fully set forth herein.
  • In an embodiment, [0240] system 32 may be configured as an integrated station platform (“ISP”) system. An system may be configured as a stand-alone cluster tool. Alternatively, the ISP system may be coupled to a process tool. FIG. 14 illustrates a perspective view of an embodiment of ISP system 158 that may be arranged laterally proximate and coupled to a semiconductor fabrication process tool such as lithography tool 130. In this manner, ISP system 158 may be configured as a cluster tool coupled to lithography tool 130. For example, as shown in phantom in FIG. 13, ISP system 158 may be coupled to cassette end 160 of lithography tool 130. FIG. 15 further illustrates a perspective view of an embodiment of ISP system 158 coupled to cassette end 160 of lithography tool 130. As further shown in phantom in FIG. 13, ISP system 158 may be also coupled to interface system 152 at exposure tool end 162 of lithography tool 130. ISP system 158 may be further configured as illustrated in U.S. Pat. No. 6,208,751 to Almogy, which is incorporated by reference as if fully set forth herein.
  • [0241] ISP system 158 may also be coupled to multiple process tools. For example, ISP system may be configured as a wafer buffer station between a lithography tool and an etch tool. In this manner, the ISP system may be configured to receive a specimen from the lithography tool subsequent to a lithography process and to send the specimen to an etch tool for an etch process. In addition, the ISP system may be configured to determine one or more properties of the specimen between the lithography and etch process. An example of a wafer buffer station is illustrated in PCT Application No. WO 99/60614 to Lapidot, and is incorporated by reference as if fully set forth herein. ISP system 158 may be further configured as described by Lapidot.
  • [0242] ISP system 158 may include one or more measurement chambers. For example, the ISP system may have three measurement chambers 172, 174, 176. A measurement device may be disposed within each measurement chamber. Each measurement device may be configured as described herein. The measurement chambers may be arranged in unit 160. Environmental conditions within unit 160 may be controlled substantially independently from environmental conditions of the space surrounding ISP system 158. For example, environmental conditions within unit 160 such as relative humidity, particulate count, and temperature may be controlled by controller computer 162 coupled to the ISP system. Such a unit may be commonly referred to as a “mini-environment.”`In addition, the one or more measurement chambers may be arranged such that first measurement chamber 172 may be located below second measurement chamber 174 and such that second measurement 174 may be located below third measurement chamber 176. In this manner, a lateral area or “footprint” of the ISP system may be reduced. Furthermore, because ISP system 158 may be coupled to a semiconductor fabrication process tool, one front interface mechanical standard (“FIMS”) drop may be coupled to both the semiconductor fabrication process tool and the ISP system. As such, less FIMS drops may be required in a fabrication facility (“fab”), and in particular a 300 mm wafer fab. A FIMS drop may be a mechanical device configured to lower a FOUP from an overhead transportation system to a semiconductor fabrication process tool or a stand-alone inspection or metrology tool. An example of a specimen transportation system is illustrated in U.S. Pat. No. 3,946,484 to Aronstein et al., and is incorporated by reference as if fully set forth herein.
  • In an embodiment, [0243] ISP system 158 may also include wafer handler 164, receiving station 166, sending station 168, and buffer cassette station 170. Receiving station 166 and sending station 168 may be configured such that a wafer handler of a semiconductor fabrication process tool may move a specimen to the receiving station and from the sending station. Buffer cassette station 170 may be configured to hold a number of specimens depending on, for example, the relative input and output rates of a semiconductor fabrication process tool and ISP system 158. Receiving station 166 may also be configured to alter a position of a specimen such that the specimen may be substantially aligned to a measurement device coupled to one of the measurement chambers. For example, the receiving station may be configured to detect a positioning mark such as a notch or a flat on the specimen and to move the specimen linearly and/or rotatably. Buffer cassette station 170 and receiving station 166 may be further configured a buffer station as illustrated in U.S. Pat. No. 6,212,691 to Dvir, which is incorporated by reference as if fully described herein.
  • The ISP wafer handler may be configured to remove a specimen from the receiving station. In addition, the ISP wafer handler may be further configured to move the specimen into one of the measurement chambers. Furthermore, the ISP wafer handler may be configured to move the specimen into each measurement chambers in a sequence. In this manner, the ISP system may be configured to determine at least one property of the specimen in each of the plurality of measurement chambers in a parallel pipeline fashion. [0244]
  • In addition, the measurement device coupled to each measurement chamber may each be configured to determine a different property of a specimen. For example, a measurement device coupled to [0245] first measurement chamber 172 may be configured to determine overlay misregistration of a specimen. A measurement device coupled to second measurement chamber 174 may be configured to determine a critical dimension of the specimen. A measurement device coupled to third measurement chamber 176 may be configured to determine a presence of macro defects on a surface of the specimen. In alternative embodiments, a measurement device coupled to one of the measurement chambers may be configured to determine a presence of micro defects on a surface of the specimen or a thin film characteristic of the specimen. A thin film characteristic may include a thickness, an index of refraction, or an extinction coefficient as described herein. Additionally, wafer handler 164 may be configured to move the specimen from each measurement chamber to sending station 168.
  • Because [0246] ISP system 158 may be coupled to a semiconductor fabrication process tool such as lithography tool 130, properties of a specimen may be determined faster than stand alone metrology and inspection tools. Therefore, a system, as described herein, may reduce the turn-around-time for determining properties of a specimen. A reduced turn-around-time may provide significant advantages for process control. For example, a reduced turn-around-time may provide tighter process control of a semiconductor fabrication process than stand alone metrology and inspection tools. Tighter process control may provide, for instance, a reduced variance in critical dimension distributions of features on a specimen.
  • In addition, a system as described herein may be configured to adjust a drifting process mean to a target value and to reduce variance in critical dimension distribution of features on a specimen by accounting for autocorrelation in the critical dimension data. For example, the critical dimension distribution of features on a specimen after a develop process step may be reduced by altering a parameter of an instrument coupled to an exposure tool or a develop process chamber. Such an altered parameter may include, but is not limited to, an exposure dose of an exposure process or a develop time of a develop process. In addition, a linear model of control may be used and only the offset terms may be updated or adapted. A linear model of control may include a control function such as: y=Ax+c, where A and c are experimentally or theoretically determined control parameters, x is a critical dimension of the specimen or another such determined property of the specimen, and y is a parameter of an instrument coupled to the semiconductor fabrication process tool. Alternatively, a parameter of an instrument coupled to a semiconductor fabrication tool such as the exposure tool may be altered by using an exponentially weighted moving average of the offset terms. A proportional and integral model of control may include a control function such as: c[0247] t=αEt-del+(1−α)ct−1, wherein α is an experimentally or theoretically determined control parameter, Et-del is a determined property of the specimen, and ct is a parameter of an instrument coupled to the semiconductor fabrication process tool.
  • Variance in critical dimension distribution after develop may be dramatically reduced by a system as described herein. For example, adjusting a critical dimension mean to a target value of a lot (i.e., 25) of wafers using lot-to-lot feedback control may reduce critical dimension variance by approximately 65%. In addition, lot-to-lot feedback control may be effective if critical dimension within lot critical dimensions are correlated. For example, low autocorrelation may result in no reduction of critical dimension variance using lot-to-lot feedback control. High autocorrelation, however, may result in a 15% reduction of critical dimension variance using lot-to-lot feedback control. Controlling critical dimension variance using wafer-to-wafer feedback control, however, may be effective even if lot critical dimensions are non correlated. For example, low autocorrelation may result in a 25% reduction in critical dimension variance using wafer-to-wafer feedback control. Successful feedback control may depend on a proven APC frame work, robust process modeling, high throughput metrology, efficient production methodology to reduce metrology delay, and enabling of process tool wafer based control. In addition, the effect of turn-around-time on control of production wafers may also be examined by using multiple lot averaged control to adjust drift in the mean critical dimension. A target critical dimension may be set to be approximately equal to the mean of the critical dimension data. As such, lot-to-lot control may result in an 8% improvement in critical dimension variance. In addition, wafer-to-wafer control may results in an 18% improvement in critical dimension variance. [0248]
  • FIG. 16 illustrates a schematic side view of an embodiment of [0249] system 32 disposed within measurement chamber 178. For example, system 32 may include stage 42 disposed within measurement chamber 178. In addition, system 32 may include measurement device 34 disposed within measurement chamber 178. Measurement chamber 178 may also include opening 179 and a mechanical device (not shown) coupled to opening 179. In addition, measurement chamber 178 may include a plurality of such openings and a mechanical device coupled to each of the openings. The mechanical device may be configured to place an object such as a thin sheet of metal in front of opening 179 and to remove the object from the opening. In this manner, the mechanical device may be configured to provide access to the measurement chamber, for example, when specimen 40 is being disposed upon stage 42 through opening 179. Specimen 40 may be disposed upon stage 42 by any of the methods or devices as described herein. Subsequent to disposing specimen 40 on stage 42, the object may be placed in front of opening 179 by the mechanical device such that environment conditions such as relative humidity, temperature, and particulate count within the measurement chamber may be maintained and/or controlled. In this manner, system 32 may be configured to determine a property of specimen 40 under maintained and/or controlled environmental conditions, which may increase the reliability of the system. In addition, exposure of components of system 32 including, but not limited to, measurement device 34 to environmental conditions external to the measurement chamber may be reduced. As such, contamination and/or degradation of the components of system 32 may be reduced thereby reducing the probability of system failure, associated maintenance and repair costs, and increasing a lifetime of the system.
  • The system may also include [0250] processor 54 disposed outside of measurement chamber 178. In this manner, the processor, which may be configured as a controller computer, may be accessed outside of the measurement chamber, for example, by an operator. In addition, arranging processor 54 external to measurement chamber 178 may reduce the dimensions of measurement chamber 178. By reducing the dimensions of measurement chamber 178, system 32 may be coupled to or disposed within a larger number of process tools than a conventional metrology and/or inspection system. For example, measurement chamber 178 may be configured to have approximately the same dimensions as a process chamber of a semiconductor fabrication process tool. In this manner, system 32 may be disposed within an existing semiconductor fabrication process tool, as shown in FIG. 13, without altering an arrangement of the process chambers of the semiconductor fabrication process tool. For example, measurement chamber 178 may disposed within the tool by replacing one of the process chambers with measurement chamber 178. System 32 may be further configured as described herein.
  • FIG. 17 illustrates a schematic side view of an embodiment of [0251] measurement chamber 178 coupled to a process tool such as a semiconductor fabrication process tool. As shown in FIG. 17, measurement chamber 178 may be arranged laterally proximate to process chamber 180 of a process tool. Alternatively, the measurement chamber may be arranged vertically proximate to process chamber 180. For example, the measurement chamber may be arranged above or below process chamber 180. As shown in FIG. 17, process chamber 180 may be a resist apply chamber as described herein. For example, specimen 182 may be disposed upon stage 184. Stage 184 may be configured as a motorized rotating chuck or any other device known in the art. A resist may be dispensed onto specimen 182 from dispense system 186. Dispense system 186 may be coupled to a resist supply and may include a number of pipes and/or hoses and controls such as valves such that resist may be transferred from the resist supply to specimen 182. The dispense system may also be coupled to a controller computer, which may be configured to control the dispense system. For example, the controller computer may include processor 54 as described herein. Stage 184 may be configured to rotate such that the dispensed resist may spread over specimen 182 and such that solvent may evaporate from the dispensed resist. Process chamber 180, however, may include any of the process chambers as described herein. In addition, measurement chamber 178, process chamber 180, processor 54 may be arranged in a modular architecture as illustrated in PCT Application No. WO 99/03133 to Mooring et al., which is incorporated by reference as if fully set forth herein.
  • In an embodiment, therefore, [0252] specimen 182 may be easily and quickly be moved from process chamber 180 to measurement chamber 178 (or from measurement chamber 178 to process chamber 180) by a robotic wafer handler of a process tool, by a wafer handler of an ISP system, or by stage 42 as described herein. In this manner, system 32 may be configured to determine at least a first property and a second property of the specimen prior between process steps of a process. For example, in a lithography process, first and second properties of a specimen may be determined subsequent to resist apply and prior to exposure. In an additional example, first and second properties of a specimen may be determined subsequent to exposure and prior to post exposure bake. In a further example, first and second properties of a specimen may be determined subsequent to post exposure bake and prior to develop. First and second properties of a specimen may also be determined subsequent to develop. Furthermore, such a system may be configured to determine at least a first property and a second property of the specimen prior to substantially an entire process or subsequent to substantially an entire process. A system configured as described above may also have a relatively short turn-around-time. As described above, therefore, such a system may provide several advantages over currently used metrology and inspection systems.
  • A process tool such as a semiconductor fabrication process tool may include a number of support devices such as [0253] stage 184, as shown in FIG. 17, which may be configured to support the specimen during a process step. For example, a support device may be disposed within each process chamber coupled to a process tool. Appropriate support devices may include, but are not limited to, a spin coater, a bake plate, a chill plate, an exposure stage, and an electrostatic chuck in an etch or deposition chamber. Each support device may have an upper surface upon which a specimen may be disposed. An upper surface of each support device may be substantially parallel to an upper surface of other support devices arranged within the process tool, i.e., orientations of each support device within each process chamber, respectively, may be substantially parallel. In an embodiment, a stage of a system, as described herein, may also have an upper surface which may be substantially parallel to an upper surface of a support device of the process tool, as shown in FIG. 17, i.e., an orientation of the stage within a measurement chamber such as measurement chamber 178 may be substantially parallel to orientations of each support device within each process chamber, respectively.
  • In an alternate embodiment, a stage of a system, as described herein, may have an upper surface that may be arranged at an angle with respect to an upper surface of a support device, i.e., an orientation of the stage within a measurement chamber may be at an angle to orientations of each support device within each process chamber, respectively. For example, an upper surface of the stage may be arranged at a 90° angle with respect to an upper surface of a support device of a process tool. Alternatively, an upper surface of the stage may also be arranged at an angle of less than 90° with respect to an upper surface of the support device. At such angles, a vacuum may be pulled on a surface of a specimen to maintain a position of the specimen on the stage. [0254]
  • An orientation of a measurement device disposed within a measurement chamber with such a stage may also be altered. For example, the measurement device may be arranged at an angle such that a spatial relationship (i.e., any of the spatial arrangements shown in FIGS. [0255] 3-7, 11 a-12, and 16-17) between the measurement device and the stage may be maintained. Such a stage may also be arranged at an angle with respect to an illumination system and a detection system of the measurement device. In this manner, a specimen may be tilted with respect to the measurement device during inspection or metrology processes which may be performed by a system as described herein.
  • An angled orientation of the stage within a measurement chamber as described above may allow a lateral dimension of the measurement chamber to be reduced. For example, the illumination system, the detection system, and the stage may be arranged in a more compact geometry than conventional inspection and metrology systems. In particular, a lateral dimension of a measurement chamber may be greatly reduced for relatively large diameter specimen such as 200 mm wafers and 300 mm wafers. As such, disposing such a measurement device within a semiconductor fabrication process tool may be less likely to require retrofitting of the semiconductor fabrication process tool. Therefore, existing configurations of semiconductor fabrication process tools may be less likely to prohibit disposing the system within the semiconductor fabrication process tool. [0256]
  • FIG. 18 illustrates a schematic side view of an embodiment of [0257] system 32 coupled to process chamber 188. The process chamber may be a process chamber coupled to a semiconductor fabrication process tool. Stage 190 may be disposed within process chamber 188. Stage 190 may be configured to support specimen 192, for example, during a semiconductor fabrication process step. System 32 may be coupled to process chamber 188 such that measurement device 34 may be external to process chamber 188 but may be coupled to stage 190 disposed within the process chamber. For example, process chamber 188 include one or more relatively small sections 194 of a substantially transparent material disposed within one or more walls of the process chamber. Sections 194 may be configured to transmit a beam of energy from an energy source of the measurement device outside the process chamber to a surface of a specimen within the process chamber. Sections 194 may also be configured to transmit a beam of energy returned from the surface of the specimen to a detector of measurement device 34 outside process chamber 188. The substantially transparent material may have optical or material properties such that the beam of energy from the energy source and the returned beam of energy may pass through sections 194 of the process chamber without undesirably altering the properties of the directed and returned energy beams. For example, undesirably altering the properties of the energy beams may include, but is not limited to, altering a polarization or a wavelength of the energy beams and increasing chromatic aberration of the energy beams. In addition, sections 194 may be configured such that deposition of process residue from a chemical using during processing of a specimen may be reduced as described in PCT Application No. 99/65056 to Grimbergen et al., which is incorporated by reference as if fully set forth herein.
  • An appropriate system and method for coupling a measurement device external to a process chamber and a stage disposed within the process chamber may vary, however, depending on, for example, a configuration of the process chamber and/or a configuration of the measurement device. For example, the placement and dimensions of relatively [0258] small section 194 disposed within the walls of process chamber 188 may vary depending on the configuration of the components within the process chamber. As such, exposure of measurement device 34 to chemicals and environmental conditions within process chamber 188 may be reduced, and even substantially eliminated. Furthermore, measurement device 34 may be externally coupled to process chamber 188 such that the measurement device may not alter operation, performance, or control of a process step carried out in process chamber 188.
  • A measurement device, as shown in FIG. 18, may be configured to direct energy toward a surface of a specimen during a step of a process such as, in an example of a lithography process as described above, during a chill process subsequent to a post apply bake process, a post exposure bake process, a develop process, or any of the process steps as described herein. In addition, the measurement device may be configured to detect energy returned from the surface of the specimen during the step of the process. The measurement device may be configured to detect energy returned from a specimen substantially continuously or at various time intervals during a process step. [0259]
  • The system may include a processor configured to determine at least a first and a second property of a specimen during a process step. For example, the processor may be configured to determine at least two properties of a specimen such as critical dimension and overlay misregistration from the energy detected during a process step. In an additional embodiment, the processor may also be configured to detect variations in the energy detected by a measurement device during the process step. For example, the processor may be configured to obtain a signature characterizing the process step. The signature may include at least one singularity representative of an end of the process step. [0260]
  • In an additional embodiment, the processor may also be coupled to a process tool such as a lithography tool and may be configured to alter a parameter of an instrument coupled to the process tool. For example, the processor may alter a parameter of an instrument coupled to a process tool in response to the detected singularity as described above. The parameter of the instrument may be altered such that the process step may be terminated subsequent to detection of the singularity. In addition, the processor may be configured to alter a parameter of an instrument of a process tool in response to at least one determined property of the specimen using an in situ control technique. [0261]
  • In an additional embodiment, the processor may be configured to monitor a parameter of an instrument coupled to a process tool such as a semiconductor fabrication process tool. For example, the processor may be coupled to a resist apply process chamber of a lithography tool and may be configured to monitor a parameter of an instrument coupled to the resist apply chamber. In this manner, the processor may be configured to monitor a spin speed of a motorized chuck of the resist apply chamber, a dispense time of a dispense system of the resist apply chamber, and/or a temperature and a humidity of the resist apply chamber. The processor may be further configured as described in an example of a method and apparatus for providing real-time information identifying tools visited by a wafer under inspection and the process parameters used at those tools illustrated in European Patent Application No. [0262] EP 1 071 128 A2 to Somekh, which is incorporated by reference as if fully set forth herein. In addition, the processor may be configured to determine a relationship between at least one determined property of a specimen and a monitored parameters of an instrument coupled to a process tool. For example, the processor may be configured to determine a relationship between a presence of defects on the surface of a resist layer formed on a specimen and a monitored temperature and/or humidity of the resist apply chamber. Furthermore, the processor may be configured to alter the monitored parameter of the instrument in response to the determined relationship. For example, the processor may be configured to use a determined relationship to alter a parameter of an instrument coupled to the resist apply chamber such that the temperature and humidity of the resist apply chamber may be altered in response to a determined presence of defects on the surface of the specimen.
  • The processor may also be configured to alter a parameter of an instrument coupled to a process tool in response to at least one determined property using a feedback control technique. Furthermore, the processor may also be configured to alter a parameter of an instrument coupled to a process tool in response to at least one determined property using a feedforward control technique. For example, the system may be configured to determine at least two properties of a specimen during a develop process. The processor may be configured to alter a parameter of an instrument coupled to the develop process chamber in response to at least one of the determined properties during developing of the specimen or prior to developing additional specimens. In addition, the processor may be configured to alter a parameter of an instrument coupled to a process chamber such as a hard bake process chamber in response to at least one of the determined properties prior to further processing of the specimen in the process chamber. In addition examples, the processor may be configured to alter a parameter of an instrument coupled to an exposure tool, a post exposure bake chamber, a resist apply chamber, and any other tools or chamber included in the cluster tool. [0263]
  • In a further embodiment, the processor maybe configured to compare at least one determined property of the specimen and properties of a plurality of specimens. For example, the plurality of specimens may include product wafers processed prior to the processing of the specimen. At least two properties of the plurality of specimens may be determined prior to processing of the specimen with a system as described herein. The plurality of specimens may also include specimens within the same lot as the specimen or specimens within a different lot than the specimen. As such, the processor may be configured to monitor a process such as a semiconductor fabrication process using a wafer-to-wafer comparison technique or a lot-to-lot comparison technique. In this manner, the processor may be configured to monitor the performance of the process and to determine if the performance of the process or a process tool is drifting. A method an apparatus for reducing lot to lot CD variation in semiconductor wafer processing is illustrated in European Patent Application No. [0264] EP 1 065 567 A2 to Su, and is incorporated by reference as if fully set forth herein.
  • Alternatively, the processor may be configured to compare at least one determined property of the specimen to a predetermined range for at least the one property. The predetermined range may be determined, for example, from design constraints for the specimen. In addition, the predetermined range may be determined by using a statistical process control method to determine an average of at least the one property and additional statistical parameters such as a variance of at least the one property for a process. In addition, the processor may be configured to generate an output signal if at least the one determined property is outside of a predetermined range. The output signal may be a visual signal such as a signal displayed on a monitor coupled to the processor. The monitor may be disposed in a semiconductor fabrication facility such that the displayed signal may be viewed by an operator. Alternatively, the output signal may be any signal known in the art signal such as an audible signal or a plurality of signals. [0265]
  • In addition, subsequent to determining the property of the specimen, the processor may be configured to determine if additional processing of the specimen may be performed. Additional processing of the specimen may be altered or performed to alter the determined property. Such additional processing may be commonly referred to as “reworking.” In this manner, the processor may be configured to make automated rework decisions. For example, such additional processing may include reprocessing the specimen such that one or more process steps, which may have already been performed on the specimen, may be repeated. In addition, a parameter of one or more instruments coupled to one or more process chambers configured to perform the repeated process steps may be altered in response to the determined property using a feedforward control technique. In this manner, such additional processing of the specimen may be configured to alter the determined property by altering a parameter of the instrument in response to the determined property. As such, such additional processing may alter the determined property such that the determined property may be substantially equal to an expected value for the property or may be within a predetermined range for the property. [0266]
  • In an additional embodiment, the processor may be configured to alter a sampling frequency of a measurement device in response to at least one determined property of a specimen. For example, if a determined property is substantially different than an expected value for the property, or if a determined property is outside of a predetermined range for the property, then the processor may increase the sampling frequency of the measurement device. The sampling frequency may be altered, for example, such that the measurement device is configured to direct and detect energy from an increased number of locations on the specimen. In this manner, the sampling frequency may be altered using an in situ control technique. In addition, the sampling frequency of the measurement device may be altered to determine statistical data of the determined property across the specimen such as an average of the determined property across the specimen. As such, the determined property may be classified as a random defect, a repeating defect, or as another such defect. [0267]
  • In an additional example, the sampling frequency of a measurement device may be altered such that subsequent measurement or inspection of the specimen may be increased. In this manner, the sampling frequency may be altered using a feedforward control technique. Subsequent measurement or inspection may include transferring the specimen to an additional system, which may be configured as described herein, to further examine the determined property of the specimen. An appropriate additional system for such further examination of the determined property of the specimen may include a system having a higher sensitivity, a higher magnification, and/or an increased resolution capability than the system used to initially determine the property. [0268]
  • Alternatively, the sampling frequency may be altered such that the measurement device is configured to direct and detect energy from an increased number of locations on additional specimens that may be in the same lot as the specimen. Furthermore, the sampling frequency may be altered such that the measurement device is configured to direct and detect energy from an increased number of specimens in the same lot as the specimen or from a number of specimens in an increased number of lots. In this manner, the sampling frequency may be altered using a feedback control technique. As such, the sampling frequency may be altered using an in situ control technique, a feedforward control technique, or a feedback control technique. In addition, each of these control techniques may be used to alter the sampling frequency of a measurement device on a within-wafer basis, a within-lot basis, and/or a lot-to-lot basis. [0269]
  • In a further embodiment, the processor may be configured to generate a database. The database may include a set of data that may include at least first and second properties of a specimen. The processor may be also be configured to calibrate the measurement device using the database. For example, the set of data may include at least a first and second property of a reference specimen. The measurement device may be configured to determine the first and second properties of the reference specimen. In this manner, the processor may be configured to calibrate the measurement device by comparing the first and second properties of the reference specimen in the database and the determined first and second properties of the reference specimen. For example, the processor may be configured to determine a correction factor from the comparison of the first and second properties in the database and the determined first and second properties of the reference specimen. In addition, the processor may be configured to use the correction factor to determine first and second properties of additional specimens. [0270]
  • In an additional embodiment, the processor may be configured to monitor the measurement device using the database. For example, the database may include at least two properties of a specimen. The system may be configured to determine at least the two properties of the specimen at predetermined intervals of time. The processor may be configured to compare at least the two properties of the specimen determined at different times. As such, the processor may be configured to determine if the performance of the measurement device is changing over time. In an additional example, the processor may be configured to generate a set of data that may include at least a first property and a second determined property of a plurality of specimens at predetermined time intervals. As such, the processor may also be configured to compare at least the first and second properties of a plurality of specimens using the database. The first and second properties of a specimen or a plurality of specimens may be determined using the measurement device or using a plurality of measurement devices. The processor may be further coupled to the plurality of measurement devices. Therefore, the processor may also be configured to calibrate the plurality of measurement devices using the database as described above. In addition, the processor may also be configured to monitor the plurality of measurement devices using the database as described above. [0271]
  • As described above, the processor may be coupled to a plurality of measurement devices. In an additional embodiment, the processor may be configured to alter a parameter of an instrument coupled to at least one of the plurality of measurement devices. Each of the measurement devices may be configured as a stand-alone metrology or inspection device. Alternatively, each of the measurement devices may be coupled to at least one of a plurality of process tools as described herein. Furthermore, the processor may be coupled to at least one process tool. In this manner, the processor may be configured to alter a parameter of an instrument coupled to at least one of the plurality of process tools. In addition, the processor may be configured to alter a parameter of a plurality of instruments. Each of the instruments may be coupled to one of the plurality of process tools. The processor, however, may also be configured to alter a parameter of a plurality of instruments coupled to at least one of the plurality of process tools. For example, the processor may be configured to alter a parameter of the instrument in response to at least one of the determined properties using an in-situ control technique, a feedback control technique, and a feedforward control technique. [0272]
  • In an embodiment, the processor may include a local processor coupled to the measurement device. The processor, however, may also include a remote controller computer or a remote controller computer coupled to a local processor. The local processor may be configured to at least partially process a signal generated by the measurement device. The signal may be generated by the detection system and may be an analog signal or a digital signal. For example, the system may also include an analog-to-digital converter. The analog-to-digital converter may be configured to convert a signal generated by the detection system such that a digital signal may be sent to the local processor or the remote controller computer. In addition, the remote controller computer may be configured to further process the at least partially processed signal. For example, the local processor may be configured to determine at least a first property and a second property of a specimen. In this manner, the remote controller computer may be configured to further process at least the two determined properties. For example, further processing the determined properties may include comparing the determined properties to a predetermined range for each property. In addition, the remote controller computer may be configured to generate an output signal if the determined properties are outside of the predetermined range. [0273]
  • The processor may also take various forms, including, for example, a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (“PDA”), television system, or other device. In general, the term “processor” may be broadly defined to encompass any device having a processor, which executes instructions from a memory medium. Examples of processors and control methods are illustrated in U.S. Pat. No. 4,571,685 to Kamoshida, U.S. Pat. No. 5,859,964 to Wang et al., U.S. Pat. No. 5,866,437 to Chen et al., U.S. Pat. No. 5,883,374 to Mathews, U.S. Pat. No. 5,896,294 to Chow et al., U.S. Pat. No. 5,930,138 to Lin et al., U.S. Pat. No. 5,966,312 to Chen, U.S. Pat. No. 6,020,957 to Rosengaus et al., and are incorporated by reference as if fully set forth herein. Additional examples of processors and control methods are illustrated in PCT Application Nos. WO 99/59200 to Lamey et al. and WO 00/15870 to Putnam-Pite et al., and are incorporated by reference as if fully set forth herein. [0274]
  • FIG. 19 illustrates an embodiment of a method for determining at least two properties of a specimen. As shown in [0275] step 196, the method may include disposing a specimen upon a stage. The stage may be coupled to a measurement device. The measurement device may be configured as described herein. For example, the measurement device may include an illumination system and a detection system. As shown in step 198, the method may include directing energy toward a surface of a specimen using the illumination system. In addition, the method may include detecting energy propagating from the surface of the specimen, as shown in step 200. Furthermore, the method may include processing the detected energy to determine at least a first property and a second property of a specimen, as shown in step 202. The first property may include a critical dimension of the specimen. A critical dimension may include, but is not limited to, a lateral dimension of a feature of the specimen. A feature may be formed on an upper surface of the specimen or in the specimen as described herein. The second property may include an overlay misregistration of the specimen. Overlay misregistration may include a lateral displacement of a first feature on a first level of a specimen with respect to a second feature on a second level of a specimen. The first level may be formed above the second level.
  • The stage may be configured as described herein. For example, the stage may be configured to move laterally and rotatably. In this manner, the method may include laterally or rotatably moving the stage. Laterally or rotatably moving the stage may include arranging the specimen such that energy from the measurement device may be directed to and may propagate from the specimen. The method may also include laterally and/or rotatably moving the stage while energy is being directed toward a surface of the specimen and while energy is being detected from the surface of the specimen. As such, the method may include moving the stage laterally and/or rotatably during measurement or inspection of a surface of a specimen. In this manner, light may be directed to and may propagate from a plurality of locations on a surface of the specimen during measurement or inspection of a surface of the specimen. As such, the system may be configured to determine at least two properties of a specimen at multiple locations on the specimen. In a further embodiment, the method may include rotating the stage while moving the measurement device linearly along a lateral dimension of a specimen as described herein. [0276]
  • An illumination system of the measurement device may be configured as described herein. In addition, a detection system of the measurement device may be configured as described herein. For example, the measurement device may include, but is not limited to, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer. In addition, the measurement device may include any combination of the above devices. As such, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of a system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. [0277]
  • In an embodiment, the method may include processing the detected energy to determine a third property of the specimen. A third property of the specimen may include, but is not limited to, a presence, a number, a location, and/or a type of defects on the surface of the specimen and a flatness measurement of the specimen. The defects may include macro defects and/or micro defects as described herein. In addition, the method may include processing the detected energy to determine a third property and a fourth property of a specimen. For example, the third property may include a presence, a number, a location, and/or a type of defects on the surface of the specimen, and the fourth property may include a flatness measurement of the specimen. As such, the method may be used to determine a critical dimension, an overlay misregistration, a presence, a number, a location, and/or a type of defects on the specimen, and a flatness measurement of the specimen. The method may include determining such properties of a specimen sequentially or substantially simultaneously. In an additional embodiment, the method may include directing energy toward a front side and/or a back side of a specimen. As such, the method may also include detecting energy propagating from the front side and/or the back side of the specimen, respectively. In this manner, the method may also include determining a presence, a number, a location, and/or a type of defects on a back side of the specimen. The defects may include macro defects. [0278]
  • In an embodiment, the stage and measurement device may be coupled to a process tool such as a semiconductor fabrication process tool. The semiconductor fabrication process tool may include a lithography tool as described herein. The stage and measurement device may be arranged laterally proximate to the process tool as described herein. For example, the stage and measurement device may be disposed within an ISP system as described above. Alternatively, the stage and the measurement device may be disposed within the process tool. For example, the stage and measurement device may be disposed within a measurement chamber. The measurement chamber may be coupled to the process tool. For example, the measurement chamber may be arranged laterally proximate to a process chamber of the process tool. Alternatively, the measurement chamber may be arranged vertically proximate to a process chamber of the process tool. The measurement chamber may be configured to isolate the measurement device and the stage from environmental conditions within the process tool. [0279]
  • In an embodiment, a support device may be disposed within a process chamber of the process tool. The support device may be configured to support the specimen during a process step. For example, a support device disposed within a resist apply chamber of a lithography tool may include a chuck coupled to a motorized rotation device. As such, the support device may be configured to support the specimen during a resist apply process step of a lithography process. A support device may also include, for example, a bake plate disposed within a post apply bake chamber. The bake plate may be configured to support the specimen during a post apply bake process step of the lithography process. An upper surface of the support device may be substantially parallel to an upper surface of the stage of the system. Alternatively, an upper surface of the stage may be angled with respect to an upper surface of the support device. The stage may also be configured to hold a specimen in place at such an angle by drawing a vacuum through an upper surface of the stage or by an appropriate mechanical device. In this manner, a stage and measurement device may be substantially perpendicular to a support device disposed within a process chamber. As such, the system may be arranged essentially on its “side.” The term “side,” as used herein, generally refers to a lateral sidewall of a conventional metrology or inspection system. The orientation of the stage with respect to a support device of a process chamber may vary depending on, for example, the dimensions of a process tool and an arrangement of process chambers within the process tool. For example, the stage may be arranged at a perpendicular angle with respect to the support device such that the measurement device and stage may be disposed within an existing process tool. In this manner, the system may be disposed within a process tool without reconfiguration of the process chambers. [0280]
  • In an additional embodiment, the process tool may include a wafer handler configured as described herein. For example, the wafer handler may be configured to remove a specimen from a process chamber subsequent to a step of a process. The wafer handler may also be configured to place a specimen into a process chamber prior to a step of a process. In this manner, the wafer handler may be configured to move the specimen from a first process chamber to a second process chamber between steps of a process. Disposing the specimen upon the stage, as shown in [0281] step 196, may include moving the specimen from the process tool to the stage using the wafer handler. In addition, the method may include moving the specimen to the process tool subsequent to directing energy toward a surface of the specimen and detecting energy propagating from a surface of the specimen. In this manner, the method may include determining at least two properties of the specimen between process steps of a process.
  • In an alternative embodiment, the stage of the system may be disposed within a process chamber of the process tool. As such, the stage may be configured to function as a support device as described herein and may support the specimen during a process step. In this manner, disposing the specimen upon a stage, as shown in [0282] step 196, may include disposing the specimen upon a support device within a process chamber of a process tool. The method may also include directing energy toward a surface of the specimen and detecting energy propagating from the surface of the specimen during a process step. In this manner, the system may be configured to determine at least two properties of a specimen at predetermined time intervals during a process step. In an embodiment, the method may also include obtaining a signature characterizing a process step. The signature may include at least one singularity that may be representative of an end of the process step as described herein. Furthermore, the method may include altering a parameter of an instrument coupled to a process tool in response to at least one of the determined properties using an in situ control technique.
  • In an embodiment, the stage and the measurement device may be coupled to a wafer handler of a process tool. The wafer handler may be configured to support and move a specimen as described herein. In this manner, the method may include directing energy toward a surface of the specimen and detecting energy propagating from the surface of the specimen during movement of the specimen. As such, the method may also include determining at least two properties of a specimen while moving a specimen from a first process chamber to a second process chamber. In this manner, the method may include determining at least two properties of a specimen between any two process steps of a process. For example, the method may include chilling the specimen in a first process chamber. In addition, the method may include applying resist to the specimen in the second process chamber. [0283]
  • In additional examples, the method may include chilling the specimen in a first process chamber subsequent to a post apply bake process step. The method may also include exposing the specimen in the second process chamber. In a further example, the method may include chilling the specimen in a first process chamber subsequent to a post exposure bake process and developing the specimen in a second process chamber. Additionally, the method may include developing the specimen in a first process chamber and baking the specimen in a second process chamber. Furthermore, the method may include developing the specimen in a first process chamber and receiving the specimen in a wafer cassette in the second process chamber. In this manner, the method may include determining at least two properties of a specimen between any two process steps of a semiconductor fabrication process. [0284]
  • In an alternative embodiment, the measurement device may be coupled to a process chamber such that moving the specimen to or from the process chamber may include moving the specimen under the measurement device. In this manner, the stage may include the wafer handler. [0285]
  • In an embodiment, the method may include comparing the determined properties of a specimen and determined properties of a plurality of specimens. For example, the method may include monitoring and evaluating a semiconductor fabrication process using a wafer-to-wafer control technique. In addition, the method may include comparing properties of a specimen determined at a first location on the specimen to properties of the specimen determined at a second location on the specimen. As such, the method may include monitoring and evaluating a semiconductor fabrication process using a within-wafer control technique. Alternatively, the method may also include comparing the determined properties of a specimen to a predetermined range for each property. The predetermined range may vary depending on, for example, design constraints for each property such as an acceptable range of lateral dimensions for a feature on the specimen or an acceptable presence of defects on the surface of the specimen. The method may also include generating an output signal if the determined properties of the specimen are outside of the predetermined range for the property. The output signal may take various forms such as a visual signal and/or an audible signal. In addition, the output signal may be configured to indicate which of the determined properties is outside of the predetermined range and the extent to which the determined property is outside of the predetermined range. [0286]
  • In an additional embodiment, the method may include altering a sampling frequency of the measurement device in response to at least the determined first or second property of the specimen. For example, the method may include increasing a sampling frequency of the measurement device in response to the determined properties. The sampling frequency may be increased such that at least two properties may be determined at an increased number of locations on a single specimen. Alternatively, the sampling frequency may be increased such that at least two properties may be determined for an increased number of specimens such as within a lot of wafers. In addition, the sampling frequency may be increased such that at least two properties may be determined for an increased number of lots. [0287]
  • In an embodiment, the method may also include altering a parameter of an instrument coupled to a measurement device in response to at least one of the determined properties of the specimen using a feedback control technique. For example, if a property of the specimen is determined to be outside of a predetermined range, the method may include increasing a sampling frequency of a measurement device prior to determining at least two properties of additional specimens with the measurement device. The additional specimens may have been subjected to substantially the same process step or process as the specimen having at least one property outside of the predetermined range. In this manner, the method may include sampling an increased number of specimens such that data may be generated, which may be used to determine if the property of the specimen outside of the predetermined range is occurring systematically or randomly. [0288]
  • In an additional embodiment, the method may include altering a parameter of an instrument coupled to a measurement device in response to at least one of the determined properties of a specimen using a feedforward control technique. For example, the method may include determining at least two properties of a specimen subsequent to a first process step of a process using a measurement device. The method may also include determining at least two properties of a specimen subsequent to a second process step of the process using the measurement device. If one of the properties of the specimen determined after the first process step is outside of the predetermined range, a sampling frequency of the measurement device may be increased prior to determining at least two properties after the second process step. For example, the second process step may include reprocessing the specimen or performing a process step of a process which has been altered in response to at least one of the properties determined after the first process step. For example, the second process step may be configured to alter the property of the specimen such that the property may be within the predetermined range subsequent to the second process step. In this manner, the method may be used to determine if the second process step has altered the property of the specimen. [0289]
  • In an additional embodiment, the method may include generating a database. The database may include at least two determined properties of a specimen. The method may also include calibrating the measurement device using the database. For example, the database may include at least a first and second property of a reference specimen. In addition, the method may include determining the first and second properties of the reference specimen with the measurement device. In this manner, the method may include calibrating the measurement device by comparing at least one of the properties of the reference specimen in the database and at least one of the properties of the reference specimen determined with the measurement device. For example, the method may include determining a correction factor from the comparison of at least one property of the reference specimen and using the correction factor to determine at least the first and second properties of additional specimens. [0290]
  • In an additional embodiment, the method may include monitoring the determined properties generated by the measurement device using the database. For example, the database may include at least two properties of a specimen. The method may also include determining at least the two properties of the specimen at predetermined intervals of time. In this manner, the method may be include comparing at least the two properties of the specimen in the database to at least the two properties of the specimen determined at various times. As such, the method may include determining if the performance of the measurement device is changing over time. In an additional example, the method may include generating a database that may include at least two properties of a plurality of specimens. At least the two properties of the plurality of specimens may be determined using the measurement device. As such, the method may include comparing at least one of the determined properties of a plurality of specimens using the database. Alternatively, the first and second properties of the plurality of specimens may be determined using a plurality of measurement devices. Therefore, the method may also include calibrating the plurality of measurement devices using the database as described above. In addition, the method may also include monitoring the determined properties generated by the plurality of measurement devices as described above. In an embodiment, the method may also include altering a parameter of an instrument coupled to each of the plurality of measurement devices in response to at least one of the determined properties of a specimen. Altering a parameter of an instrument coupled to each of a plurality of measurement devices may include any of the embodiments described herein. [0291]
  • In a further embodiment, the method may include altering a parameter of an instrument coupled to a process tool such as a semiconductor fabrication process tool in response to at least one of the determined properties of the specimen using a feedback control technique. For example, the method may include altering a parameter of an instrument coupled to a lithography tool in response to a determined property as described above. In addition, the method may include altering a parameter of an instrument in response to at least one of the determined properties of the specimen using an in situ control technique. For example, the method may include terminating a process step at approximately a time that a singularity is detected by a measurement device. [0292]
  • Additionally, the method may also include altering a parameter of an instrument coupled to a process tool in response to at least one of the determined properties using a feedforward control technique. For example, the method may include determining at least two properties of a specimen during a develop process in a develop process chamber. In addition, the method may include altering a parameter of an instrument coupled to a process chamber in response to at least one of the determined properties prior to further processing of the specimen in the process chamber. In addition, the method may include altering a parameter of an instrument coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen. Altering the parameter of an instrument coupled to each of a plurality of process tools may include any of the embodiments described herein. [0293]
  • In an additional embodiment, the method may include monitoring a parameter of an instrument coupled to a process tool. For example, the method may include monitoring a parameter of an instrument coupled to a resist apply chamber of a lithography tool. In this manner, the method may include monitoring a spin speed of a motorized chuck of the resist apply chamber, a dispense time of a dispense system of the resist apply chamber, and/or a temperature and a humidity of the resist apply chamber. In addition, the method may include determining a relationship between a determined property of a specimen and the monitored parameter of an instrument. For example, the method may include determining a relationship between a presence of defects on the surface of a resist formed on a specimen and the temperature and/or humidity of the resist apply chamber. Furthermore, the method may include altering the monitored parameter of the instrument in response to the relationship. For example, the method may include using a determined relationship to alter a parameter of an instrument coupled to the resist apply chamber such that the temperature and humidity of the resist apply chamber may be altered in response to a determined presence of defects on the surface of the specimen. In an additional embodiment, the method may include altering a parameter of an instrument coupled to each of a plurality of process tools in response to at least one determined property of the specimen. Altering a parameter of an instrument coupled to each of a plurality of process tools may include any of the embodiments as described herein. [0294]
  • In an additional embodiment, processing the detected energy may include using a processor to determine the first and second properties of a specimen. The processor may be coupled to the measurement device. The method may, therefore, include sending a signal representative of the detected energy to the processor. The processor may also be configured as described in above embodiments. For example, the processor may include a local processor coupled to a remote controller computer. The local processor may be coupled to a measurement device as described in above embodiments. FIG. 20 illustrates an embodiment of a method for determining at least two properties of a specimen. For example, as shown in [0295] step 202, the method may include processing the detected energy to determine a first property and a second property of the specimen using a processor. As shown in step 206, processing the detected light may also include at least partially processing the detected energy using a local processor. The method may also include sending the partially processed detected energy from the local processor to a remote controller computer, as shown in step 208. In addition, the method may further include further processing the at least partially processed detected light using the remote controller computer, as shown in step 210.
  • In an embodiment, at least partially processing the detected energy may include determining at least two properties of a specimen. As such, further processing the detected energy may include processing the determined properties of the specimen. For example, processing the determined properties may include generating a database as described in above embodiments. In addition, processing the determined properties may include using at least one of the determined properties and a relationship between at least one property of the specimen and a parameter of an instrument coupled to a process tool to determine an altered parameter of the instrument. At least partially processing the detected light and further processing the detected light may also include additional steps as described herein. [0296]
  • An embodiment also relates to a semiconductor device that may be fabricated by a method, which may include any of the steps as described herein. For example, an embodiment of a method for fabricating a semiconductor device is illustrated in FIG. 19. As shown in [0297] step 204, the method may include fabricating a portion of the semiconductor device on a specimen such as a wafer. Fabricating a portion of a semiconductor device may include using a semiconductor fabrication process to process the specimen. Appropriate semiconductor fabrication processes may include, but are not limited to, lithography, etch, ion implantation, chemical vapor deposition, physical vapor deposition, chemical-mechanical polishing, and plating. In addition, fabricating a portion of the semiconductor device may include using a step of a semiconductor fabrication process to process the specimen.
  • In an embodiment, a method for fabricating a semiconductor device may also include disposing a specimen upon a stage, as shown in [0298] step 196. In addition, a method for fabricating a semiconductor device may further include directing energy toward a surface of the portion of the semiconductor device formed on the specimen, as shown in step 198. The method may also include detecting energy propagating from a surface of the portion of the semiconductor device formed on the specimen, as shown in step 200. As further shown in step 202, the method may further include processing the detected light to determine at least two properties of the portion of the semiconductor device formed on the specimen. Furthermore, a method for fabricating a semiconductor device may include any of the steps as described herein.
  • FIG. 21 illustrates an embodiment of a computer-implemented method for controlling a system to determine at least two properties of a specimen. In an embodiment, the system may include a measurement device. As shown in [0299] step 212, the method may include controlling the measurement device, which may include an illumination system and a detection system. The measurement device may be coupled to a stage. The measurement device may further be configured as described herein. In addition, the method may include controlling the illumination system to direct energy toward a surface of a specimen, as shown in step 214. The method may further include controlling the detection system to detect energy propagating from the surface of the specimen, as shown in step 216. Furthermore, the method may include processing the detected energy to determine at least a first property and a second property of the specimen, as shown in step 218. The first property may include a critical dimension of the specimen. The critical dimension may include, but is not limited to, a lateral dimension, a height, and/or a sidewall angle of a feature formed on a surface of the specimen. Alternatively, the critical dimension may include a lateral dimension, a height, and/or a sidewall angle of a feature formed within a specimen. The second property may include an overlay misregistration of the specimen.
  • In an embodiment, the method may also include controlling the stage, which may be configured to support the specimen. For example, the method may include controlling the stage to move the stage laterally, rotatably, or laterally and rotatably. The stage may be controlled to move while the illumination system is directing energy toward the surface of the specimen and while the detection system is detecting energy propagating from the surface of the specimen. [0300]
  • In an additional embodiment, the method may also include processing the detected energy to determine a third property of the specimen. For example, the third property may include a presence of defects on a surface of the specimen. The third property may also include a number, a location, and/or a type of defects on a surface of the specimen. The defects may include micro defects, macro defects, or micro and macro defects. In an embodiment, the method may also include controlling the illumination system to direct energy toward a back side of the specimen. The method may further include controlling the detection system to detect energy propagating from the back side of the specimen. As such, the third property of the specimen may also include a presence of defects on the back side of the specimen. Such defects may include macro defects. In addition, a third property may also include a flatness measurement of the specimen. In an additional embodiment, the method may also include processing the detected light to determine a third and a fourth property of the specimen. In this manner, the third and fourth properties may include, but are not limited to, a presence, a number, a location, and/or a type of defects on a surface of the specimen and a flatness measurement of the specimen. In addition, the method may include determining at least two of the properties substantially simultaneously. The method, however, may also include determining all four of the properties described above sequentially or substantially simultaneously. [0301]
  • In an embodiment, the stage and the measurement device may be coupled to a process tool as described herein. For example, the stage and measurement device may be coupled to a lithography tool. The method may also include controlling a wafer handler of the process tool to move the specimen from the process tool to the stage. The wafer handler may be configured as described herein. Alternatively, the method may include controlling the stage to move the specimen from the system to the process tool. In a further embodiment, the method may also include controlling the stage to move the specimen from a first process chamber to a second process chamber. The first and second process chambers may be configured as described herein. In this manner, the method may also include controlling the illumination system to direct energy toward a surface of the specimen while the stage is moving the specimen from the first process chamber to the second process chamber. In addition, the method may also include controlling the detection system to detect energy propagating from the surface of the specimen while the stage is moving the specimen from the first process chamber to the second process chamber. As such, the method may include determining at least two properties of the specimen between any two process steps of a process. [0302]
  • In an additional embodiment, the method may include controlling the illumination system to direct energy toward a surface of the specimen during a process step. In addition, the method may also include controlling the detection system to detect energy propagating from the surface of the specimen during the process step. As such, the method may also include processing the detected energy to determine at least two properties of the specimen at predetermined time intervals during the process step. In this manner, the method may also include controlling the system to obtain a signature characterizing the process step. The signature may include at least one singularity, which may be representative of an end of the process step. In addition, the method may also include controlling the system to alter a parameter of an instrument coupled to the process tool in response to the determined properties using an in situ control technique. Furthermore, the computer-implemented method may also include any of the steps as described herein. [0303]
  • In an embodiment, a controller may be coupled to the system. The controller may be a computer system configured to operate software to control the system according to the above embodiments. The computer system may include a memory medium on which computer programs may be stored for controlling the system and processing the detected energy. The term “memory medium” is intended to include an installation medium, e.g., a CD-ROM, or floppy disks, a computer system memory such as DRAM, SRAM, EDO RAM, Rambus RAM, etc., or a non-volatile memory such as a magnetic media, e.g., a hard drive, or optical storage. The memory medium may include other types of memory as well, or combinations thereof. In addition, the memory medium may be located in a first computer in which the programs are executed, or may be located in a second different computer that connects to the first computer over a network. In the latter instance, the second computer provides the program instructions to the first computer for execution. Also, the computer system may take various forms, including a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (“PDA”), television system or other device. In general, the term “computer system” may be broadly defined to encompass any device having a processor, which executes instructions from a memory medium. [0304]
  • The memory medium may be configured to store a software program for the operation of the system to determine at least two properties of a specimen. The software program may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others. For example, the software program may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired. A CPU, such as the host CPU, executing code and data from the memory medium may include a means for creating and executing the software program according to the methods described above. [0305]
  • Various embodiments further include receiving or storing instructions and/or data implemented in accordance with the foregoing description upon a carrier medium. Suitable carrier media include memory media or storage media such as magnetic or optical media, e.g., disk or CD-ROM, as well as signals such as electrical, electromagnetic, or digital signals, conveyed via a communication medium such as networks and/or a wireless link. [0306]
  • An embodiment relates to a system which may be configured to determine at least two properties of a specimen, which may include a presence of defects on the specimen and a thin film characteristic of the specimen. For example, a presence of defects may be determined on a front side or a back side of a specimen as described herein. The defects may also include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include copper contamination and/or resist contamination. In addition, the thin film characteristic may include a thickness of a film such as copper. The system may be configured as described herein. In addition, the processor of such a system may be configured to determine additional properties of the specimen from energy detected by a measurement device. In an embodiment, the measurement device may be configured as a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device. Such a system may be coupled to a chemical-mechanical polishing tool, a deposition tool, an etch tool, a cleaning tool such as a wet or dry stripping tool, or a thermal tool such as a furnace configured to perform rapid thermal processing (“RTP”) of a specimen as described herein. Examples of cleaning tools are illustrated in PCT Application No. WO 00/17907 and “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28[0307] th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein.
  • Spectroscopic ellipsometry may include focusing an incidence beam of polarized light on a specimen and monitoring a change in polarization of at least a portion of the beam propagating from the specimen across a broad spectrum of wavelengths. Examples of spectroscopic ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,412,473 to Rosencwaig et al., U.S. Pat. No. 5,581,350 to Chen et al., U.S. Pat. No. 5,596,406 to Rosencwaig et al., U.S. Pat. No. 5,596,411 to Fanton et al., U.S. Pat. No. 5,771,094 to Carter et al., U.S. Pat. No. 5,798,837 to Aspnes et al., U.S. Pat. No. 5,877,859 to Aspnes et al., U.S. Pat. No. 5,889,593 to Bareket et al., U.S. Pat. No. 5,900,939 to Aspnes et al., U.S. Pat. No. 5,917,594 to Norton, U.S. Pat. No. 5,973,787 to Aspnes et al., U.S. Pat. No. 6,184,984 to Lee et al., and are incorporated by reference as if fully set forth herein. Additional examples of spectroscopic ellipsometers are illustrated in PCT Application No. WO 99/02970 to Rosencwaig et al. and is incorporated by reference as if fully set forth herein. [0308]
  • A measurement device configured as a spectroscopic ellipsometer may include a polarizer, which may be coupled to the detection system. A beam propagating from the specimen pass through the polarizer. Prior to passing through the polarizer, the returned beam may have elliptical polarization. After passing through the polarizer, the beam may be linearly polarized. The reflected light then pass through an analyzer coupled to the detection system and into a dispersion element, or a spectrometer. The dispersion element may be configured to separate beam components having different wavelengths. The separated components of the beam may be detected by individual elements of a detector array. The polarizer is usually rotating such that a time varying intensity may be detected by the elements of the detector array. [0309]
  • A processor of the system may receive a signal responsive to the detected light from each element of the detector array and may process the signal as described herein. For example, an intensity of light at each element of the detector array may be converted to ellipsometric parameters, ψ and Δ, by mathematical equations known in the art. The ellipsometric parameters may be typically shown as tan ψ and cos Δ. Tan ψ is the amplitude of the complex ratio of the s and p components of the reflectivity of the sample, and Δ is the phase of the complex ratio of the s and p components of the reflectivity of the sample. The term “s component” is used to describe the component for the polarized radiation having an electrical field perpendicular to the plane of incidence of the reflected beam. The term “p component” is used to describe the component for the polarized radiation having an electrical field in the plane of incidence of the reflected beam. For very thin films, tan ψ may be independent of thickness, and A may be linearly proportional to the thickness. [0310]
  • Software integrated into the processor of the system may be configured to convert the ellipsometric parameters, ψ and Δ, to an optical property of a specimen using a mathematical, or optical, model. Typically, a personal computer having a software package operable to rapidly performing data-fitting calculations such as a least-squares fitting technique may be appropriate for this use. Because ellipsometric parameters including ψ and Δ may be determined at small increments across a broad spectrum of wavelengths and at several angles, several hundred data points may be included in the calculations. Several software packages configured for use with spectroscopic ellipsometers that are capable of handling such a large amount of data are commercially available. The processor that may be used to receive a signal responsive to the detected light from each element of the detector array may be also used to perform the iterative data-fitting calculations. Examples of such software packages may be incorporated into operating systems of spectroscopic ellipsometers, which have been included by reference above, and are typically commercially available. [0311]
  • There are several optical models that may be used to analyze ellipsometric data. Examples, of such models include, but are not limited to, a cauchy model, a harmonic oscillator model, and a polynomial series expansion model. An appropriate model, however, may be chosen based on specimen characteristics, desired optical properties of the specimen, and the computational difficulty associated with the model. For example, the cauchy model is a relatively straightforward mathematical model. The cauchy model, however, may not be valid for wavelengths at which a specimen exhibits absorption. Additionally, optical properties of several layers of a specimen may also be determined simultaneously by using an appropriate optical model or a combination of optical models. Therefore, when using spectroscopic ellipsometry to analyze a specimen, one or more optical models may be more appropriate for analysis than others. [0312]
  • Thicknesses, indexes of refraction, and extinction coefficients for a layer of a specimen, a portion of a layer of a specimen, or several layers of a specimen may be determined from ellipsometric parameters using an optical model. The index of refraction, “n,” is related to the speed of light as it moves through a medium and is dependent upon the wavelength of the light. The extinction coefficient, “k,” is also dependent upon wavelength and relates to absorption of light by a medium. The extinction coefficient may also be used to determine the absorption coefficient for a given wavelength. Further discussion of the ellipsometric parameters and the optical properties of materials is illustrated in U.S. Pat. No. 4,905,170 to Forouhi, et al. and is incorporated by reference as if fully set forth herein. [0313]
  • FIG. 22 illustrates an embodiment of a system configured to determine at least two properties of a specimen coupled to chemical-[0314] mechanical polishing tool 222. Chemical-mechanical polishing (“CMP”) may typically be used in the semiconductor industry to partially remove or planarize a layer on a specimen. Chemical-mechanical polishing may include holding and/or rotating a specimen against a rotating polishing platen under controlled pressure. Chemical-mechanical polishing tool 222 may include polishing head 224 configured to hold specimen 226 against polishing platen 228. Polishing head 224 may include a number of springs 230 or another suitable mechanical device, which may be configured to apply an adjustable pressure to a back side of specimen 226. Polishing head 224 may also be configured to rotate around a central axis of the polishing head. In addition, polishing head 224 may also be configured to move linearly with respect to the polishing platen.
  • [0315] Polishing platen 228 may also include a polishing pad 232. The polishing pad may have a back layer, which may be configured such that polishing pad 232 may be securely coupled to polishing platen 228. Polishing pad 232 may also have an upper layer which may be configured to contact and polish specimen 226. The upper layer of polishing pad 232 may include, for example, an open cell foamed polyurethane material or a polyurethane layer having a grooved surface. The upper layer may also include additional abrasive materials or particles configured to partially remove or polish specimen 226. Polishing platen 228 may also be configured to rotate around a central axis of the polishing platen. For example, polishing platen 228 may be configured to rotate in a first direction, and polishing head 224 may be configured to rotate in a second direction. The first direction may be substantially opposite to the second direction.
  • Chemical-[0316] mechanical polishing tool 222 may also include dispense system 234. The dispense system may be configured to automatically dispense a polishing chemical such as a chemical polishing slurry onto polishing pad 232. A chemical polishing slurry may include abrasive particles and at least one chemical. For example, abrasive particles may include fused-silica particles, and a chemical may include potassium hydroxide. Alternatively, polishing pad 232 may be sufficiently abrasive such that the chemical polishing solution may be substantially free of particles. Suitable combinations of a polishing chemical and a polishing pad may vary depending on, for example, a composition and a topography of an upper layer on specimen 226 which is being partially removed or planarized and/or a composition and a topography of an underlying layer.
  • A system configured to determine at least two properties of a specimen may include [0317] measurement device 220 coupled to chemical-mechanical polishing tool 222. The measurement device may be configured according to any of the embodiments described herein. For example, measurement device 220 may be a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a spectroscopic ellipsometer a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer. In addition, the measurement device may include any combination of the above devices. As such, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, optical elements of a first measurement device, for example, may also be optical elements of a second measurement device.
  • The measurement device may be coupled to the chemical-mechanical polishing tool such that the measurement device may be external to polishing [0318] platen 228. In this manner, the measurement device may be coupled to chemical-mechanical polishing tool 222 such that the measurement device may not interfere with the operation, performance, or control of the chemical-mechanical polishing process. For example, polishing platen 228 and polishing pad 232 may be retrofitted such that a small section of a substantially optically transparent material 236 may be disposed within the polishing platen and the polishing pad. The configuration of the chemical-mechanical polishing tool, however, may determine the placement and dimensions of the transparent material section 236.
  • The small section of [0319] transparent material 236 may transmit an incident beam of light from a light source of measurement device 220 outside the polishing platen to a surface of specimen 226 held in place by polishing head 224 and light propagating from a surface of specimen 226 to a detector of measurement device 220 external to the polishing platen. The optically transparent material 236 may have optical or material properties such that light from a light source of measurement device 220 and light propagating from a surface of specimen 226 may pass through the transparent sections of the polishing platen and the polishing pad without undesirably altering the properties of the incident and returned light beams.
  • Polishing chemicals such as chemical-polishing slurries, however, may include abrasive particles, chemicals, and material removed from the specimen, which may interfere with light from the light source and light propagating from a surface of the specimen. In an embodiment, therefore, the section of [0320] transparent material 236 may be configured to function as a self-clearing objective. The self-clearing objective may include an optical component configured to transmit light from a light source toward a surface of specimen 226. A self-clearing objective may also be configured to flow a substantially transparent fluid between the self-clearing objective and the specimen. The flowing fluid may be configured to remove abrasive particles, chemicals, and material removed from the specimen such that light may be transmitted from the measurement device to the specimen and from the specimen to a detector of the measurement device without undesirable alterations in the optical properties of the light. Examples of self-clearing objectives are illustrated in U.S. patent application Ser. Nos. 09/396,143, “Apparatus and Methods for Performing Self-Clearing Optical Measurements,” to Nikoonahad et al., and Ser. No. 09/556,238, “Apparatus and Methods for Detecting Killer Particles During Chemical Mechanical Polishing,” to Nikoonahad et al., and are incorporated by reference as if fully set forth herein. In this manner, the measurement device may be coupled to a stage (i.e., polishing platen 228) disposed within the process chamber and configured to support the specimen.
  • Examples of chemical-mechanical polishing systems and methods are illustrated in U.S. Pat. No. 5,730,642 to Sandhu et al., U.S. Pat. No. 5,872,633 to Holzapfel et al., U.S. Pat. No. 5,964,643 to Birang et al., U.S. Pat. No. 6,012,966 to Ban et al., U.S. Pat. No. 6,045,433 to Dvir et al., U.S. Pat. No. 6,159,073 to Wiswesser et al., and U.S. Pat. No. 6,179,709 to Redeker et al., and are incorporated by reference as if fully set forth herein. Additional examples of chemical-mechanical polishing systems and methods are illustrated in PCT Application Nos. WO 99/23449 to Wiswesser, WO 00/00873 to Campbell et al., WO 00/00874 to Campbell et al., WO 00/18543 to Fishkin et al., WO 00/26609 to Wiswesser et al., and WO 00/26613 to Wiswesser et al., and European Patent Application Nos. [0321] EP 1 022 093 A2 to Birang et al. and EP 1 066 925 A2 to Zuniga et al., and are incorporated by reference as if fully set forth herein. An additional example of an integrated manufacturing tool including electroplating, chemical-mechanical polishing, clean and dry stations is illustrated PCT Application No. WO 99/25004 to Sasson et al., and is incorporated by reference as if fully set forth herein.
  • An embodiment relates to a system that may be configured to determine at least two properties of a specimen including a presence of defects on a specimen and a critical dimension of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device and configured to determine at least a presence of defects and a critical dimension of the specimen from one or more output signals of the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. Such a system may be coupled to a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein. [0322]
  • In an embodiment, a system configured to determine at least a presence of defects on a specimen and a critical dimension of the specimen may be coupled to an etch tool as described herein. The presence of defects may include a presence of defects on a back side of the specimen. In addition, the system may be further configured to determine a number, a location, and/or a type of defects on the specimen. The system may be coupled to the etch tool such that at least a presence of defects on the specimen and a critical dimension of the specimen may be determined prior to and subsequent to an etch process or a step of an etch process. As described herein, at least one of the determined properties may be used to alter a parameter of one or more instruments coupled to a process tool. For example, a determined critical dimension of the specimen may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique or a feedback control technique. In addition, a determined presence of defects on the specimen may be used to alter a parameter of one or more instruments coupled to the lithography tool using a feedforward control technique of a feedback control technique. [0323]
  • In an embodiment, a system may be configured to determine at least two properties of a specimen including a critical dimension of the specimen and a thin film characteristic of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a critical dimension and a thin film characteristic of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a photo-acoustic device, a grazing X-ray reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. Such a system may be coupled to a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein. [0324]
  • In addition, a system configured to determine at least a critical dimension and a thin film characteristic of a specimen may be coupled to a chemical-polishing tool. For example, the processor may be configured to determine a critical dimension of a feature on the specimen from one or more output signals from a non-imaging scatterometer, a scatterometer, or a spectroscopic scatterometer. In addition, the processor may be configured to determine a thickness of a layer on the specimen from one or more output signals from a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a photo-acoustic device, and/or a grazing X-ray reflectometer. For example, an ellipsometer or a spectroscopic ellipsometer may be configured to generate one or more output signals responsive to a thickness of metal and semi-metallic layers having relatively thin thicknesses and relatively thick transparent layers. A photo-acoustic device may be configured to generate one or more output signals responsive to a thickness of relatively thin metal layers, and a grazing X-ray reflectometer may be configured to generate one or more output signals responsive to relatively thick and relatively thin layers. In this manner, a system, as described herein, may be configured to determine a thickness of layers having a broad range of thicknesses and materials. [0325]
  • The system may be coupled to a chemical-mechanical polishing tool according to any of the embodiments described herein. For example, the measurement device may be coupled to a polishing pad of a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen disposed upon the polishing pad. Alternatively, the measurement device may be coupled to a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen being disposed upon or removed from the polishing pad. For example, the measurement device may be coupled to a chemical-mechanical polishing tool such that a robot wafer handler may move below or above the measurement device. In an alternative embodiment, the measurement device may be coupled to a robotic wafer handler of a chemical-mechanical polishing tool. In this manner, the system may be configured to determine at least two properties of the specimen as the robotic wafer handler is moving the specimen. [0326]
  • In a further embodiment, the measurement chamber may coupled to and disposed laterally or vertically proximate an exit chamber of a chemical-mechanical polishing tool. An exit chamber of a chemical-mechanical polishing tool may include a water bath configured to receive a specimen subsequent to a chemical-mechanical polishing process. The water bath may be used to remove chemicals, slurry particles, and/or specimen particles remaining on the specimen subsequent to a chemical-mechanical polishing process. In this manner, the system may be configured to determine at least two properties of the specimen as the specimen is disposed within or moving through the exit chamber. [0327]
  • In an additional embodiment, the measurement device may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to a chemical-mechanical polishing tool, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more polishing chambers of a chemical-mechanical polishing tool. In addition, the measurement chamber may disposed laterally or vertically proximate a load chamber of a chemical-mechanical polishing tool. A load chamber of a chemical-mechanical polishing tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the chemical-mechanical polishing tool. A robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a chemical-mechanical polishing tool such as anywhere proximate the chemical-mechanical polishing tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a polishing pad and the system. [0328]
  • In an additional embodiment, a system may be configured to determine at least three properties of a specimen including a critical dimension of the specimen, a presence of defects on the specimen, and a thin film characteristic of the specimen. The defects may also include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include, but are not limited to, copper contamination and/or resist contamination. In addition, the thin film characteristic may include a thickness of a film such as copper. The system may be configured as described herein. For example, the system may also include a processor coupled to a measurement device and configured to determine at least a critical dimension, a presence of defects, and a thin film characteristic of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. Such a system may be coupled to a process tool such as a lithography tool, an etch tool, a deposition tool, or a plating tool as described herein. [0329]
  • In an embodiment, a system may be configured to determine at least two properties of a specimen including a presence of macro defects on the specimen and a presence of micro defects on the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a presence of macro defects and a presence of micro defects on the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. For example, the processor may be configured to determine a presence of subsurface defects such as voids from one or more output signals generated by a measurement device such an e-beam device, an X-ray reflectometer, or an X-ray fluorescence device. Such voids may be problematic, in particular for copper structures, if the voids fill with chemicals such as plating solutions, which may corrode the metal. In addition, the processor may be configured to determine a thickness of a metal layer such as copper on the specimen from one or more output signals generated by a measurement device such as an X-ray reflectometer and/or an X-ray fluorescence device. [0330]
  • Furthermore, the processor may be configured to determine a presence of macro defects on a backside of a specimen from one or more output signals generated by a measurement device such as an optical fluorescence device. The macro defects may include copper contamination and/or resist contamination. An optical fluorescence device may be configured to direct a beam of light to a surface of a specimen to induce fluorescence of the specimen. The directed beam of light may have a wavelength of approximately 364 nm. The wavelength of the directed beam of light may vary, however, depending upon, for example, a material that may be a defect. The optical fluorescence device may be further configured to detect fluorescence of the specimen and to generate one or more output signals in response to the detected fluorescence. A processor may be configured to determine a presence of macro defects, for example, by comparing detected fluorescence at multiple points on the specimen. [0331]
  • In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an optical profilometer, an e-beam device such as a scanning electron microscope or a tunneling electron microscope, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large-spot e-beam device, or any combination thereof. For example, an appropriate combination may include an eddy current imaging device and a relatively large-spot e-beam device. An eddy current imaging device may generate one or more output signals that may be used to as a qualitative excursion monitor for a presence of macro defects on a surface of the specimen. The eddy current imaging device may be configured as described herein. A large-spot e-beam device such as a scanning electron microscope may have relatively low resolution and a relatively low data rate. One or more output signals generated by such an e-beam device may include a voltage contrast that may vary depending upon a presence of defects such as macro defects on the surface of the specimen. An example of an e-beam device is illustrated in U.S. Patent Application entitled “Sectored Magnetic Lens,” by John A. Notte IV, filed on Jun. 15, 2001, which is incorporated by reference as if fully set forth herein. [0332]
  • Such a system may be coupled to any of the process tools as described herein. For example, the system may be coupled to a lithography tool or an etch tool as described herein. [0333]
  • In an embodiment, a system may be configured to determine at least two properties of a specimen including a presence of macro defects on at least one surface of the specimen and overlay misregistration of the specimen. The determined properties may also include a number, a location, and a type of macro defects present on at least one surface of the specimen. At least one surface of the specimen may include a back side and/or a front side of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a presence of macro defects and overlay misregistration of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties such as a critical dimension of a feature on the specimen from the one or more output signals. In an embodiment, the measurement device may include a scatterometer, a non-imaging scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, or any combination thereof. [0334]
  • Such a system may be coupled to any of the process tools as described herein. For example, the system may be coupled to a process tool such as a lithography tool, an etch tool, and a deposition tool. The system may be coupled to the process tool according to any of the embodiments as described herein. For example, the measurement device may be coupled to a process chamber of the process tool such that the system may determine at least two properties of a specimen disposed within the process chamber. Alternatively, the measurement device may be coupled to a process chamber of the process tool such that the system may determine at least two properties of a specimen being disposed within or removed from the process chamber. For example, the measurement device may be coupled to the process chamber such that a robot wafer handler may move below or above the measurement device. In an alternative embodiment, the measurement device may be coupled to a robotic wafer handler of the process tool. In this manner, the system may be configured to determine at least two properties of the specimen as the robotic wafer handler is moving the specimen. [0335]
  • In an additional embodiment, the measurement device may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to the process tool, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of the process tool. For example, the deposition tool may include a cluster of process chambers that may each be configured to perform substantially similar processes or different processes. In addition, the measurement chamber may disposed laterally or vertically proximate a load chamber of the process tool. A load chamber of a deposition tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the process tool. A robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a process tool such as anywhere proximate the process tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a process chamber and the system. [0336]
  • In addition, a parameter of one or more instruments coupled to a process tool may be altered in response to the properties determined by the system using a feedback control technique, an in situ control technique, and/or a feedforward control technique. For example, a presence of macro defects on the surface such as a presence of macro defects on a back side of a specimen determined by the system prior to, during, and/or subsequent to an etch process, a deposition process, and/or a chemical-mechanical process may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique. In this example, the determined presence of macro defects on the back side of the specimen may be used to alter a dose and focus condition of an exposure tool during exposure of the specimen during a lithography process. In an additional example, overlay misregistration of a specimen determined by the system prior to, during, and/or subsequent to an etch process and/or a deposition process may be used to alter a parameter of one or more instruments coupled to a lithography tool using a feedforward control technique. In this example, the determined overlay misregistration may be used to alter a lateral alignment of a reticle in an exposure tool during exposure of the specimen during a lithography process. [0337]
  • A deposition tool may be configured for chemical vapor deposition, as described below, or for physical vapor deposition. Physical vapor deposition may commonly be used in the semiconductor industry to form a layer of a conductive material upon a specimen such as a wafer. A physical vapor deposition tool may include a vacuum process chamber in which argon ions may be generated. In addition, a support device may be disposed within the process chamber. The support device may be configured to support a specimen during a physical vapor deposition process. In addition, a circular-shaped metal target may be disposed above the support device. The physical vapor deposition tool may also include an annular metal coil interposed between the support device and the metal target. The annular metal coil may be made of the same material as the metal target. A physical vapor deposition tool may also include voltage controller configured to supply a voltage to the metal target, the metal coil, and the support device. The voltage controller may be further configured to generate voltage biases between the metal target and the support device and between the support device and the metal coil. The voltage biases may cause argon ions to bombard the metal target and the metal coil to release metal atoms, which may then sputter onto a surface of a specimen on the support device. Examples of physical vapor deposition systems and methods are illustrated in U.S. Pat. No. 5,754,297 to Nulman, U.S. Pat. No. 5,935,397 to Masterson, U.S. Pat. No. 6,039,848 to Moslehi et al., U.S. Pat. No. 6,080,287 to Drewery et al., and U.S. Pat. No. 6,099,705 to Chen et al., and are incorporated by reference as if fully set forth herein. [0338]
  • A system, as described herein, may be coupled to a physical vapor deposition tool. For example, the system may be disposed within a measurement chamber. The measurement chamber may be configured as described herein. The measurement chamber may be located proximate a process chamber of the physical vapor deposition tool. Alternatively, the system may be coupled to a process chamber of the physical vapor deposition tool. In this manner, the system may be integrated into a physical vapor deposition tool. As such, the system may be configured to determine at least two properties of a specimen prior to, during, or subsequent to a physical vapor deposition process. Such arrangements of a system and a process chamber are described with reference to and illustrated in, for example, FIGS. 17 and 18. [0339] Process chambers 180 and 188, as illustrated in FIGS. 17 and 18, may be configured differently than shown such that the process chamber may be configured for a physical vapor deposition process. For example, process chamber 180 may not include dispense system 186 and, instead, may include various devices and components as described above. Furthermore, a system may be coupled to a wafer handler of a physical vapor deposition tool. Therefore, the system may be configured to determine at least two properties of a specimen while the specimen is being moved into a process chamber or out of a process chamber of a physical vapor deposition tool.
  • Plating may commonly be used in the semiconductor industry to form a layer of metal upon a specimen such as a wafer. A plating tool may include a process chamber such as a plating bath. A plurality of support devices may be disposed within the plating bath. Each of the support devices may be configured to support a specimen during a plating process. The plating tool may also include a cathode electrode arranged above and in contact with an upper surface of a specimen. In addition, the plating tool may include an anode electrode located beneath the specimen. A plating solution may flow into the plating bath from an inlet port and may be ejected upwardly onto a surface of a specimen. Furthermore, the plating tool may include a heater configured to heat the plating solution during a plating process. Controlling the temperature of the plating solution may be critical to forming a metal layer without defects such as structural changes, hardening, and/or plating burn of the layer. In addition, characteristics of a metal layer formed on a specimen may vary depending on additional characteristics of the plating solution. For example, the characteristics of a layer of plated metal may depend on a metal ion concentration in the plating solution, the pH level of the plating solution, and the specific gravity of the plating solution. An example of a system and a method for plating specimens is illustrated in U.S. Pat. No. 5,344,491 to Katou, and is incorporated by reference as if fully set forth herein. [0340]
  • As described herein, a system may be coupled to a plating tool. For example, the system may be disposed within a measurement chamber. The measurement chamber may be configured as described herein. The measurement chamber may be located proximate a process chamber of the plating tool. Alternatively, the system may be coupled to a process chamber of the plating tool. Therefore, the system may be configured to determine at least two properties of a specimen prior to, during, or subsequent to a plating process. Such arrangements of a system and a process chamber are described with reference to and illustrated in, for example, FIGS. 17 and 18. [0341] Process chambers 180 and 188, as illustrated in FIGS. 17 and 18, may be configured differently than shown such that the process chamber may be configured for a physical vapor deposition process. For example, process chamber 180 may not include dispense system 186 and, instead, may include various devices and components as described above. In addition, a system may be coupled to a wafer handler of a plating tool as described herein. As such, a system may be configured to determine at least two properties of a specimen while a specimen is being disposed within or removed from a process chamber of a plating tool.
  • An embodiment relates to a system which may be configured to determine at least a flatness measurement of the specimen, a presence of defects on the specimen, and a thin film characteristic of a specimen. The defects may include subsurface defects and/or a presence of macro defects on a backside of a specimen, which may include, but are not limited to, copper contamination and/or resist contamination. In addition, the thin film characteristic may include a thickness of a film such as copper. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least a flatness measurement of the specimen, a presence of defects on the specimen, and a thin film characteristic of a specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a double dark field device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. [0342]
  • Such a system may be coupled to a chemical-mechanical polishing tool as described above. In this manner, the system may be configured to determine at least the three properties of a specimen prior to, during, or subsequent to a chemical-mechanical polishing process. Alternatively, such a system may be disposed within a measurement chamber, which may be configured as described herein. The measurement chamber may be located proximate the chemical-mechanical polishing tool. Therefore, such a system may be configured to determine at least the three properties of the specimen prior to or subsequent to a chemical-mechanical polishing process. Therefore, the flatness measurement of a specimen may include a measure of stress-induced curvature of a specimen due to a chemical-mechanical polishing process. In addition, the processor may be configured to alter a parameter of an instrument coupled to a chemical-mechanical polishing tool in response to the flatness measurement using a feedforward control technique. For example, the processor may be configured to alter a pressure of the polishing head coupled to the chemical-mechanical polishing tool in response to the flatness measurement using a feedforward control technique. In addition, the polishing head may be configured such that pressure across the polishing head may vary from zone to zone. Therefore, altering a pressure of the polishing head may include altering a pressure of one or more zones of the polishing head. In this manner, a system as described herein may be used to increase a planarity of an upper surface of the specimen subsequent to chemical-mechanical polishing. [0343]
  • Alternatively, such a system may be coupled to a thermal tool such as a furnace or a rapid thermal annealing furnace. As such, the flatness measurement of a specimen may include a measure of stress-induced curvature of a specimen due to thermal processing. In addition, such a system may also be coupled to an etch tool, a lithography tool, or a wafer manufacturing tool as described herein. [0344]
  • In an embodiment, a system may be configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen. The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device. The processor may be configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an interferometer, an optical profilometer, a dual beam spectrophotometer, a beam profile ellipsometer, or any combination thereof. The system may be further configured to determine at least an overlay misregistration of a specimen and a flatness measurement of the specimen sequentially or substantially simultaneously. For example, the system may be coupled to a lithography tool as described herein. In addition, the system may be configured to determine at least a flatness measurement of the specimen prior to an exposure step of a lithography process. The system may also be configured to determine an overlay misregistration of a specimen prior to the exposure step. [0345]
  • As described herein, a system may be configured to determine at least a characteristic of an implanted region of the specimen and a presence of defects on the specimen. The system may be configured as described herein. For example, the system may include a processor configured to determine at least a characteristic of an implanted region of the specimen and a presence of defects on the specimen from one or more output signals generated by a measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, a dual beam spectrophotometer, or any combination thereof. [0346]
  • An ion implantation process typically involves producing a beam of ions and driving at least some of the ions into a semiconductor substrate. The implantation of ions into a semiconductor substrate may alter electrical properties of the semiconductor substrate. The electrical properties of the implanted semiconductor substrate may vary depending on a concentration of ions implanted into the semiconductor substrate. The electrical properties of the implanted semiconductor substrate may also vary depending on the depth of the implanted portion of the semiconductor substrate and the distribution of the implanted ions as a function of thickness. Such characteristics of the implanted region of the semiconductor substrate may vary depending on a number of factors including, but not limited to, a type of the ions, implantation energy, implantation dose, and masking materials formed on the semiconductor substrate. [0347]
  • In some embodiments, an optical property of an upper, middle, or lower portion of the masking material may be used to determine a characteristic of implanted ions in the masking material such as depth of the implanted ions or a characteristic of the implantation process such as implantation energy. For example, during an ion implantation process, ions will be driven into the masking material. The implantation of ions into the masking material may cause physical damage to an upper surface of the masking material, and ions driven into the masking material may reside in the middle portion of the masking material. The depth to which implantation of ions causes damage to the upper portion of the masking material may be a function of the energy of the ions. The depth to which the ions are driven into the masking material may also be a function of the energy of the ions. For example, higher energy implantation processes may cause more damage to an upper portion of the masking material and may drive the ions farther into the masking material than lower energy ion implantation process. Therefore, the depth of the upper and middle portions of the masking material may be related to the implant energy of the ion implantation process. The depth of the upper and middle portions of the masking material may also be related to other process conditions of the ion implantation such as the species of ions being implanted or the implant dose. In addition, the measured thickness of the lower portion of the masking material may also vary depending upon ion implantation energy. The thickness of the upper, middle, and lower portions may be determined by measuring an optical property of the masking material. The implantation of ions into the masking material or the implanted masking material resulting from the ion implantation process may, therefore, be determined as a function of the measured optical property of the masking material. [0348]
  • In additional embodiments, an implanted masking material may be analyzed as a single, substantially homogenous, layer. Therefore, an optical property of substantially an entire implanted masking material may also be measured. The entire implanted masking material may include the upper, middle, and lower portions of the implanted masking material as described above. The individual optical properties of the upper, middle, and lower portions may, therefore, be effectively included in the measurement of the optical property of the entire implanted masking material. For example, an optical property of the entire implanted masking layer may include added or averaged optical properties of individual layers. An optical property of a masking material measured as a single layer may be used to determine the ion implantation conditions. In one example, an optical property of substantially the entire thickness of the masking material may be compared to an optical property of substantially the entire thickness of the masking material prior to ion implantation. Therefore, the comparison of the optical properties may indicate a change in the optical property of the masking material subsequent to the ion implantation. A change in the optical property of the masking material may be attributed to implanted ions present in the masking material subsequent to an implantation process. In addition, an optical property of substantially the entire implanted masking material may also be compared to an optical property of substantially an entire masking material implanted using known conditions. In this manner, comparing the optical properties of the two implanted masking materials may indicate if the ion implantation process is drifting over time or across several semiconductor substrates. [0349]
  • In one embodiment, the optical property of the masking material may be a thickness, an index of refraction (or refractive index), or an extinction coefficient of the masking material or a portion of the masking material. The optical property of the masking material may be measured using a broadband radiation technique such as spectroscopic ellipsometry or spectroscopic reflectometry. The thickness of the masking material may also be measured separately using an additional optical technique such as dual-beam spectrophotometry. Examples of dual-beam spectrophotometry methods and systems are illustrated in U.S. Pat. No. 5,652,654 to Asimopoulos, U.S. Pat. No. 5,699,156 to Carver, and U.S. Pat. No. 5,959,812 to Carver, and are incorporated by reference as if fully set forth herein. Additionally, several optical properties of the masking material may be measured simultaneously. For example, a thickness of the upper, middle, and lower portions of the implanted masking material may be measured simultaneously. In addition, an index of refraction and an extinction coefficient may be measured simultaneously for an implanted masking material or a portion of an implanted masking material. Depending on the number of optical properties measured, several characteristics of the ion implantation process and/or the implanted masking material may also be determined simultaneously. Characteristics of the ion implantation process may include, but are not limited to, implant dose, implant energy, and implant species. Characteristics of the implanted masking material may include, but are not limited to, concentration of the implanted ions in the masking material and the presence of implanted ions in the masking material. [0350]
  • In an embodiment, the measured optical property of the implanted masking material may also be used to determine a characteristic of an implanted portion of the semiconductor substrate. The implanted portion of the semiconductor substrate may be formed during the implantation of ions into the masking material or during subsequent ion implantation processes. Characteristics of an implanted portion of a semiconductor substrate may include a depth of the implanted portion, a concentration of ions in the implanted portion, and a distribution of implanted ions as a function of the thickness of the implanted portion. Such characteristics may be a function of a measured optical property of the masking material. The function may describe a relationship between the optical property of the implanted masking material and the implantation of ions into the semiconductor substrate. The function may be determined experimentally by implanting a masking material and a portion of a semiconductor substrate simultaneously. The optical property of the implanted masking layer and the electrical properties of the implanted portion of the semiconductor substrate may then be measured. The electrical properties of the implanted portion of the semiconductor substrate may be related to characteristics of the implantation of ions into the semiconductor substrate such as depth of the implanted portion or distribution of the implanted ions as a function of thickness of the semiconductor substrate. A number of wafers may be processed and measured in this manner in order to generate a set of data that may be used to determine a functional relationship between an optical property of an implanted masking material and a characteristic of implanted ions in a semiconductor substrate. [0351]
  • Alternatively, the functional relationship may include a mathematical or theoretical model that describes a relationship between implantation in a masking material and implantation into a semiconductor substrate. For example, a mathematical or theoretical model may be used to determine the depth of an implanted portion of a semiconductor substrate using implant energy, implant dose, or depth of the implanted region of the masking material as determined from an optical property of the implanted masking material. An example of a method for using spectroscopic ellipsometry and spectroscopic reflectometry to monitor ion implantation is illustrated in U.S. patent application Ser. No. 09/570,135, “Method of Monitoring Ion Implants by Examination of an Overlying Masking Material” to Strocchia-Rivera, filed on May 12, 2000, and is incorporated by reference as if fully set forth herein. [0352]
  • Optical evaluation of an ion implantation process may provide several advantages over current methods to evaluate an ion implantation process. For example, an optical method may provide non-destructive testing and may not interfere with processing of a semiconductor substrate or the performance of a fabricated semiconductor device. Furthermore, optical evaluation of the masking material may not require additional processing such as annealing of the semiconductor substrate on which the masking material is formed. Therefore, evaluation of an ion implantation process using an optical method such as a broadband radiation technique may be performed during the ion implantation process. [0353]
  • In an embodiment, a system configured to evaluate an ion implantation process as described herein may coupled to an ion implanter. The system may include a measurement device as described herein. The measurement device may be coupled to a process chamber of the ion implanter as shown, for example, in FIG. 17. The measurement device may be coupled to the ion implanter such that the measurement device may be external to the ion implanter. In this manner, exposure of the components of the measurement device to chemical and physical conditions within the ion implanter may be reduced, and even eliminated. Furthermore, the device may be externally coupled to the ion implanter such that the measurement device does not interfere with the operation, performance, or control of the ion implantation process. [0354]
  • The measurement device, however, may be configured to focus an incident beam of broadband radiation onto a specimen in the ion implanter. The measurement device may also be configured to detect at least a portion of a beam of broadband radiation returned from the specimen. For example, a process chamber of an ion implanter may include small sections of a substantially optically transparent material disposed within walls of the process chamber. The small sections of transparent material may be configured to transmit the incident and returned beams of broadband radiation from an illumination system outside the process chamber to a specimen within the process chamber and from the specimen to a detection system outside the process chamber. The optically transparent material may be further configured to transmit incident and returned beams of light without undesirably altering the optical properties of the incident and reflected beams. An appropriate method for coupling a measurement device to an ion implanter may vary, however, depending upon, for example, a configuration of the ion implanter. For example, placement and dimensions of the transparent material sections disposed within the walls of the process chamber may depend on the configuration of the components within the process chamber. Therefore, a measurement device coupled to an ion implanter may be configured to measure optical properties of the masking material, optical properties of a portion of the masking material, optical properties of a multi-layer masking stack, or optical properties of the specimen during the implantation process. [0355]
  • In an additional embodiment, the system may also include a processor coupled to the measurement device and the ion implanter. The processor may be configured to interface with the measurement device and the ion implanter. For example, the processor may receive signals and/or data from the ion implanter representative of parameters of an instrument coupled to the ion implanter. The processor may also be configured to receive signals and/or data from the measurement device representative of light returned from the specimen or at least one property of the implanted region of a specimen. Additionally, the processor may be further configured to control the measurement device and the ion implanter. For example, the processor may alter a characteristic of the implanted region of the specimen by altering a parameter of an instrument coupled to the ion implanter. Therefore, the system may monitor and control the implantation of ions during a process. [0356]
  • In an additional embodiment, the system may be configured to monitor or measure variations in at least one optical property of the implanted masking material. For example, the measurement device may be configured to measure an optical property of the implanted masking material substantially continuously or at predetermined time intervals during an ion implantation process. The processor may, therefore, receive one or more output signals from the measurement device that may be representation of light returned from the specimen. The processor may also monitor variations in the one or more output signals over the duration of the ion implantation process. By analyzing variations in the one or more output signals during implantation, the processor may also generate a signature representative of the implantation of the ions into the masking material. The signature may include at least one singularity that may be characteristic of an endpoint of the ion implantation process. An appropriate endpoint for an ion implantation process may be a predetermined concentration of ions in a masking material or in a specimen. In addition, the predetermined concentration of ions may vary depending upon the semiconductor device feature being fabricated by the ion implantation process. After the processor has detected the singularity of the signature, the processor may stop the implantation of ions by altering a level of a parameter of an instrument coupled to the ion implanter. [0357]
  • In an embodiment, a method for fabricating a semiconductor device may include implanting ions into a masking material and a semiconductor substrate. The masking material may be arranged on the semiconductor substrate such that predetermined regions of the semiconductor substrate may be implanted with ions. For example, portions of the masking material may be removed by a lithography process and/or etch process to expose regions of the semiconductor substrate to an implantation process. During an ion implantation process, typically, an entire scanned may be scanned with a beam of dopant ions. Therefore, the remaining portions of masking material may inhibit the passage of dopant ions into underlying regions of the semiconductor substrate during an ion implantation process. As such, patterning the masking material may provide selective implantation of ions into exposed regions of the specimen. [0358]
  • The exposed regions may be regions of a specimen in which features of a semiconductor device are to be formed. For example, a dielectric material overlying a channel region of a gate during an ion implantation process may prevent implantation of ions into the gate conductor or the channel region beneath the gate conductor. The exposed regions of the specimen may, therefore, correspond to a particular feature of the semiconductor device being fabricated such as a junction region. Alternatively, ions may be implanted through a masking material and into underlying regions of the semiconductor substrate. In this manner, the masking material may include a thin gate dielectric material arranged over junction regions of a transistor. Implantation of ions through a masking material may enhance the electrical properties of the implanted region of the semiconductor substrate, for example, by randomizing the directional paths of the ions which are being driven into the specimen. The masking material may also be formed over a substantially planar specimen or over a non-planar specimen. [0359]
  • Fabricating a semiconductor device may also include monitoring implantation of ions into the semiconductor substrate by measuring at least one optical property of the masking material during the ion implantation process. The optical property of the masking material may be altered by the implantation of ions into the masking material. As such, the method for fabricating a semiconductor device may also include determining at least one characteristic of the implanted ions in the semiconductor substrate. The characteristic may be determined, for example, using a function that describes a relationship between the optical property of the implanted masking material and the implantation of ions into the semiconductor substrate. [0360]
  • In an embodiment, any material that may be substantially transparent to at least a portion of the light produced by a measurement device, as described above, may be used as a masking material for evaluation of an ion implantation process involving measurement of optical properties of a masking material. In one embodiment, the masking material may be a resist. An appropriate resist may include photoresist materials that may be patterned by an optical lithography technique. Other resists, however, may also be used such as e-beam resists or X-ray resists, which may be patterned by an e-beam or an X-ray lithography technique, respectively. In another embodiment, the masking material may include an inorganic material. Inorganic masking materials that may be used to inhibit ion implantation include, but are not limited to, silicon dioxide, silicon nitride, titanium nitride, polycrystalline silicon, cobalt silicide, and titanium silicide. The inorganic masking material may be formed by deposition techniques, such as chemical vapor deposition, or thermal growth techniques. The inorganic masking materials may be patterned using an etch technique. [0361]
  • In another embodiment, the masking material may include two or more layers of different masking materials arranged in a stack. For example, the masking material may include a resist formed upon an inorganic material. The inorganic material may be include any material that inhibits the implantation of ions through the masking material. When used as part of a masking material, the inorganic material may not be transparent or may not exhibit any substantial changes in optical properties when exposed to ions. The subsequent optical analysis may be done on the overlying resist material rather than on the underlying inorganic masking material. The inorganic material may be formed on a specimen prior to coating the specimen with a resist. This additional inorganic material, in combination with an overlying resist, may serve as the masking stack. An appropriate masking material may vary depending on, for example, an ion implantation process or an ion implanter configuration. [0362]
  • During ion implantation processes, and especially in processes using relatively high dosage levels, a semiconductor substrate may be significantly damaged due to the implantation of dopant ions into regions of the semiconductor substrate. For example, an implanted region of such a damaged semiconductor substrate may include of an upper crystalline damaged layer and an intermediate layer of amorphous silicon. The damage in the upper crystalline layer may be caused, for example, by electronic collisions between atoms of the semiconductor substrate and the implanted ions. Displacement damage, however, may not be produced if ions entering the semiconductor substrate do not have enough energy per nuclear collision to displace silicon atoms from their lattice sites. Increasing the dose of ions, and in particular relatively heavy ions, may produce an amorphous region in which the displaced atoms per unit volume may approach the atomic density of the semiconductor substrate. As the implant dose of the ion implantation process increases, the thickness of the amorphous layer may also increase. The presence of an amorphous layer of silicon may act as a boundary that may reflect optical radiation. Reflection of light by the amorphous layer may also effect the reflectance and ellipsometric measurements. Therefore, measurement of an optical property of the amorphous silicon layer may also be used to monitor the processing conditions of an ion implantation process. [0363]
  • In an embodiment, an optical property of an implanted portion of a semiconductor substrate may be measured. The optical property may be a thickness, an index of refraction, or an extinction coefficient of the implanted portion. In addition, several optical properties of the implanted portion of the semiconductor substrate may be measured substantially simultaneously. The optical property of the implanted portion of the semiconductor substrate and the optical property of the implanted masking material may also be measured substantially simultaneously. A characteristic of the implanted ions in the semiconductor substrate may be determined from the measured optical property of the implanted portion of the semiconductor substrate. This characteristic may, therefore, be related to the implantation of ions into a portion of the semiconductor substrate or a characteristic of the resulting implanted semiconductor substrate. For example, the characteristic may be an implant energy, an implant dose, or an implant species of the ion implantation process. In addition, the characteristic may be a concentration of ions, a depth, a distribution of the implanted ions as a function of thickness, or a presence of the implanted ions in the implanted portion of the semiconductor substrate. In addition, optical properties of the implanted portion of the semiconductor substrate may be used to determine several characteristics substantially simultaneously, which may include, but are not limited to, any of the characteristics as described above. A characteristic of the semiconductor substrate and a characteristic of the implanted ions in the masking material may also be determined substantially simultaneously. [0364]
  • In an additional embodiment, optical properties of the implanted portion of the semiconductor substrate may be measured using a broadband wavelength technique as described herein. For example, a measurement device, as described herein, may be configured to use a broadband wavelength technique to measure optical properties of an implanted portion of a semiconductor substrate. Additionally, the measurement device may be coupled to an ion implanter as described above such that measuring an optical property of the implanted portion of the semiconductor substrate may be performed during an ion implantation process. Therefore, variations in an optical property of the implanted portion of the semiconductor substrate may also be measured during an ion implantation process. In this manner, a signature characterizing the implantation of ions into the semiconductor substrate may be obtained. This signature may include a singularity characteristic of an end of the implantation process. As described above, an appropriate endpoint may be, for example, a predetermined concentration of ions in the semiconductor substrate. An appropriate processor, as described herein, may then reduce or substantially stop processing of the semiconductor substrate by controlling the ion implanter. [0365]
  • In an embodiment, the measured optical properties of the implanted masking material may be used to determine processing conditions for subsequent ion implantation processes of additional specimens such as additional semiconductor substrates or semiconductor device product wafers. For example, the implant energy of the implantation of ions into the masking material may be determined using the measured optical property of the implanted masking material. The determined implant energy may be used to determine depth of an implanted portion of a semiconductor substrate during an ion implantation process. The depth of the implanted portion of the semiconductor substrate may also be determined from a measured optical properties of the implanted portion of the semiconductor substrate. [0366]
  • The determined depth of the implanted portion of the semiconductor substrate may be less than a predetermined depth. The predetermined depth may vary depending on, for example, a feature fabricated during the ion implantation process. Therefore, before processing additional semiconductor substrates, or product wafers, the implant energy or another process condition of the ion implantation process may be altered such that a depth of an implanted portion of the additional semiconductor substrates may be approximately equal to the predetermined depth. For example, an implant energy of the ion implantation process may be increased to drive the ions deeper into the semiconductor substrate. In this manner, measured optical properties of a masking material may be used to determine and alter process conditions of an ion implantation process using a feedback control technique. In an additional embodiment, measured optical properties of an implanted portion of a semiconductor substrate may be used to determine and alter process conditions of an ion implantation process using a feedback control technique. [0367]
  • In an additional embodiment, measured optical properties of an implanted masking material may be used to determine process conditions of additional semiconductor fabrication processes that may be performed subsequent to an ion implantation process. Additional semiconductor fabrication processes may include, but are not limited to, a process to anneal the implanted regions of a semiconductor substrate and a process to remove the masking material. For example, an implant energy of an ion implantation process may be determined using a measured optical property of an implanted masking material. The determined implant energy may be used to determine a depth that ions may be implanted into a semiconductor substrate using the ion implantation process. Alternatively, a depth of the implanted portion of a semiconductor substrate may also be determined using a measured optical property of the implanted semiconductor substrate. [0368]
  • The determined depth of the implanted portion of the semiconductor substrate may be greater than a predetermined depth. Process conditions of an annealing process performed subsequent to the ion implantation process, however, may be optimized for the predetermined. Therefore, before annealing an implanted semiconductor substrates having the determined depth, a process condition of the annealing process such as anneal time or anneal temperature may be altered. In this example, the anneal time of the annealing process may be increased to ensure substantially complete recrystallization of the amorphous layer formed in the semiconductor substrate by the ion implantation process. In this manner, measured optical properties of a masking material may be used to determine process conditions of a semiconductor fabrication process performed subsequent to an ion implantation process using a feedforward control technique. Measured optical properties of an implanted portion of a semiconductor substrate may also be used to determine process conditions of a semiconductor fabrication process performed subsequent to an ion implantation process using a feedforward control technique. [0369]
  • A set of data that may include measured optical properties of a masking material may be collected and analyzed. The set of data may be used to determine processing conditions of an ion implantation process or to monitor the processing conditions over time. Process control methods as described herein may also be used in conjunction with electrical testing of an implanted region of a semiconductor substrate. The combination of optical and electrical analysis may provide a larger amount of characterization data for an ion implantation process. The characterization data may be used to assess the mechanisms of ion implantation, to determine the cause of defects, and to alter process conditions. In addition, this process control strategy may be used to qualify, or characterize the performance of, a new ion implanter. Furthermore, this process control strategy may be used to determine an appropriate masking material and masking material thickness in development of an ion implantation process. The process control method may also be used to compare the performance of two or more ion implanters. Such a process control method may be used in a manufacturing facility in which several ion implanters may be used in parallel to manufacture one type of device or product. [0370]
  • In an embodiment, a system may be configured to determine at least an adhesion characteristic of a specimen and a thickness of the specimen. The system may be configured as described herein. For example, the system may also include a processor coupled to a measurement device. In addition, the processor may be configured to determine other properties of the specimen from the detected light. In an embodiment, the measurement device may include a photo-acoustic device, a spectroscopic ellipsometer, an ellipsometer, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, an eddy current device, an acoustic pulse device, or any combination thereof. The processor may be configured to determine at least an adhesion characteristic and a thickness of the specimen from one or more output signals from the measurement device. [0371]
  • In an embodiment, an acoustic pulse device or a photo-acoustic device may be configured to use acoustic pulses to characterize a layer formed upon a specimen. For example, acoustic pulses may be used to determine a thickness of a layer such as a metal disposed on a specimen. An advantage of an acoustic pulse device is that measuring a property of a layer formed on a specimen with the device is substantially non-destructive. An acoustic pulse device may be configured to apply a laser pulse to a specimen. The laser pulse may be absorbed within one absorption length from an upper surface of the layer thereby causing a rise in local surface temperature. Depending on temperature coefficient of expansion (expansivity) of a layer, the layer may undergo thermal stresses, which may generate an elastic pulse in the layer. The elastic pulse may propagate across the layer at approximately the velocity of sound. The time of flight for the elastic pulse across the layer may be measured and may be used to determine a thickness of the layer. Measuring the time of flight for the elastic pulse may include steps of the methods described below. [0372]
  • In one embodiment, a laser pulse of radiation may be applied to a first surface area of a specimen to non-destructively generate an elastic pulse in the specimen. The elastic pulse may cause the first surface area to move. The acoustic pulse device may include an interferometer configured to detect an acoustic echo of the pulse traversing the specimen. The interferometer may also be configured to provide a pair pulses including a probe pulse and a reference pulse of radiation. The interferometer may be further configured to direct the probe pulse to the first surface area when it is moved by the elastic pulse and a reference pulse to a second surface area. The second surface area may be laterally spaced from the first surface area. The interferometer may also be configured to monitor the reflection of the pulses off of the surface of the specimen. The reflection of the pair of pulses may be used to determine a thickness of a layer on the specimen. For example, a processor of the system may be configured to determine a thickness of the layer using one or more output signals from the interferometer. [0373]
  • In an embodiment, a method for non-destructively measuring properties of a specimen may include directing a pump pulse of radiation to a first surface area of the specimen to non-destructively generate an elastic pulse in the specimen. The generated elastic pulse may cause the first surface area to move. The method may also include directing a probe pulse and a reference pulse of radiation to the specimen using an interferometer. Directing the probe and reference pulses may include directing the probe pulse to the first surface area when it is moved by the elastic pulse and directing the reference pulse to a second surface area. The second surface area may be laterally spaced from the first surface area. In addition, the method may include monitoring reflections of the probe and reference pulses. The method may also include determine a thickness of a layer on the specimen. Both of the above described acoustic-pulse methods are described in further detail in U.S. Pat. No. 6,108,087 to Nikoonahad et al. and U.S. patent application Ser. No. 09/310,017, both of which are incorporated by reference as if fully set forth herein. Other methods for measuring films using acoustic waves are also described in U.S. Pat. No. 6,108,087. [0374]
  • In another embodiment, an acoustic pulse device may be configured to determine a thickness of a layer by using a probe pulse and a reference pulse that are substantially in phase with each other. The in-phase pulses may be used to measure an acoustic echo created by a pump pulse applied to an area of the layer. The applied pump pulse may create an elastic pulse that may propagate through the layer. The probe pulse may be directed to the area of the specimen through which the elastic pulse propagates. The reference pulse may be directed to substantially the same surface area or a different surface area of the sample such that the pair of pulses may be modified by the specimen. The modified pulses may interfere at a detector. For example, at least one of the pulses may be modulated in phase or frequency before or after modification by the sample and prior to detection by the detector. By processing one or more output signals from the detector, a thickness of a layer on the specimen may be determined. [0375]
  • In one embodiment, an optical delay may be used to alter a time relationship between the pump pulse and the probe pulse. In this manner, the probe pulse may be directed to the specimen surface when it is influenced by the elastic pulse created by the pump pulse. The reference and probe pulses may be directed along substantially the same optical path between an optical source and a detector. Such a configuration may reduce, and even minimize, random noise in one or more output signals of the detector, which may be caused, for example, by environmental factors. Such a configuration is further described in U.S. patent application Ser. No. 09/375,664, which is incorporated by reference as if fully set forth herein. [0376]
  • Acoustic pulse devices, as described above, may be incorporated into any of the systems and/or process tools as described herein. [0377]
  • In an embodiment, a system may be configured to determine at least a concentration of an element in a specimen and a thickness of a layer on the specimen. The system may be configured as described herein. For example, the system may also include a processor coupled to a measurement device. The processor may be configured to determine at least a concentration of an element in a specimen and a thickness of a layer formed on the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the detected light. In an embodiment, the measurement device may include a photo-acoustic device, an X-ray reflectometer, a grazing X-ray reflectometer, an X-ray diffractometer, an eddy current device, a spectroscopic ellipsometer, an ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a coherence probe microscope, an interference microscope, an optical profilometer, an eddy current device, or any combination thereof. [0378]
  • An X-ray reflectance (“XRR”) technique may be used to measure a property of a specimen such as a concentration of an element in a thickness of a layer or at an interface between layers on a specimen. X-ray reflectance may also be used to determine a thickness of a layer or an interface between layers on a specimen. Layers which may be measured by X-ray reflectance may include layers substantially transparent to light such as dielectric materials and layers substantially opaque to light such as metals. X-ray reflectance may include irradiating a surface of a specimen with X-rays and detecting X-rays reflected from the surface of the specimen. A thickness of a layer may be determined based on interference of X-rays reflected from the surface of the specimen. In addition, reflection of X-rays from the surface of the specimen may vary depending on refractive index changes at a surface of a layer on the specimen and at an interface between layers on the specimen and the density of the layer or of the interface. Therefore, a complex refractive index in an X-ray regime may be directly proportional to a density of a layer. In this manner, a concentration of an element in a layer or at an interface between layers may be determined based on the density and thickness of the layer. [0379]
  • X-ray reflectance may be performed at different angles of incidence depending upon, for example, characteristics of a specimen. An X-ray reflectance curve may be generated by a processor using one or more output signals responsive to the detected X-rays reflected from the surface of the specimen. The X-ray reflectance curve may include an average reflectance component, which may be caused by bulk properties of the specimen. The average reflectance component may be subtracted from the one or more output signals such that an interference oscillation component curve may be generated. Parameters of the interference oscillation component curve may be converted, and a Fourier transform may be performed. A thickness of a layer may be determined by a position of a peak of a Fourier coefficient, F(d). In addition, a peak intensity of the Fourier coefficient, F(d), may be used to determine a layer density or an interface density. For example, a relationship between a peak intensity of a Fourier coefficient and a layer density may be simulated and may be used to determine a layer density. Alternatively, a layer density may be determined based on the X-ray reflectance curve by fitting the curve to model data using a mathematical method such as a nonlinear least squares curve-fitting method. In such a method, several of the fitted parameters may be inter-related. Therefore, parameters that may be substantially constant across specimens may be fixed at average values in order to prevent multiple solutions. [0380]
  • A concentration of an element on a surface of a layer or at an interface between layers may be determined by using data that may describe a relationship between interface layer density and concentration. The data may be generated by another analytical technique such as secondary ion mass spectroscopy (“SIMS”). SIMS may involve removing material from a sample by sputtering ions from the surface of the sample and analyzing the sputtered ions by mass spectrometry. Examples of SIMS techniques are illustrated in U.S. Pat. No. 4,645,929 Criegern et al., U.S. Pat. No. 4,912,326 to Naito, U.S. Pat. No. 6,078,0445 to Maul et al., and U.S. Pat. No. 6,107,629 to Benninghoven et al., and are incorporated by reference as if fully set forth herein. In this manner, a plurality of samples having various elemental concentrations may be prepared. The samples may be analyzed by XRR to determine density of the layer or interface of interest and may also be analyzed by SIMS to determine a concentration of the layer or interface of interest. A relationship between density and concentration may then be determined. The determined relationship may be used to determine concentration of an element on a surface of a layer or at an interface between layers in additional specimen. [0381]
  • A device configured to measure X-ray reflectance of a layer or an interface between layers of a specimen may include a measurement chamber. A specimen may be supported within the measurement chamber by a stage or another mechanical device. An appropriate stage or mechanical device may be configured to maintain a position of the specimen during measurement and for moving the specimen before, during, and/or after X-ray reflectance measurements. The stage or mechanical device may also be further configured as described herein. The measurement chamber may also be configured as a process chamber of a process tool, which may be used for semiconductor fabrication. For example, the process chamber may include a deposition chamber in which a metal film may be formed on a specimen or an ion implantation chamber in which ions may be driven into a specimen. In this manner, X-ray reflectance measurements may be performed prior to, during, or subsequent to a process performed in the process chamber. The measurement chamber may also be disposed within or proximate a process tool such that a specimen may be moved from a process chamber of the process tool to the measurement chamber. In one example, the measurement chamber may be coupled to a chemical-mechanical polishing tool such that X-ray reflectance measurements may be performed prior to or subsequent to a process step of a chemical-mechanical polishing process. [0382]
  • The device configured to measure X-ray reflectance of a layer or an interface between layers of a specimen may also include an X-ray source such as a rotor X-ray source. X-rays generated by the X-ray source may be passed through a germanium monochromator. The measurement chamber may also include a beryllium window in a wall of the measurement chamber through which the X-rays may enter the measurement chamber. In this manner, X-rays may be directed to a surface of a specimen supported within the measurement chamber. In addition, the device may include an X-ray detector arranged on a side of the measurement chamber opposite to the X-ray source. As such, X-rays reflected from the surface of the specimen may be detected. The system may also include a controller computer configured to control the device and/or individual components of the device. The controller computer may also be configured to process a signal generated by the detector in response to the detected X-rays and to determine a concentration of an element in a layer or an interface between layers of a specimen. The controller computer may be further configured as a processor as described herein. Additional examples of X-ray reflectance methods and systems are illustrated in U.S. Pat. No. 5,740,226 to Komiya et al. and U.S. Pat. No. 6,040,198 to Komiya et al., which are incorporated by reference as if fully set forth herein. [0383]
  • In an embodiment, an eddy current device may be configured to measure a thickness of a layer formed upon a specimen. Eddy current devices may also be configured to measure junction leakage in a specimen. An eddy current device may include a sensor configured to apply an alternating current to a specimen. The applied alternating current may cause an eddy current in the specimen. The resistance or conductance of the specimen may be analyzed using the eddy current. A thickness of a layer on the specimen may be determined by a change in resistance or conductivity. Methods for using eddy currents to determine a thickness of a layer on a specimen are illustrated in U.S. Pat. No. 6,086,737 to Harada, and U.S. Patent Application entitled “In-Situ Metallization Monitoring Using Eddy Current Measurements, by K. Kehman, S. M. Lee, W. Johnson, and J. Fielden, which are incorporated by reference as if fully set forth herein. [0384]
  • A sensor or an eddy current device may include a capacitor and an inductor. During use, the sensor may be positioned proximate to the specimen. When a layer formed on the specimen is conductive or magnetic, the inductor may be configured to couple an alternating (“ac”) electromagnetic field to the layer. The alternating electromagnetic field may induce eddy (i.e., Foucault) currents in the layer, and two effects may be present. First, the layer may act as a lossy resistor, and the effect will be a resistive loading on a sensor circuit, which will lower the amplitude of the resonant signal and lower the resonant frequency. Second, a decrease in the layer thickness may produce an effect as though a metal rod were being withdrawn from the coil of the inductor thereby causing a change in inductance as well as a frequency shift. As the thickness of the layer changes, either by addition or removal, the eddy currents may change, and thus their resistive loading effect and magnitude of frequency shift may change as well. When a layer is not present, there will be no effect on the sensor circuit (i.e., no resistive loading, no inductance change, no frequency shift). Thus, a change in thickness of a layer may be monitored substantially continuously or intermittently by monitoring changes in any of these parameters. [0385]
  • Note that any conductive film may be monitored using an eddy current device, not just a layer such as a thin film on a semiconductor substrate. For example, in an electroplating process, metal ions in a plating solution dissolved from a metal block electrode acting as an anode may be deposited on a target at the cathode to form a film. Eddy current measurements may be used to monitor formation of the film on the target during the electroplating process, both in-situ and real time. [0386]
  • Eddy current devices and measurements may be used in a variety of applications. In one embodiment, an eddy current device may be coupled to a chemical mechanical polishing tool. In this application, the eddy current device may be used to determine one or more endpoints of the polishing process and/or a thickness of one or more polished layers prior to, during, or subsequent to the polishing process. In another embodiment, an eddy current device may be coupled to a deposition tool. In this case, the eddy current device may be utilized to detect a thickness of a deposited layer, either after the layer is deposited or while the layer is being deposited. The eddy current device may also be used to determine one or more endpoints of the deposition process. [0387]
  • In another method, monitoring eddy current characteristics and surface photovoltage may be used in combination to determine a junction leakage in a specimen. Generally, a specimen such as a semiconductor substrate may include a first type junction and a second type junction. Junction leakage may be monitored by applying varying light to the semiconductor substrate, measuring a surface photovoltage created on the surface of the semiconductor substrate, and measuring the eddy current characteristic for the semiconductor substrate in response to the light. A junction leakage characteristic of at least one of the junction types may be determined from the combination of surface photovoltage and the eddy current characteristics. The use of eddy current monitoring to measure junction leakage is described in further detail in U.S. Pat. No. 6,072,320 to Verkuil, which is incorporated herein by reference. [0388]
  • Eddy current measurement devices may be included in any of the systems, as described herein. For example, a system may include an eddy current measurement device coupled to a measurement device configured as a spectroscopic ellipsometer. In this manner, a processor of the system may be configured to determine at least two characteristics of a specimen, which may include a thickness of a layer on a specimen and a critical dimension of a feature on the specimen. The layer may include a barrier layer, and the feature may include a “seat.”[0389]
  • A system including an eddy current measurement device and a spectroscopic ellipsometer may be coupled to a process tool such as an atomic layer deposition (“ALD”) tool. ALD may be used to form a barrier layer and/or a seat. ALD may typically be a technique for depositing thin films that may involve separating individual reactants and taking advantage of the phenomenon of surface adsorption. For example, when a specimen is exposed to a gas, the specimen may be coated with a layer of the gas. Upon removing the gas, for example, by pumping the gas out of the process chamber with a vacuum pump, under certain circumstances a monolayer of the gas may remain on a surface of the specimen. At relatively moderate temperatures (i.e., room temperature), the monolayer may held relatively weakly on the surface of the specimen by physical adsorption forces. At higher temperatures, a surface chemical reaction may occur, and the gas may be held relatively strongly on the surface of the specimen by chemisorption forces. A second reactant may be introduced to the process chamber such that the second reactant may react with the adsorbed monolayer to form a layer of solid film. In this manner, relatively thin solid films such as barrier layers may be grown one monolayer at a time. In addition, such thin solid films may be amorphous, polycrystalline, or epitaxial depending on, for example, the specific process. [0390]
  • FIG. 23 illustrates an embodiment of a system configured to evaluate a deposition process. In an embodiment, a system may include [0391] measurement device 238 coupled to deposition tool 240. Measurement device 238 may be coupled to deposition tool 240 such that the measurement device may be external to a process chamber of the deposition tool. As such, exposure of the measurement device to chemical and physical conditions within the process chamber may be reduced, and even eliminated. Furthermore, the measurement device may be externally coupled to the process chamber such that the measurement device may not alter operation, performance, or control of the deposition process. For example, a process chamber may include relatively small sections of a substantially optically transparent material 242 disposed within walls of the process chamber. The configuration of a deposition tool, however, may determine an appropriate method to couple the measurement device to the deposition tool. For example, placement and dimensions of substantially optically transparent material sections 242 disposed within the walls of the process chamber may vary depending on, for example, the arrangement of the components within the process chamber. In addition, measurement device 238 may be coupled external to the process chamber such that the measurement device may direct energy to a surface of the specimen and may detect energy returned from a surface of the specimen as a specimen is being placed within and/or being removed from the process chamber. A surface of the specimen may include a front side of the specimen or a back side of the specimen.
  • The deposition tool may be a chemical vapor deposition tool or a physical vapor deposition tool configured to deposit dielectric materials or conductive materials. Examples of deposition tools are illustrated in U.S. Pat. No. 4,232,063 to Rosler et al., U.S. Pat. No. 5,695,568 to Sinha et al., U.S. Pat. No. 5,882,165 to Maydan et al., U.S. Pat. No. 5,935,338 to Lei et al., U.S. Pat. No. 5,963,783 to Lowell et al., U.S. Pat. No. 6,103,014 to Lei et al., U.S. Pat. No. 6,112,697 to Sharan et al., and U.S. Pat. No. 6,114,216 to Yieh et al., and PCT Application Nos. WO 99/39183 to Gupta et al., WO 00/07226 to Redinbo et al., and are incorporated by reference as if fully set forth herein. [0392]
  • In an alternative embodiment, [0393] measurement device 238 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to deposition tool 240, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of deposition tool 240. For example, the deposition tool may include a cluster of process chambers that may each be configured to perform substantially similar processes or different processes. In addition, the measurement chamber may disposed laterally or vertically proximate a load chamber of deposition tool 240. A load chamber of a deposition tool may be configured to support multiple specimen such as a cassette of wafers that are to be processed in the deposition tool. A robotic wafer handler may be configured to remove a specimen from the load chamber prior to processing and to dispose a processed specimen into the load chamber. Furthermore, the measurement chamber may be disposed in other locations proximate a deposition tool such as anywhere proximate the deposition tool where there is sufficient space for the system and anywhere a robotic wafer handler may fit such that a specimen may be moved between a process chamber and the system.
  • In this manner, a robotic wafer handler of [0394] deposition tool 240, stage 264, or another suitable mechanical device may be configured to move specimen 246 to and from the measurement chamber and process chambers of the deposition tool. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 246 between process chambers of the deposition tool and the measurement chamber. Measurement device 238 may be further coupled to deposition tool 240 as further described with respect to FIG. 17.
  • [0395] Measurement device 238 may include first illumination system 244 configured to direct light having a known polarization state to specimen 246 such that a region of the specimen may be illuminated prior to, during, or subsequent to a deposition process. A portion 249 of the light directed to specimen 246 by first illumination system 244 may propagate from the illuminated region of the specimen. In addition, the measurement device may include detection system 248 configured to analyze a polarization state of light 249 propagating from the surface of specimen 246 prior to, during, or subsequent to a deposition process. In this manner, the measurement device may be configured to operate as a spectroscopic ellipsometer.
  • In addition, [0396] measurement device 238 may include second illumination system 250 configured to direct light having a known polarization state to specimen 246 such that a region of the specimen may be illuminated during a deposition process. A portion 251 of the light directed to specimen 246 by second illumination system 250 may propagate from the illuminated region of the specimen along a path of the directed light. In addition, the measurement device may include detection system 252 configured to measure an intensity of the light propagating from the surface of specimen 246 prior to, during, or subsequent to a deposition process. In this manner, the measurement device may also be configured to operate as a spectroscopic reflectometer. The measurement device, however, may also be configured to operate as a beam profile ellipsometer and a null ellipsometer.
  • The relatively small sections of substantially optically [0397] transparent material 242 may be configured to transmit light from light source 254 of first illumination system 244 outside the process chamber to a surface of specimen 246 within the process chamber and to transmit light propagating from the surface of the specimen to detector 256 outside the process chamber. In addition, relatively small sections of substantially optically transparent material 242 may be configured to transmit light from light source 258 of second illumination system 250 outside the process chamber to a surface of specimen 246 within the process chamber and to transmit light propagating from the surface of the specimen to detectors 260 and 262 outside the process chamber. The substantially optically transparent material may have optical or material properties such that the light from light sources 254 and 258 and the light propagating from a surface of specimen 246 may pass through relatively small sections 242 disposed within process chamber without undesirably altering the optical properties of the directed and returned light. In addition, the substantially optically transparent material may be configured to focus light from light sources 254 and 258 onto the surface of semiconductor 246. In this manner, measurement device 238 may be coupled to stage 264 disposed within the process chamber. Stage 264 may be configured as described herein.
  • Spectroscopic ellipsometry may include focusing an incidence beam of polarized light on a specimen and monitoring a change in polarization for at least a portion of the incidence beam reflected from the specimen across a broad spectrum of wavelengths. Examples of spectroscopic ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,412,473 to Rosencwaig et al., U.S. Pat. No. 5,581,350 to Chen et al., U.S. Pat. No. 5,596,406 to Rosencwaig et al., U.S. Pat. No. 5,596,411 to Fanton et al., U.S. Pat. No. 5,771,094 to Carter et al., U.S. Pat. No. 5,798,[0398] 837 to Aspnes et al., U.S. Pat. No. 5,877,859 to Aspnes et al., U.S. Pat. No. 5,889,593 to Bareket et al., U.S. Pat. No. 5,900,939 to Aspnes et al., U.S. Pat. No. 5,910,842 to Piwonka-Corle et al., U.S. Pat. No. 5,917,594 to Norton, U.S. Pat. No. 5,973,787 to Aspnes et al., and U.S. Pat. No. 6,256,097 to Wagner and are incorporated by reference as if fully set forth herein. Additional examples of spectroscopic devices are illustrated in PCT Application No. WO 99/02970 to Rosencwaig et al. and is incorporated by reference as if fully set forth herein.
  • [0399] Light source 254 may include any of the light sources as described herein, which may be configured to emit broadband light. Illumination system 244 may include optical component 266 positioned along a path of the emitted light. Optical component 266 may be configured to alter a polarization state of the emitted light such that light having a known polarization state such as linearly or circularly polarized light may be directed to a surface of specimen 246. In addition, illumination system 244 may also include an additional optical component (not shown) configured to focus and direct light emitted from light source 254 to the surface of specimen 246. Detection system 248 may also include optical component 268 positioned along a path of the light propagating from the surface of the specimen. Optical component 268 may be configured to function as an analyzer of a spectroscopic ellipsometer. Detection system 248 may also include a dispersion element such as a spectrometer (not shown). The dispersion element may be configured to separate light propagating from the surface of the specimen having different wavelengths. The separated components of the beam may be detected by individual elements of detector 256, which may be configured to function as a detector array. The polarizer may be configured to rotate such that a time varying intensity may be detected by the elements of the detector array. Processor 270 may be configured to receive one or more output signals from detector 256 and may be configured to process the data.
  • Output signals from [0400] detector 256 may be responsive to an intensity of light at elements of the detector array. Processor 270 may be configured to convert the output signals to ellipsometric parameters, ψ and δ, by mathematical equations known in the art as described above. Processor 270 may be configured to convert the ellipsometric parameters, ψ and δ, to a property of a layer being formed upon a surface of specimen 246 using a mathematical, or optical, model as described herein. For example, processor 270 may be configured to determine a thickness, an index of refraction, and an extinction coefficient of a layer, a portion of a layer, or several layers on specimen 246 from the ellipsometric parameters by using an optical model. A thickness, an index of refraction, and an extinction coefficient may be commonly referred to as “thin film” characteristics of a layer.
  • Alternatively, [0401] processor 270 may be configured to determine a critical dimension of a feature on specimen 246 from one or more output signals from measurement device 238. For example, a critical dimension of a feature may include, but is not limited to, a lateral dimension such as a width, a vertical dimension such as a height, and a sidewall profile as described herein. In addition, processor 270 may be further configured to determine a thickness, an index or refraction, and/or an extinction coefficient of a layer of the specimen, and a critical dimension of a feature on the specimen from one or more output signals from measurement device 238. For example, processor 270 may be configured to compare one or more output signals from the measurement device with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics such as width, height, and sidewall profile. Expected output signals versus wavelength for different characteristics of a predetermined table may be determined, for example, experimentally with specimens of known characteristics and/or theoretically through mathematical modeling.
  • In addition, [0402] processor 270 may be configured to compare one or more output signals from measurement device 238 with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics and interpolated data between the expected output signals versus wavelength. Alternatively, processor 270 may be configured to perform an iteration using one or more starting guesses through (possibly approximate) equations to converge to a good fit for one or more output signals from the measurement device. Suitable equations may include, but are not limited to, any non-linear regression algorithm known in the art.
  • In an additional embodiment, the system may further include a calibration ellipsometer (not shown). The calibration ellipsometer may be configured to determine a thickness of a reference layer on a specimen. The thickness of the reference layer may then be measured using the spectroscopic ellipsometer of the measurement device as described herein. A phase offset of the thickness measurements of the reference layer generated by the calibration ellipsometer and the measurement device may be determined by [0403] processor 270. The processor may be configured to use the phase offset to determine additional layer thicknesses from measurements made by the measurement device. The calibration ellipsometer may also be coupled to the process chamber of the deposition tool. As such, the calibration ellipsometer may be used to reduce, and even eliminate, variations in measured ellipsometer parameters. For example, measurements of the ellipsometric parameter, δ, may vary due to changing environmental conditions along one or more optical paths of the measurement device. Such a variation in the ellipsometric parameter, δ, may alter thickness measurements of a layer on a specimen. Therefore, a calibration ellipsometer may be used to reduce, and even eliminate, a drift in thickness measurements of a layer on a specimen.
  • Spectroscopic reflectometry may include focusing a broadband radiation beam on a specimen and measuring a reflectance spectrum and index of refraction of the specimen from which a thickness of a layer may be determined. Example of spectroscopic reflectometers are illustrated in U.S. Pat. No. 4,999,014 to Gold et al., and U.S. Pat. No. 5,747,813 to Norton et al. and are incorporated by reference as if fully set forth herein. [0404] Second illumination system 250 may include light source 258 such as xenon arc lamp. Light source 258 may also include any light source configured to emit broadband light, which may include visible and ultraviolet light. Second illumination system 250 may also be coupled to beam splitter 259. Beam splitter 259 may be configured to direct light emitted by light source 258 to a surface of specimen 246 such that a substantially continuous broadband spectrum of light may be directed to the surface of specimen 246.
  • The sample beam may be focused onto a region of [0405] specimen 246, and at least a portion of the sample beam reflected from the illuminated region may be passed through a spectrometer (not shown) of detection system 252. In addition, detection system 252 may include a diffraction grating (not shown) configured to disperse light passing therethrough as it enters the spectrometer. In this manner, a resulting first order diffraction beam may be collected by detector 260 or detector 262, which may include a linear photodiode array. The photodiode array, therefore, may measure a sample reflectance spectrum. A relative reflectance may be obtained by dividing the sample light intensity at each wavelength by a relative reference intensity at each wavelength. A relative reflectance spectrum may be used to determine the thickness of one or more layers on the specimen. In addition, reflectance at a single wavelength and a refractive index of one or more layers may also be determined from the relative reflectance spectrum.
  • Furthermore, a model method by modal expansion (“MMME”) model may be used to generate a library of various reflectance spectrums. As described herein, the MMME model is a rigorous diffraction model that may be used to determine the theoretical diffracted light “fingerprint” from each grating in the parameter space. Alternative models may also be used to calculate the theoretical diffracted light such as a rigorous coupling waveguide analysis (“RCWA”) model. The measured reflectance spectrum may be fitted to the library of various reflectance spectrums. [0406]
  • The polarization state and the intensity of light propagating from a surface of [0407] specimen 246 may be altered during formation of a layer on specimen 246. For example, during a deposition process, such as chemical vapor deposition (“CVD”) and low pressure chemical vapor deposition (“LPCVD”) processes, a layer may be formed on specimen 246 by introducing reactant gases such as silane, chlorosilane, nitrogen and/or ammonia in the process chamber. The reactant gases may decompose and react at a heated surface of a specimen to form a deposited layer of material. In this manner, a thickness of the layer being formed on a surface of specimen 246 may increase during the deposition process.
  • As the thickness of the layer increases during the deposition process, the reflectivity of the surface of the layer may vary approximately sinusoidally with variations in the thickness of the layer. Therefore, the intensity of the returned light may vary depending on a thickness of the deposited layer. In addition, the intensity of the returned light may be approximately equal to the square of the field magnitude according to the equation: I[0408] r=|ER|2·Ir can also be expressed in terms of the ellipsometric parameters, ψ and δ. For very thin layers, tan ψ may be independent of thickness, and δ is linearly proportional to the thickness of the layer. In this manner, one or more output signals responsive to the intensity of the light returned from the specimen generated by the measurement device may be used to determine a thickness of the layer.
  • In addition, thickness variations of a layer on a specimen may vary depending on, for example, parameters of an instrument coupled to the deposition tool. Parameters of an instrument coupled to the deposition tool may determine the process conditions of a deposition process. For example, a deposition rate may be defined as a thickness of a layer formed on a surface of a specimen in a period of time. The deposition rate, therefore, may affect variations in the thickness of a layer on a specimen during a deposition process. A deposition rate may be substantially constant throughout a deposition process. Alternatively, a deposition rate may vary throughout a deposition process. The deposition rate may vary depending on a number of parameters of one or more instruments coupled to the deposition tool that may include, but are not limited to, temperature within the process chamber, temperature gradients in the process chamber, pressure within the process chamber, total flow rates of the reactant gases, reactant gas ratios, and a flow rate of one or more dopant gases. In this manner, intensity variations of light propagating from a surface of the specimen may vary depending upon parameters of an instrument coupled to the deposition tool. Therefore, a processor coupled to a measurement device may be configured to determine a parameter of an instrument coupled to a deposition tool from the measured intensity variations of the light propagating from a surface of the specimen during a deposition process. [0409]
  • In an embodiment, a processor coupled to a measurement device, as shown in FIG. 23, may be configured to determine a property of a layer formed on a specimen from detected light. The measurement device may be configured as described in above embodiments. The property of the formed layer may include, but is not limited to, a thickness, an index of refraction, an extinction coefficient, a critical dimension, or any combination thereof. Subsequent to a deposition process, the specimen may be polished such that an upper surface of the deposited material may be substantially planar. Subsequent to polishing, a layer of resist may be formed on the deposited layer and the layer of resist may be exposed to pattern the resist during a lithography process. In this manner, selected regions of the deposited layer may exposed, and at least a portion of the selected regions may be removed in an etch process. A conductive material such as aluminum or copper may be deposited in the etched portions of the deposited layer and on an upper surface of the deposited layer, for example, by a physical vapor deposition process. The specimen may be polished such that an upper surface of the specimen may be substantially planar. In this manner, a number of semiconductor features such as interlevel contact structures may be formed on the specimen. [0410]
  • The properties of the semiconductor features formed on the specimen may vary depending upon, for example, properties of the deposited layer and the conductive material and process conditions of the deposition, polishing, lithography, etch, and physical vapor deposition processes. As such, properties of semiconductor features on a specimen may be determined using the determined properties of the deposited layer. In addition, a processor coupled to the measurement device may also be configured to determine a presence of defects such as foreign material on the deposited layer prior to, during, or subsequent to the deposition process from the detected light. [0411]
  • In an additional embodiment, [0412] processor 270, as shown in FIG. 23, may be coupled to measurement device 238 and deposition tool 240. The processor may be configured to interface with the measurement device and the deposition tool. For example, the processor may receive one or more signals from the deposition tool during a deposition process. The signals may be representative of a parameter of one or more instruments coupled to the deposition tool. The processor may also be configured to receive one or more signals from the measurement device. Signals from the measurement device may be representative of the detected light from detector 256, 260, and 262 as described herein. In an additional embodiment, measurement device 238 may be configured, as described herein, to measure variations in the intensity of light propagating from the specimen during a deposition process. For example, measurement device 238 may be configured to measure the intensity of light propagating from the specimen substantially continuously or at predetermined time intervals during a deposition process. The processor may, therefore, be configured to monitor variations in output signals from the measurement device during a deposition process. In this manner, the processor may be configured to determine a relationship between the monitored variations and/or the output signals from the measurement device and output signals from the deposition tool responsive to a parameter of one or more instruments coupled to the deposition tool. As such, the processor may be configured to alter a parameter of one or more instruments coupled to the deposition tool using the determined relationship. In addition, the processor may be configured to determine a parameter of one or more instruments using the determined relationship and one or more output signals from the measurement device.
  • Additionally, the processor may be further configured to control the measurement device and the deposition tool. For example, the processor may be configured to alter a parameter of an instrument coupled to the deposition tool in response to the detected light. In this manner, the processor may be configured to alter a parameter of an instrument coupled to the deposition tool using an in situ control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to the detected light. For example, the processing device may be configured to alter a sampling frequency of the measurement device in response to the detected light. [0413]
  • By analyzing variations in output signals from the measurement device during a deposition process, [0414] processor 270 may also generate a signature, which may be representative of the formation of a layer on specimen 246. The signature may include at least one singularity that may be characteristic of an endpoint of the deposition process. For example, an appropriate endpoint for a deposition process may be a predetermined thickness of a layer on the specimen. A predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, the semiconductor device fabricated by the deposition process. After the processor has detected the singularity of the signature, the processor may be configured to reduce, and even terminate, deposition of the layer on the specimen by altering a parameter of an instrument coupled to the deposition tool.
  • In an embodiment, [0415] processor 270 may be configured to use one or more output signals from measurement device 238 to determine a parameter of one or more instruments coupled to deposition tool 240 for deposition of layers on additional specimens. For example, a thickness of a layer on a specimen may be determined using one or more output signals from measurement device 238. The thickness of the layer on the specimen may be greater than a predetermined thickness. Therefore, before processing additional specimens, a flow rate of a reactant gas or another parameter of one or more instruments coupled to the deposition tool may be altered. In this manner, a thickness of layers formed on the additional specimens may be closer to the predetermined thickness than the measured layer. For example, the flow rate of the reactant gas used in the deposition process may be decreased to deposit a thinner the layer on the additional specimens. In this manner, the processor may be used to alter a parameter of one or more instruments coupled to a deposition tool in response to one or more output signals of the measurement device using a feedback control technique.
  • In an additional embodiment, [0416] processor 270 may be configured to determine a parameter of one or more instruments coupled to a process tool, configured to perform additional semiconductor fabrication processes, using one or more output signals from measurement device 238. The additional semiconductor fabrication processes may be performed subsequent to a deposition process. Additional semiconductor fabrication processes performed subsequent to a deposition process may include, but are not limited to, a chemical-mechanical polishing process configured to planarize a deposited layer on the specimen. For example, a thickness of a layer deposited on a specimen during a deposition process may be determined using one or more output signals from the measurement device. The determined thickness of the deposited layer may be greater than a predetermined thickness for the layer.
  • Process conditions of a subsequent polishing process, however, may be optimized for the predetermined thickness of the deposited layer on the specimen. Therefore, before polishing the deposited layer, a parameter of one or more instruments coupled to a polishing tool such as process time or pressure applied to a back side of the specimen may be altered such that an upper surface of the deposited layer may be planarized. For example, a process time may be increased to ensure substantially complete planarization of the deposited layer. In this manner, the processor may be configured to alter a parameter of an instrument coupled to a chemical mechanical polishing tool in response to one or more output signals from the measurement device using a feedforward control technique. In addition, the processor and the measurement device may be further configured according to any of the embodiments described herein. For example, a processor coupled to the measurement device may also be configured to detect defects on the specimen, a thickness of a deposited material, a sheet resistivity of a deposited material, a thermal diffusivity of a deposited material, or any combination thereof during the deposition process using one or more output signals from the measurement device. [0417]
  • In an embodiment, a method for determining a characteristic of a specimen during a deposition process may include disposing the specimen upon a stage. The stage may be disposed within a process chamber of a deposition tool, as shown in FIG. 23. The stage may also be configured to support the specimen during a deposition process. The measurement device may be coupled to the deposition tool, as shown in FIG. 23. As such, the stage may be coupled to a measurement device. In addition, the measurement device may be configured as described in above embodiments. The method may include directing light to a surface of the specimen. The directed light may have a known polarization state. The directed light may strike the surface of the specimen. A layer may be formed on the surface of the specimen during the deposition process. [0418]
  • In addition, the method may include detecting light propagating from the surface of the specimen during the deposition process. The method may also include generating one or more output signals responsive to an intensity and/or a polarization state of the detected light. The intensity and/or polarization state of the detected light may vary depending on, for example, one or more characteristics of a layer formed on the specimen. Therefore, such one or more output signals may be used to determine one or more characteristics of the formed layer. In this manner, the method may include determining one or more characteristics of a layer being formed on a specimen. Furthermore, the method may include determining one or more characteristics of more than one layer being formed on the specimen. The one or more characteristics may include, but are not limited to, a thickness, an index of refraction, an extinction coefficient of one or more layers on the specimen, a critical dimension of a feature on the specimen, a presence of defects on the specimen, or any combination thereof. [0419]
  • In additional embodiments, the method for determining a characteristic of a layer on a specimen during a deposition process may include steps of any methods as described herein. For example, the method may include altering a parameter of an instrument coupled to the deposition tool in response to one or more output signals responsive to an intensity and/or a polarization state of the detected light. In this manner, the method may include altering a parameter of an instrument coupled to the deposition tool using a feedback control technique, an in situ control technique, or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characterizing deposition of a layer on the specimen. The signature may include at least one singularity representative of an endpoint of the deposition process. For example, an appropriate endpoint for an deposition process may be a predetermined thickness of a layer formed on the specimen. In addition, the predetermined thickness may be larger or smaller depending upon, for example, the semiconductor device feature fabricated by the deposition process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of an instrument coupled to the deposition tool to reduce, and even terminate, the deposition process. [0420]
  • In an embodiment, a computer-implemented method may be used to control a system configured to determine a characteristic of a layer during a deposition process. The system may include a measurement device coupled to an deposition tool, as described herein. The method may include controlling the measurement device. Controlling the measurement device may include controlling a light source to direct light to a surface of the specimen such that the directed light may strike the surface of the specimen. The directed light may have a known polarization state. In addition, controlling the measurement device may include controlling a detector to detect light propagating from the surface of the specimen during the deposition process. Furthermore, the method may include processing the detected light to determine an intensity or a polarization state of the detected light. For example, the method may include processing the detected light may include generating one or more output signals responsive to the detected light. The method may further include determining one or more characteristics of a layer being formed on the specimen using the one or more output signals. The one or more characteristics may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, a presence of defects on the specimen, or any combination thereof. [0421]
  • In additional embodiments, the computer-implemented method for controlling a system to determine a characteristic of a layer being formed on a specimen during a deposition process may include steps of any of the methods as described herein. For example, the method may include controlling an instrument coupled to the deposition tool to alter a parameter of the instrument in response to the one or more output signals. Controlling an instrument coupled to the deposition tool may include using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter a parameter of the instrument in response to the one or more output signals. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals. [0422]
  • In an additional example, the computer-implemented method may include controlling the measurement device to obtain a signature characterizing deposition of a layer on the specimen. The signature may include at least one singularity representative of an endpoint of the deposition process. For example, an appropriate endpoint for a deposition process may be a predetermined thickness of a layer deposited on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of an instrument coupled to the deposition tool to alter the parameter of the instrument to reduce, and even terminate, deposition of the layer on the specimen. [0423]
  • An additional embodiment relates to a method for fabricating a semiconductor device. The method may include disposing a specimen such as a wafer upon a stage. The stage may be disposed within a process chamber of a deposition tool. The stage may be configured to support the specimen during a deposition process. A measurement device may also be coupled to the process chamber of the deposition tool. In this manner, the stage may be coupled to the measurement device. The method may further include forming a portion of a semiconductor device upon the specimen. For example, forming a portion of a semiconductor device may include depositing a layer of material on the specimen. Depositing the layer on the specimen may include forming a layer of a dielectric material over a specimen having a plurality of dies. The plurality of dies may include repeatable pattern features. For example, the deposited layer may be used to electrically isolate proximate or adjacent features of a semiconductor device that may be formed on the specimen. [0424]
  • The method for fabricating a semiconductor device may also include directing light toward a surface of the specimen. The directed light may have a known polarization state. The method may also include detecting light propagating from the surface of the specimen during the deposition process. In addition, the method may include determining an intensity and/or a polarization state of the detected light. The intensity and/or the polarization state of the detected light may vary depending upon, for example, one or more characteristics of a layer formed on the specimen. The method may also include generating one or more output signals responsive to an intensity and/or a polarization state of the detected light. In this manner, the method may include determining a characteristic of a layer deposited on the specimen using the one or more output signals. The characteristic may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof. [0425]
  • In additional embodiments, the method for fabricating a semiconductor device may include steps of any of the methods as described herein. For example, the method may include altering a parameter of an instrument coupled to the deposition tool in response to the one or more output signals. Altering a parameter of an instrument coupled to the deposition tool may include using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characterizing deposition of a layer on the specimen. The signature may include at least one singularity representative of an endpoint of the deposition process. For example, an appropriate endpoint for a deposition process may be a predetermined thickness of a layer deposited on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of an instrument coupled to the deposition tool to reduce, and even terminate, the deposition process. [0426]
  • FIG. 24 illustrates an embodiment of a system configured to evaluate an etch process. In an embodiment, a system configured to evaluate an etch process may include [0427] measurement device 272 coupled to process chamber 274 of an etch tool. Measurement device 272 may be coupled to process chamber 274 such that the measurement device may be external to the process chamber. As such, exposure of the measurement device to chemical and physical conditions within the process chamber may be reduced, and even eliminated. Furthermore, the measurement device may be externally coupled to the process chamber such that the measurement device may not alter the operation, performance, or control of the etch process. For example, a process chamber may include one or more relatively small sections of a substantially optically transparent material 276 disposed within walls of process chamber 274. The configuration of process chamber 274, however, may determine an appropriate method to couple measurement device 272 to the process chamber. For example, the placement and dimensions of substantially optically transparent material sections 276 within walls of the process chamber may vary depending on, for example, the configuration of the components within the process chamber.
  • In an alternative embodiment, [0428] measurement device 272 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to process chamber 274 of an etch tool, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of an etch tool. In this manner, a robotic wafer handler of an etch tool, stage 280, or another suitable mechanical device may be configured to move specimen 278 to and from the measurement chamber and process chambers of the etch tool. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 278 between process chambers of the etch tool and the measurement chamber. Measurement device 272 may be further coupled to process chamber 272 as further described with respect to FIG. 17.
  • Examples of etch tools are illustrated in U.S. Pat. No. 4,842,683 to Cheng et al., U.S. Pat. No. 5,215,619 to Cheng et al., U.S. Pat. No. 5,614,060 to Hanawa, 5,770,099 to Rice et al., U.S. Pat. No. 5,882,165 to Maydan et al., U.S. Pat. No. 5,849,136 to Mintz et al., U.S. Pat. No. 5,910,011 to Cruse, U.S. Pat. No. 5,926,690 to Toprac et al., U.S. Pat. No. 5,976,310 to Levy, U.S. Pat. No. 6,072,147 to Koshiishi et al., U.S. Pat. No. 6,074,518 to Imafuku et al., U.S. Pat. No. 6,083,363 to Ashtiani et al., and U.S. Pat. No. 6,089,181 to Suemasa et al., U.S. Pat. No. 6,110,287 to Arai et al., and are incorporated by reference as if fully set forth herein. An additional example of a measurement device coupled to an etch tool is illustrated in PCT Application No. WO 99/54926 to Grimbergen et al., and is incorporated by reference as if fully set forth herein. In WO 99/54926, a measurement device coupled to an etch tool is described as a “reflectance thickness measuring machine,” which is substantially different than a measurement device as described herein. An example of an apparatus for estimating voltage on a wafer located in a process chamber is illustrated in European Patent Application No. [0429] EP 1 072 894 A2 to Loewenhardt et al., and is incorporated by reference as if fully set forth herein.
  • [0430] Measurement device 272 may be configured to direct an incident beam of light having a known polarization state to specimen 278 such that a region of the specimen may be illuminated prior to, during, or subsequent to an etch process. In addition, the measurement device may be configured to analyze a polarization state of the light returned from the illuminated region of the specimen prior to, during, or subsequent to an etch process. For example, the measurement device may include a beam profile ellipsometer. Additionally, however, measurement device 272 may include a spectroscopic beam profile ellipsometer, a null ellipsometer, and/or a spectroscopic ellipsometer. Furthermore, measurement device 272 may be configured as a scatterometer as described herein.
  • The relatively small sections of [0431] transparent material 276 may transmit an incident beam of light from a light source outside the process chamber to a specimen within the process chamber and a returned light beam from specimen 278 to a detector outside the process chamber. The optically transparent material may have optical or material properties such that the incident beam of light and the returned light beam may pass through the relatively small sections of transparent material without substantially undesirably altering the optical properties of the incident and returned light beams. In this manner, measurement device 272 may be coupled to stage 280 disposed within the process chamber and configured to support the specimen 278.
  • [0432] Measurement device 272 may include light source 282 configured to generate an incident beam of light. Light source 282 may include, for example, a laser configured to emit light having a known polarization state such as a gas laser or a solid state laser diode. Such lasers typically may emit light having a single wavelength of 633 nm and 670 nm, respectively. Measurement device 272 may also include polarization section 284 which may include, but is not limited to, a linear or circular polarizer or a birefringent quarter wave plate compensator. The polarization section may be configured to convert linear polarized light into circularly polarized light. In this manner, an incident beam of light having a known polarization state may be directed toward the specimen. In addition, measurement device 272 may include beam splitter 286 configured to direct at least a portion of the incident beam of light to an upper surface of specimen 278. Beam splitter 286 may also be configured to direct the incident beam through high numerical aperture (“NA”) lens 288. In this manner, measurement device 272 may be configured to direct the incident beam of light to specimen 278 at a number of angles of incidence. For example, high NA lens 288 may have a numerical aperture of approximately 0.9. The numerical aperture of the lens may be larger or smaller, however, depending on, for example, the number of angles of incidence required. In addition, high NA lens 288 may be configured to focus the incident beam to a very small spot size on the upper surface of specimen 278. In this manner, the incident beam may be directed at a number of angles of incidence to a single feature or region on the specimen. Beam splitter 286 may also be configured to transmit a portion of the incident beam light such that the transmitted portion of the incident beam of light may be configured to strike detector 283. Detector 283 may be configured to monitor fluctuations in the output power of light source 282.
  • Light returned from the surface of [0433] specimen 278 may pass back through high NA lens 288 and beam splitter 286 to polarizer 290. Polarizer 290 may include, for example, a rotating polarizing filter. The measurement device may also include detector 292 configured to measure an intensity of the returned light at a number of angles of incidence. For example, detector 292 may include a diode array that may be radially positioned in a two-dimensional array such that the intensity of returned light may be measured at a number of angles of incidence.
  • In an alternative embodiment, light returned from the specimen may pass through quarter-[0434] wave plate 294. The quarter-wave plate may be configured to retard the phase of one of the polarization states of the returned light by about 90 degrees. In such a measurement device, polarizer 290 may be configured to cause the two polarization states to interfere. Detector 292 for such a measurement device may include a quad-cell detector having four quadrants. Each quadrant of the detector may be configured to generate one or more output signals approximately proportional to the magnitude of the power of the returned light striking the quadrant of the detector. Each signal may represent an integration of the intensities of the returned light at different angles of incidence. Such a quad-cell detector may also be configured to operate as a full power detector if the one or more output signals from all of the quadrants is summed.
  • In each of the embodiments described above, [0435] processor 296 may be configured to determine a thickness, an index of refraction, an extinction coefficient of the specimen and/or a critical dimension of a feature on the specimen from one or more output signals of detector 292. For example, processor 296 may determine a thickness of a layer or a feature on specimen 278 or a thickness of a feature such as an isolation structure formed in specimen 278 from one or more output signals of detector 292.
  • In an additional alternative embodiment, [0436] light source 282 may be configured to generate broadband light having a known polarization state. An appropriate light source may include a polychromatic light source such as a tungsten halogen lamp. For such a configuration of the measurement device, light returned from the specimen may be passed through a filter (not shown). The filter may be configured to pass light through two quadrants of the filter and to block light through two other quadrants of the filter. As such, light passed through the filter may have an ellipsometric signal, δ, of only one sign, for example, positive. After passing through the filter, the returned light may pass through a spatial filter (not shown) having a small aperture. The spatial filter may be configured to limit the wavelength of light that may be directed to detector 292. As such, the width of the aperture of the spatial filter may be larger or smaller depending on, for example, the desired wavelength resolution.
  • The measurement device may also include a grating (not shown) configured to focus the returned light such that light from all angles of incidence may be combined and to angularly disperse the returned light as a function of wavelength. The grating may include a curved grating and a curved mirror, a lens and a separate planar grating, or a prism. [0437] Detector 292 may include an array of a plurality of individual detector elements. In this manner, the detector may be configured to measure an intensity of returned light over a narrow wavelength regime and a number of angle of incidences. As such, the spatial filter, the grating, and the detector may have a configuration substantially similar to a conventional spectrophotometer.
  • The measurement device may be further configured to perform a second measurement of light returned from the surface of the specimen. In this measurement, light passed through the filter may have an ellipsometric signal, δ, opposite to the sign of the light passed through the filter for the first measurement (i.e., negative). In the additional embodiments described above, [0438] processor 296 may also be configured to determine a thickness, an index of refraction, an extinction coefficient of the specimen, and/or a critical dimension of a feature on the specimen from one or more output signals of the detector. For example, the processor may be configured to determine a thickness of a layer on specimen 278 or a feature such as an isolation structure formed in specimen 278 from the one or more output signals of the detector. Examples of beam profile ellipsometers are illustrated in U.S. Pat. No. 5,042,951 to Gold et al., U.S. Pat. No. 5,181,080 to Fanton et al., U.S. Pat. No. 5,596,411 to Fanton et al., U.S. Pat. No. 5,798,837 to Aspnes et al., and 5,900,939 to Aspnes et al., and are incorporated by reference as if fully set forth herein.
  • In an additional embodiment, the system may further include a calibration ellipsometer (not shown). The calibration ellipsometer may be configured to determine a thickness of a reference layer on a specimen. The thickness of the reference layer may be measured using the measurement device as described herein. A phase offset of the thickness measurements of the reference layer generated by the calibration ellipsometer and the measurement device may be determined by [0439] processor 296. The processor may be configured to use the phase offset to determine additional layer thicknesses from measurements made by the measurement device. The calibration ellipsometer may also be coupled to process chamber 274 of the etch tool. As such, the calibration ellipsometer may be used to reduce, and even eliminate, variations in measured ellipsometer parameters. For example, measurements of the ellipsometric parameter, δ, may vary due to changing environmental conditions along one or more optical paths of the measurement device. Such a variation in the ellipsometric parameter, δ, may alter thickness measurements of a layer on a specimen. Therefore, a calibration ellipsometer may be used to reduce, and even eliminate, a drift in thickness measurements of a layer on a specimen.
  • The polarization state of light returned from a specimen may be altered during etching of the specimen. For example, during an etch process such as a reactive ion etch (“RIE”) or a plasma etch process, a selectively exposed layer on the specimen may be removed by chemical reactions involving chemical reactive species of [0440] plasma 298 and a surface of specimen 278 and ionic species of plasma 298 striking the surface of specimen 278. In this manner, a thickness of the selectively exposed layer may be removed during the etch process. As the thickness of the layer is reduced during the etch process, the reflectivity of the layer may vary approximately sinusoidally with variations in the thickness of the layer. Therefore, the intensity of the returned light may vary depending on a thickness of the selectively exposed layer. In addition, the intensity of the returned light may be approximately equal to the square of the field magnitude according to the equation: Ir=|ER|2·Ir can also be expressed in terms of the ellipsometric parameters, Ψ and δ. For very thin layers, tan Ψ may be independent of thickness, and δ may be approximately linearly proportional to the thickness of the layer. In this manner, output signals from the measurement device responsive to the intensity of the light returned from the specimen may be used to determine a thickness of the layer.
  • An etch rate may be defined as a thickness of a layer on a specimen that may be removed in a period of time. The etch rate, therefore, may determine the variations in the thickness of a layer on a specimen during an etch process. An etch rate may be substantially constant throughout an etch process. Alternatively, an etch rate may vary throughout an etch process. For example, an etch rate may decrease exponentially throughout an etch process. The etch rate may be determined by a number of parameters of one or more instruments coupled to the etch tool. For example, one parameter may include a flow rate of etchant gases from [0441] gas source 300 to process chamber 274 of the etch tool. The flow rate may vary depending upon, for example, a parameter such as a position or a setting of an instrument such as valve 301. In addition, such parameters may also include radio frequency power values, which may be determined by instruments such as power supplies 302 and 304 coupled to process chamber 274. An additional parameter may include a pressure within the process chamber and may be determined by instrument 306, which may be configured as a pressure gauge.
  • Such parameters may affect thickness variations of a layer on a specimen during an etch process. For example, as pressure decreases in a process chamber, a thickness of a layer on a specimen may be removed at an increased rate during the etch process. In this manner, an intensity of a returned sample beam may vary depending upon a parameter of one or more instruments coupled to the process chamber of the etch tool. Therefore, [0442] processor 296 coupled to measurement device 272 may be configured to determine a parameter of an instrument coupled to process chamber 274 of the etch tool from the measured intensity of the returned sample beam during an etch process.
  • In an embodiment, [0443] processor 296 coupled to measurement device 272 may be configured to receive one or more output signals from detector 292. In addition, the processor may be configured to determine a property of an etched region of specimen 278 from the one or more output signals. Measurement device 272 may be configured as described herein. For example, measurement device 272 may be configured as a beam profile ellipsometer, a spectroscopic beam profile ellipsometer, a null ellipsometer, a spectroscopic ellipsometer and/or a scatterometer as described herein. Therefore, property of the etched region may include, but is not limited to, a thickness, an index of refraction, an extinction coefficient, a critical dimension of a feature on the specimen, or any combination thereof. Thickness, index of refraction, and/or extinction coefficient may be commonly referred to as “thin film” characteristics.
  • Subsequent to an etch process, a specimen may be stripped to remove residual masking material from the specimen. In addition, a material such as a conductive material may be deposited upon the specimen. The specimen may also be polished such that an upper surface of the specimen may be substantially planar. In this manner, a number of semiconductor features such as interlevel contact structures may be formed on the specimen. The properties of the semiconductor features formed on the specimen may vary depending on, for example, one or more properties of the etched region and process conditions of the stripping, deposition, and polishing processes. As such, properties of a semiconductor feature on [0444] specimen 278 may be determined using the determined properties of the etched region. In addition, processor 296 coupled to measurement device 272 may also be configured to determine a presence of defects such as foreign material on the specimen, prior to, during, or subsequent to the etch process from one or more output signals from detector 292.
  • In an additional embodiment, [0445] processor 296 may be coupled to measurement device 272 and process chamber 274 of an etch tool. Processor 296 may be configured to interface with measurement device 272 and process chamber 274. For example, processor 296 may receive one or more output signals from a device coupled to process chamber 274 during an etch process. Such one or more output signals may be responsive to a parameter of an instrument coupled to the process chamber such as pressure gauge 306. Processor 296 may also be configured to receive one or more output signals from detector 292 as described herein.
  • In an additional embodiment, the measurement device may be configured, as described above, to measure variations in the intensity of light returned from the specimen during an etch process. For example, the measurement device may be configured to measure the intensity of light returned from the specimen substantially continuously or at predetermined time intervals during an etch process. The processor may, therefore, receive output signals responsive of the intensity of light returned from the specimen from the measurement device and may monitor variations in the output signals during an etch process. In addition, [0446] processor 296 may be configured to determine a relationship between the output signals from measurement device 272 and a parameter of one or more instruments coupled to process chamber 274. As such, processor 296 may be configured to alter a parameter of one or more instruments coupled to process chamber 274 in response to the determined relationship. In addition, the processor may be configured to determine a parameter of the instrument using the relationship and one or more output signals from the measurement device.
  • Additionally, [0447] processor 296 may be further configured to control measurement device 272 and etch tool 274. For example, the processor may be configured to alter a parameter of an instrument coupled to the etch tool in response to one or more output signals from the measurement device. The processor may be configured to alter a parameter of an instrument coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to one or more output signals from the measurement device. For example, the processor may be configured to alter a sampling frequency of the measurement device in response to the output signals from the measurement device, as described herein.
  • By analyzing variations in output signals from the measurement device during an etch process, the processor may also generate a signature that may be responsive to the etch process. The signature may include at least one singularity that may be characteristic of an endpoint of the etch process. For example, an endpoint for an etch process may be a predetermined thickness of a layer on the specimen. A predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. In addition, an endpoint for an etch process may be approximately complete removal of a layer on a specimen. Such an endpoint may correspond to etching through substantially an entire thickness of a layer such that an underlying layer of material may be exposed for subsequent processing. After the processor has detected the singularity of the signature, the processor may reduce, and even terminate, etching of the specimen by altering a parameter of an instrument coupled to the etch tool. A method for detecting an endpoint of an etch process is illustrated in PCT Application Nos. WO 00/03421 to Sui et al. and WO 00/60657 to Grimbergen et al., and is incorporated by reference as if fully set forth herein. [0448]
  • In an embodiment, the processor may be configured to determine a parameter of one or more instruments coupled to the etch tool for subsequent etch processes of additional specimens using one or more output signals from the measurement device. For example, a thickness of a layer on the specimen may be determined using one or more output signals from the measurement device. The thickness of the layer on the specimen may be, for example, greater than a predetermined thickness. The predetermined thickness may vary depending on, for example, a feature of a semiconductor device, which may be fabricated during the etch process. Before processing additional specimens, a radio frequency power or another parameter of one or more instruments coupled to the etch tool may be altered. For example, the radio frequency power of the etch process may be increased to etch a greater thickness of a layer on additional specimens. In this manner, a thickness of a layer on additional specimens etched by the etch process may be closer to the predetermined thickness than the layer measured on the specimen. In this manner, the processor may be configured to alter a parameter of one or more instruments coupled to an etch tool in response to output signals from the measurement device using a feedback control technique. [0449]
  • In an additional embodiment, the processor may be configured to determine process conditions of additional semiconductor fabrication processes using one or more output signals from the measurement device. The additional semiconductor fabrication processes may be performed subsequent to an etch process. Additional semiconductor fabrication processes performed subsequent to the etch process may include, but are not limited to, a process to strip a masking material on the specimen. Typically, a masking material may be patterned on a specimen using a lithography process such that regions of the specimen may be exposed during subsequent processing. At least a portion of the exposed regions of the specimen may be removed during a subsequent etch process. [0450]
  • Masking material remaining on the specimen after the etch process may be removed by a stripping process. A thickness of a masking material on a specimen during or subsequent to an etch process may be determined using one or more output signals from the measurement device. The determined thickness of the masking material on the specimen subsequent to an etch process may be, for example, greater than a predetermined thickness. Current process conditions of a stripping process, however, may be optimized for the predetermined thickness of the masking material on the specimen. Therefore, before stripping the masking material, a process condition of the stripping process such as process time or process temperature may be altered such that substantially the entire masking material may be removed by the stripping process. For example, a process time of the stripping process may be increased such that approximately an entire thickness of the masking material may be removed from the specimen. In this manner, the processor may be configured to alter a parameter of an instrument coupled to a stripping tool in response to one or more output signals from the measurement device using a feedforward control technique. In addition, the processor may be further configured according to any of the embodiments described herein. [0451]
  • In an embodiment, a method for determining a characteristic of a specimen during an etch process may include disposing [0452] specimen 278 upon stage 280. Stage 280 may be disposed within process chamber 274 of an etch tool. The stage may be configured to support the specimen during an etch process. Measurement device 272 may be coupled to process chamber 274 of the etch tool as described herein. As such, stage 280 may be coupled to measurement device 272. In addition, measurement device 272 may be configured as described herein. The method may include directing an incident beam of light to a region of the specimen. The incident beam of light may have a known polarization state. The directed incident beam of light may illuminate the region of the specimen at multiple angles of incidence during the etch process. The illuminated region of the specimen may be an exposed region of the specimen being removed during the etch process.
  • In addition, the method may include detecting light returned from the illuminated region of the specimen during the etch process. The method may also include generating one or more output signals in response to the detected light. The one or more output signals may be responsive to a polarization state of the light returned from the illuminated region of the specimen. Therefore, the method may include determining a change in a polarization state of the incident beam of light returned from the specimen. The change in the polarization state of the incident beam of light returned from the specimen may vary depending upon, for example, one or more characteristics of the specimen such as a thickness of a layer on the specimen. In this manner, the method may include determining one or more characteristics of a layer on the specimen using the one or more output signals. Furthermore, the method may include determining one or more characteristics of more than one layer on the specimen using the one or more output signals. Such characteristics may include a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof. [0453]
  • In additional embodiments, the method for determining a characteristic of a layer on a specimen during an etch process may include any steps of the embodiments as described herein. For example, the method may include altering a parameter of one or more instruments coupled to the etch tool in response to one or more output signals from the measurement device. In this manner, the method may include altering a parameter of one or more instrument coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to one or more output signals from the measurement device. For example, the method may include altering a sampling frequency of the measurement device in response to one or more output signals from the measurement device. [0454]
  • Furthermore, the method may include obtaining a signature characterizing an etch process. The signature may include at least one singularity representative of an endpoint of the etch process. For example, an endpoint of an etch process may be a predetermined thickness of a layer on the specimen. In addition, the predetermined thickness may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the etch tool to reduce, and even terminate, the etch process. [0455]
  • An additional embodiment relates to a computer-implemented method for controlling a system configured to determine a characteristic of a specimen during an etch process. The system may include a measurement device coupled to an etch tool as described herein. The method may include controlling the measurement device to detect light returned from a region of the specimen during an etch process. For example, controlling the measurement device may include controlling a light source to direct an incident beam of light to a region of the specimen during an etch process. The light source may be controlled such that the incident beam of light may illuminate the region of the specimen at multiple angles of incidence during the etch process. The incident beam of light may have a known polarization state. The illuminated region of the specimen may include a region of the specimen being removed during the etch process. In addition, controlling the measurement device may include controlling a detector to detect at least a portion of light returned from the illuminated region of the specimen during the etch process. The method may also include generating one or more output signals responsive to the detected light. Furthermore, the method may include processing the one or more output signals to determine a change in a polarization state of the incidence beam of light returned from the illuminated region of the specimen. The method may further include determining one or more characteristics of a layer on the specimen using the one or more output signals. The characteristics may include, but are not limited to, a thickness, an index of refraction, an extinction coefficient of the layer on the specimen, and/or a critical dimension of a feature on the specimen, or any combination thereof. [0456]
  • In additional embodiments, the computer-implemented method for controlling a system configured to determine a characteristic of a specimen during an etch process may include steps of any of the embodiments as described herein. For example, the method may include controlling an instrument coupled to the etch tool to alter a parameter of the instrument in response to one or more output signals from the measurement device. The method may include controlling an instrument coupled to the etch tool to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter a parameter of the instrument in response to one or more output signals from the measurement device. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to one or more output signals from the measurement device. [0457]
  • In an additional example, the method may include controlling the measurement device to obtain a signature characteristic of an etch process. The signature may include at least one singularity representative of an endpoint of the etch process. An endpoint of an etch process may include, but is not limited to, a predetermined thickness of a layer on the specimen. The predetermined thickness may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of one or more instruments coupled to the etch tool to alter a parameter of the instruments to reduce, and even end, the etch process. [0458]
  • An additional embodiment relates to a method for fabricating a semiconductor device, which may include disposing a specimen upon a stage. The stage may be disposed within a process chamber of an etch tool, as shown in FIG. 24. The stage may be configured to support the specimen during an etch process. A measurement device may also be coupled to the process chamber of the etch tool, as shown in FIG. 24. In this manner, the stage may be coupled to the measurement device. [0459]
  • The method may further include forming a portion of a semiconductor device upon the specimen. For example, forming a portion of a semiconductor device may include etching exposed regions of the specimen. During an etch process, typically, an entire specimen may be exposed to an etch chemistry. A masking material may be arranged on the specimen prior to the etch process to expose predetermined regions of the specimen to the etch chemistry. For example, portions of the masking material may be removed using a lithography process and/or an etch process to expose predetermined regions of the specimen. The exposed predetermined regions may be regions of the specimen in which features of a semiconductor device may be formed. Remaining portions of the masking material may substantially inhibit underlying regions of the specimen to be etched during the etch process. Appropriate masking materials may include, but are not limited to, a resist, a dielectric material such as silicon oxide, silicon nitride, and titanium nitride, a conductive material such polycrystalline silicon, cobalt silicide, and titanium silicide, or any combination thereof. [0460]
  • The method for fabricating a semiconductor device may also include directing an incident beam of light to a region of the specimen. The incident beam of light may have a known polarization state. The region of the specimen may be a region of the specimen being removed during the etch process. The method may also include detecting at least a portion of the light returned from the illuminated region of the specimen during the etch process. The method may further include generating a signal responsive to the detected light. In addition, the method may include determining a change in a polarization state of the incident beam of light returned from the specimen. The change in the polarization state of the incident beam of light returned from the specimen may vary depending on, for example, one or more characteristics of the specimen. In this manner, the method may include determining one or more characteristics of a layer on the specimen using the one or more output signals. The characteristics may include, but are not limited to, a thickness, an index of refraction, and an extinction coefficient of the layer on the specimen, a critical dimension of a feature on the specimen, or any combination thereof. [0461]
  • In additional embodiments, the method for fabricating a semiconductor device may include steps of any of the embodiments as described herein. For example, the method may include altering a parameter of one or more instruments coupled to the etch tool in response to one or more output signals from the measurement device. In this manner, the method may include altering a parameter of one or more instruments coupled to the etch tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to one or more output signals from the measurement device. For example, the method may include altering a sampling frequency of the measurement device in response to one or more output signals from the measurement device. [0462]
  • Furthermore, the method may include obtaining a signature characteristic of an etch process. The signature may include at least one singularity representative of an endpoint of the etch process. An endpoint of an etch process may be a predetermined thickness of a layer on the specimen. In addition, the predetermined thickness may be larger or smaller depending upon, for example, the semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the etch tool to reduce, and even terminate, the etch process. [0463]
  • FIG. 25 illustrates an embodiment of a system configured to evaluate an ion implantation process. In an embodiment, a system configured to evaluate an ion implantation process may include [0464] measurement device 308 coupled to ion implanter 310. Measurement device 308 may be coupled to ion implanter 310 such that measurement device 308 may be external to the ion implanter. As such, exposure of the measurement device to chemical and physical conditions within the ion implanter may be reduced, and even eliminated. Furthermore, measurement device 308 may be externally coupled to ion implanter 310 such that the measurement device does not alter the operation, performance, or control of the ion implantation process. For example, an ion implanter process chamber may include relatively small sections of a substantially transparent material 312 disposed within walls of the process chamber. A configuration of an ion implanter, however, may determine an appropriate method to couple the measurement device to the ion implanter. For example, the placement and dimensions of the substantially transparent material sections 312 within walls of the process chamber may vary depending on the configuration of the components within the process chamber. Examples of ion implanters are illustrated in U.S. Pat. No. 5,78,589 to Aitken, U.S. Pat. No. 4,587,432 to Aitken, U.S. Pat. No. 4,733,091 to Robinson et al., U.S. Pat. No. 4,743,767 to Plumb et al., U.S. Pat. No. 5,047,648 to Fishkin et al., U.S. Pat. No. 5,641,969 to Cooke et al., U.S. Pat. No. 5,886,355 to Bright et al., U.S. Pat. No. 5,920,076 to Burgin et al., U.S. Pat. No. 6,060,715 to England et al., 6,093,625 to Wagner et al., 6,101,971 to Denholm et al., and are incorporated by reference as if fully set forth herein.
  • In an alternative embodiment, [0465] measurement device 308 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to ion implanter 310, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of ion implanter 310. In this manner, a robotic wafer handler of ion implanter 310, stage 316, or another suitable mechanical device may be configured to move specimen 314 to and from the measurement chamber and process chambers of the ion implanter. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 314 between process chambers of the ion implanter and the measurement chamber. Measurement device 308 may be further coupled to ion implanter 310 as further described with respect to FIG. 17.
  • [0466] Measurement device 308 may be configured to periodically direct an incident beam of light to specimen 314 such that a region of the specimen may be periodically excited prior to, during, and/or subsequent to ion implantation. Measurement device 308 may also be configured to direct a sample beam of light to the periodically excited region of specimen 314 prior to, during, and/or subsequent to ion implantation. In addition, measurement device 308 may be configured to measure an intensity of the sample beam reflected from the periodically excited region of specimen 314 prior to, during, and/or subsequent to ion implantation. The small sections of substantially transparent material 312 may transmit the incident and sample beams from one or more illumination systems outside the process chamber to a specimen within the process chamber and the reflected sample beam from the specimen to a detection system outside the process chamber. The substantially transparent material 312 may have optical and/or material properties such that the beams may pass through the substantially transparent sections of the process chamber without undesirably altering the optical properties of the incident, sample, and reflected beam. In this manner, measurement device 308 may be coupled to stage 316 disposed within the process chamber and configured to support specimen 314.
  • In an embodiment, [0467] measurement device 308 may include light source 318 such as an argon laser configured to emit an incident beam of light. The light source may also be configured to generate electromagnetic radiation of other and/or multiple wavelengths including X-rays, gamma rays, infrared light, ultraviolet light, visible light, microwaves, or radio-frequencies. Light source 318 may also include any energy source that may cause a localized heated area on a surface of specimen 314 such as a beam of electrons, protons, neutrons, ions, or molecules. Such an energy source may be disposed within the process chamber of ion implanter 310. In addition, light source 318 may also include any energy source configured to cause at least some electrons of the specimen in a valence band to be excited across the band gap to a conductor band thereby creating a plurality of electron-hole pairs called a plasma. Measurement device 308 may also include modulator 320, which may be configured to chop the incident beam emitted from light source 318. The modulated incident light beam may be directed to specimen 314 to periodically excite a region of the specimen.
  • [0468] Measurement device 308 may also include additional light source 322 such as a helium neon laser configured to emit a sample beam of light. The measurement device may further include additional optical components such as dichroic mirror 324, polarizing beamsplitter 326, quarter wave plate 328, and focusing lens 330 such as a microscopic objective. The additional optical components may be arranged within the measurement device such that the modulated incident beam and the sample beam may be directed to substantially the same region of the specimen. The additional optical components, however, may also be arranged within the measurement device such that the modulated incident beam and the sample beam may be directed to two overlapping but non-coaxial, or two laterally spaced, regions of the specimen.
  • [0469] Measurement device 308 may also include a tracker (not shown) coupled to each of the light sources. The trackers may be configured to control a position of the incident beam and the sample beam. For example, the trackers may be configured to alter a position of the incident beam with respect to a position of the sample beam during an ion implantation process. In addition, the trackers may be configured to control positions of the incident beam and the sample beam such that the beams may be directed to substantially different regions of the specimen during an ion implantation process. As such, the system may be configured to evaluate the ion implantation process at any number of positions on the specimen. The additional optical components may also be arranged within the measurement device such that the sample beam reflected from the surface of the specimen may be directed to a detection system of the measurement device.
  • In an embodiment, [0470] detection system 332 may include a conventional photodetector that may be configured to measure intensity variations of the reflected sample beam. The intensity variations of the reflected sample beam may vary depending on, for example, periodic reflectivity changes in the periodically excited region of specimen 314. In alternative embodiments, detection system 332 may include a conventional interferometer. In this manner, the reflected sample beam may be combined with a reference beam prior to striking the interferometer. The reference beam may be a portion of the sample beam and may be directed to the interferometer by partially transmissive mirror 326. Since the sample beam reflected from the specimen and the reference beam may not be in phase, interference patterns may develop in the combined beam. Intensity variations of the interference patterns may be detected by the interferometer.
  • In additional embodiments, [0471] detection system 332 may include a split or bi-cell photodetector having a number of quadrants. Each quadrant of the photodetector may be configured to independently measure an intensity of the reflected sample beam. In this manner, each quadrant may detect different intensities as the reflected sample beam fluctuates across the surface of the photodetector. As such, the split photodetector may be configured to measure the extent of deflection of the reflected sample beam. For deflection measurements, the modulated incident beam and the sample beam may be directed to two overlapping but non-coaxial regions of the specimen as described above. Examples of modulated optical reflectance measurement devices are illustrated in U.S. Pat. No. 5,79,463 to Rosencwaig et al., U.S. Pat. No. 4,750,822 to Rosencwaig et al., U.S. Pat. No. 4,854,710 to Opsal et al., and U.S. Pat. No. 5,978,074 to Opsal et al. and are incorporated by reference as if fully set forth herein. The embodiments described herein may also include features of the systems and methods illustrated in these patents. In addition, each of the detectors described above may be configured to generate one or more output signals responsive to the intensity variations of the reflected sample beam.
  • The intensity variations of the reflected sample beam may be altered by the implantation of ions into the specimen. For example, during ion implantation processes, and especially in processes using high dosage levels, a portion of the specimen may be damaged due to the implantation of ions into the specimen. A damaged portion of the specimen may, typically, include an upper crystalline damaged layer and an intermediate layer of amorphous silicon. A lattice structure of the upper crystalline damaged layer may be substantially different than a lattice structure of the intermediate layer of amorphous silicon. The upper crystalline layer and the amorphous layer of silicon may, therefore, act as thermal and optical boundaries. For example, the two layers may have different periodic excitations due to differences in lattice structure. In addition, the different periodic excitations may cause the two layers to reflect the sample beam in a different manner. As such, the intensity variations of the reflected sample beam may depend on a thickness and a lattice structure of the upper crystalline layer and the amorphous layer. [0472]
  • The thickness of the upper crystalline layer and the amorphous layer may depend on a parameter of one or more instrument coupled to the ion implanter. A parameter of one or more instruments coupled to the ion implanter may determine the process conditions of an ion implantation process. Instruments coupled to ion implanter may include, but are not limited to, [0473] gas supply 334, energy source 336, pressure valve 338, and modulator 340. Damage in the upper crystalline layer may vary depending on, for example, electronic collisions between atoms of the silicon layer and the implanted ions. Displacement damage, however, may not be produced if the ions entering the silicon layer do not have enough energy per nuclear collision to displace silicon atoms from their lattice sites. In this manner, a thickness of the upper crystalline layer may vary depending upon, for example, implant energy. Increasing the dose of ions, and in particular heavy ions, may produce an amorphous region below the upper crystalline damaged layer in which the displaced atoms per unit volume may approach the atomic density of the semiconductor. As the implant dose of an ion implantation process increases, a thickness of the amorphous layer may also increase. In this manner, the intensity variations of the reflected sample beam may be dependent upon process conditions during implantation including, but not limited to, the implant energy and dose. Therefore, processor 342 coupled to measurement device 308 may be configured to determine a parameter of an instrument coupled to ion implanter 310 from the measured intensity variations of the reflected sample beam prior to, during, and/or subsequent to ion implantation. Parameters of one or more instruments coupled to the ion implanter may define process conditions including, but not limited to, an implant energy, an implant dose, an implant species, an angle of implantation, and temperature.
  • In an embodiment, [0474] processor 342 coupled to measurement device 308 may be configured to determine one or more characteristics of an implanted region of specimen 314 from one or more output signals from detection system 332 prior to, during, and/or subsequent to ion implantation. The characteristics of an implanted region may include, but are limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof. Subsequent to implantation, the specimen may be annealed to electrically activate implanted regions of the specimen. Characteristics of an electrically activated implanted region such as depth and distribution profile may depend upon thicknesses of the upper crystalline layer and the amorphous layer formed during implantation and process conditions of the anneal process. As such, characteristics of an electrically activated implanted region may be determined from the determined characteristics of the implanted region. In addition, processor 342 coupled to measurement device 308 may be configured to determine a presence of defects such as foreign material on the specimen prior to, during, and/or subsequent to an implantation process from one or more output signals from detection system 332.
  • In an additional embodiment, [0475] processor 342 may be coupled to measurement device 308 and ion implanter 310. The processor may be configured to interface with the measurement device and the ion implanter. For example, the processor may receive output signals from the ion implanter during an ion implantation process that may be representative of a parameter of one or more instrument coupled to the ion implanter. The processor may also be configured to receive output signals from the detection system during an ion implantation process. In an additional embodiment, the measurement device may be configured to measure variations in output signals from the detection system during an ion implantation process. For example, the measurement device may be configured to detect the reflected sample beam substantially continuously or at predetermined time intervals during implantation. The processor may, therefore, be configured to receive output signals responsive to the detected light substantially continuously or at predetermined time intervals and to monitor variations in the one or more output signals during the ion implantation process. In this manner, processor 342 may be configured to determine a relationship between the output signals responsive to the detected light and parameters of one or more instruments coupled to an ion implanter. As such, processor 342 may be configured to alter a parameter of one or more instruments in response to the determined relationship. In addition, processor 342 may be configured to determine a parameter of one or more instruments using the relationship and output signals from the measurement device.
  • Furthermore, [0476] additional controller computer 344 may be coupled to ion implanter 310. Controller computer 344 may be configured to alter a parameter of one or more instruments coupled to the ion implanter. Processor 342 may also be coupled to controller computer 344. In this manner, controller computer 344 may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to one or more output signals from processor 342, which may be responsive to a determined parameter. In addition, controller computer 344 may monitor a parameter of one or more instruments coupled to the ion implanter and may send one or more output signals responsive to the monitored parameters to processor 342.
  • Additionally, the processor may be further configured to control the measurement device and the ion implanter. For example, the processor may be configured to alter a parameter of one or more instruments coupled to the ion implanter in response to one or more output signals from the measurement device. In this manner, the processor may be configured to alter a parameter of an instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to output signals from the measurement device. For example, the processing device may be configured to alter a sampling frequency of the measurement device in response to output signals from the measurement device. [0477]
  • By analyzing the variations in output signals from the measurement device during an ion implantation process, the processor may also generate a signature that may be representative of the implantation of the ions into the specimen. The signature may include at least one singularity that may be characteristic of an endpoint of the ion implantation process. For example, an appropriate endpoint for an ion implantation process may be a predetermined concentration of ions in the specimen. In addition, the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen. After the processor has detected the singularity of the signature, the processor may reduce, and even terminate, the implantation of ions into the specimen by altering a parameter of one or more instruments coupled to the ion implanter. [0478]
  • In an embodiment, the processor may be configured to determine appropriate process conditions for subsequent ion implantation processes of additional specimens using output signals from the measurement device. For example, a depth of implanted ions in the specimen may be determined using the output signals. The determined depth of an implanted region of the specimen may be less than a predetermined depth. The predetermined depth may vary depending on a semiconductor device being fabricated on the specimen. Before processing additional specimens, a parameter of one or more instruments coupled to the ion implanter may be altered such that an implanted depth of the additional specimens may be closer to the predetermined depth than the implanted depth of the measured specimen. For example, the implant energy of the ion implant process may be increased to drive the ions deeper into the additional specimens. In this manner, the processor may be coupled to alter a parameter of one or more instruments coupled to an ion implanter in response to output signals from the measurement device using a feedback control technique. [0479]
  • In an additional embodiment, the processor may be configured to determine process conditions of additional semiconductor fabrication processes that may be performed subsequent to the ion implantation process using output signals from the measurement device. Additional semiconductor fabrication process may include, but are not limited to, a process to anneal implanted regions of the specimen. For example, a depth of an implanted region of a specimen may be determined using the output signals. The determined depth of the implanted region of the specimen may be greater than a predetermined depth. Current process conditions of a subsequent annealing process, however, may be optimized for the predetermined depth. Therefore, before annealing the implanted specimen, a process condition of the annealing process such as anneal time or anneal temperature may be altered. For example, an anneal time may be increased to ensure substantially complete recrystallization of the amorphous layer formed in the specimen. In this manner, the processor may be configured to alter a parameter of one or more instruments coupled to an anneal tool in response to output signals from the measurement device using a feedforward control technique. In addition, the processor may be further configured according to any of the embodiments as described herein. [0480]
  • In an embodiment, a method for determining a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process may include disposing the specimen upon a stage. The stage may be disposed within a process chamber of an ion implanter. The stage may also be configured according to any of the embodiments as described herein. A measurement device may be coupled to the ion implanter as described herein. As such, the stage may be coupled to the measurement device. In addition, the measurement device may be configured as described herein. [0481]
  • The method may include directing an incident beam of light to a region of the specimen to periodically excite a region of the specimen during the ion implantation process. The region of the specimen may be a region of the specimen being implanted during the ion implantation process. The method may also include directing a sample beam of light to the periodically excited region of the specimen during the ion implantation process. In addition, the method may include detecting at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process. The method may further include generating one or more output signals in response to the detected light. Furthermore, the method may include determining one or more characteristics of the implanted region of the specimen using the one or more output signals. The characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof. [0482]
  • In additional embodiments, the method for determining a characteristic of a specimen during an ion implantation process may include steps of any of the embodiments described herein. For example, the method may include altering a parameter of one or more instruments coupled to the ion implanter in response to the one or more output signals. In this manner, the method may include altering a parameter of one or more instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. [0483]
  • The method may further include obtaining a signature characterizing the implantation of the ions into a specimen. The signature may include at least one singularity representative of an endpoint of the ion implantation process. For example, an endpoint for an ion implantation process may be a predetermined concentration of ions. In addition, the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the ion implanter to reduce, and even terminate, the ion implantation process. [0484]
  • In an embodiment, a computer-implemented method may be used to control a system configured to determine a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process. The system may include a measurement device coupled to an ion implanter as described herein. The method may include controlling the measurement device to measure modulated optical reflectance of a region of a specimen during the ion implantation process. For example, controlling the measurement device may include controlling a light source to direct an incident beam of light to a region of the specimen such that the region may be periodically excited during the ion implantation process. Controlling the measurement device may also include controlling an additional light source to direct a sample beam of light to the periodically excited region of the specimen during the ion implantation process. [0485]
  • In addition, controlling the measurement device may include controlling a detection system to detect at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process. In addition, the method may include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to determine one or more characteristics of the implanted region of the specimen. The characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration of implanted ions in the specimen, a depth of implanted ions in the specimen, a distribution profile of implanted ions in the specimen, or any combination thereof. [0486]
  • In additional embodiments, the computer-implemented method for controlling a system to determine a characteristic of a specimen prior to, during, and/or subsequent to an ion implantation process may include steps of any of the embodiments described herein. For example, the method may include controlling an instrument coupled to the ion implanter to alter a parameter of the instrument in response to the one or more output signals. In this manner, the method may include controlling an instrument coupled to the ion implanter to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter the parameter in response to the one or more output signals. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include controlling additional components of the system. For example, the method may include controlling the trackers to control lateral positions of the incident beam and the sample beam with respect to the specimen during use. In this manner, the method may include controlling the trackers to evaluate the ion implantation process at any number of positions on the specimen. [0487]
  • In an additional example, the method may include controlling the measurement device to obtain a signature characterizing the implantation of the ions into the specimen. The signature may include at least one singularity representative of an endpoint of the ion implantation process. For example, an endpoint for an ion implantation process may be a predetermined concentration of ions. The predetermined concentration of ions may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of an instrument coupled to the ion implanter to alter the parameter of the instrument thereby reducing, and even terminating, implantation of ions into the specimen. [0488]
  • An additional embodiment relates to a method for fabricating a semiconductor device that may include disposing a specimen upon a stage. The stage may be disposed within a process chamber of an ion implanter. The stage may be configured as described herein. A measurement device may also be coupled to the process chamber of the ion implanter. In this manner, the stage may also be coupled to the measurement device. The method may include forming a portion of the semiconductor device upon the specimen. For example, forming the portion of the semiconductor device may include implanting ions into the specimen. During an ion implantation process, typically, the entire wafer may be scanned with a beam of ions. A masking material may be arranged on the specimen to expose predetermined regions of the specimen to implantation. For example, portions of the masking material may be removed using a lithography process and/or an etch process to expose regions of the specimen to an implantation process. The exposed regions may include regions of the specimen in which features of a semiconductor device are to be formed. Appropriate masking materials may include, but are not limited to, a resist, a dielectric material such as silicon oxide, silicon nitride, and titanium nitride, a conductive material such as polycrystalline silicon, cobalt silicide, and titanium silicide, or any combination thereof. [0489]
  • The method for fabricating a semiconductor device may also include directing an incident beam of light to a region of the specimen. The directed incident beam of light may periodically excite a region of the specimen during the ion implantation process. The region of the specimen may be a region of the specimen implanted during the ion implantation process. The method may also include directing a sample beam of light to the periodically excited region of the specimen during the ion implantation process. In addition, the method may include detecting at least a portion of the sample beam reflected from the periodically excited region of the specimen during the ion implantation process. The method may also include generating one or more output signals in response to the detected light. Furthermore, the method may include determining one or more characteristics of the implanted region of the specimen using the one or more output signals. The characteristics of the implanted region may include, but are not limited to, a presence of implanted ions in the specimen, a concentration, a depth, and a distribution profile of implanted ions in the specimen, or any combination thereof. [0490]
  • In additional embodiments, the method for fabricating a semiconductor device may include steps of any of the embodiments described herein. For example, the method may include altering a parameter of an instrument coupled to the ion implanter in response to the one or more output signals. In this manner, the method may include altering a parameter of an instrument coupled to the ion implanter using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. [0491]
  • Furthermore, the method may include obtaining a signature characteristic of the implantation of the ions into the specimen. The signature may include at least one singularity representative of an endpoint of the ion implantation process. For example, an endpoint for an ion implantation process may be a predetermined concentration of ions. In addition, the predetermined concentration of ions may be larger or smaller depending upon a semiconductor device being fabricated on the specimen. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of an instrument coupled to the ion implanter to reduce, and even terminate, the implantation of ions into the specimen. [0492]
  • FIG. 26 illustrates an embodiment of a system configured to determine at least one characteristic of micro defects on a surface of a specimen. In an embodiment, such a system may include [0493] measurement device 346 coupled to process tool 348. Process tool 348 may be configured as a process chamber of a semiconductor fabrication process tool or a semiconductor fabrication process tool. In this manner, process tool 348 may be configured to perform a step of a semiconductor fabrication process such as lithography, etch, ion implantation, chemical-mechanical polishing, plating, chemical vapor deposition, physical vapor deposition, and cleaning. For example, as shown in FIG. 26, process tool 348 may include a resist apply chamber of a process tool or a develop chamber of a process tool. As such, process tool 348 may be configured to fabricate a portion of a semiconductor device on specimen.
  • [0494] Measurement device 346 may be coupled to process tool 348 such that the measurement device may be external to the process tool. As such, exposure of the measurement device to chemical and physical conditions within the process tool may be reduced, and even eliminated. Furthermore, the measurement device may be externally coupled to the process tool such that the measurement device may not alter the operation, performance, or control of the process. For example, a process tool may include one or more relatively small sections of a substantially transparent material 350 disposed within walls of the process tool. The configuration of process tool 348, however, may determine an appropriate method to couple measurement device 346 to the process tool. For example, the placement and dimensions of the substantially transparent material sections 350 within the walls of the process tool may be depend on the configuration of the components within the process tool.
  • In an alternative embodiment, [0495] measurement device 346 may be disposed in a measurement chamber, as described with respect to and shown in FIG. 16. The measurement chamber may be coupled to process tool 348, as shown in FIG. 17. For example, the measurement chamber may be disposed laterally or vertically proximate one or more process chambers of process tool 348. In this manner, a robotic wafer handler of process tool 348, stage 354, or another suitable mechanical device may be configured to move specimen 352 to and from the measurement chamber and process chambers of the process tool. In addition, the robotic wafer handler, the stage, or another suitable mechanical device may be configured to move specimen 352 between process chambers of the process tool and the measurement chamber. Measurement device 346 may be further coupled to process tool 348 as further described with respect to FIG. 17.
  • In an embodiment, [0496] stage 354 may be disposed within process tool 348. Stage 354 may be configured to support specimen 352 during a process. In addition, stage 354 may also be configured according to any of the embodiments described herein. For example, the stage may include a motorized stage that may be configured to rotate in a direction indicated by vector 356. Illumination system 358 of measurement device 346 may be configured to direct light toward a surface of specimen 352. In addition, illumination system 358 may be configured to direct light toward a surface of the specimen during a process such as fabrication of a portion of a semiconductor device and during rotation of the stage. In addition, a detection system of measurement device 346 may include a first detector 360 and a second detector 362. Detectors 360 and 362 may be configured to detect light propagating from the surface of the specimen during a process such as fabrication of a portion of the semiconductor device and during rotation of the stage.
  • As shown in FIG. 26, [0497] first detector 360 may be configured to detect dark field light propagating along a dark field path from the surface of specimen 352. In addition, second detector 362 may be configured to detect bright field light propagating along a bright field path from the surface of specimen 352. In this manner, light detected by the measurement device may include dark field light propagating along a dark field path from the surface of the specimen and bright field light propagating along a bright field path from the surface of the specimen. In addition, the detectors may be configured to substantially simultaneously detect light propagating from a surface of the specimen.
  • Furthermore, detected light may include dark field light propagating along multiple dark field paths from the surface of the specimen. For example, as shown in FIG. 27, a detection system of [0498] measurement device 365 may include a plurality of detectors 366. The plurality of detectors may be positioned with respect to light source 368 such that each of the plurality of detectors may detect dark field light propagating from the surface of the specimen. In addition, the plurality of detectors may be arranged at a different radial and vertical positions with respect to light source 368. A system that includes measurement device 365 may be commonly referred to as a “pixel-based” inspection system. Examples of pixel-based inspection systems are illustrated in U.S. Pat. No. 5,887,085 to Otsuka, and U.S. Pat. No. 6,081,325 to Leslie et al., and PCT Application No. WO 00/02037 to Smilansky et al., and are incorporated by reference as if fully set forth herein. An example of an optical inspection method and apparatus utilizing a variable angle design is illustrated in PCT Application No. WO 00/77500 A1 to Golberg et al., and is incorporated by reference as if fully set forth herein.
  • As shown in FIG. 27, [0499] measurement device 365 may be further configured to direct light to multiple surfaces of specimen 370, which may be disposed upon a stage (not shown). The stage may be configured to move laterally and/or rotatably with respect to measurement device 365 as described herein. For example, the stage may be configured to move laterally while light from light source 368 may be configured to scan across the specimen in a direction substantially parallel to a radius of the specimen. Alternatively, the stage may be configured to move in two linear directions, which may be substantially orthogonal to one another, and optical components of measurement device 365 may be substantially stationary. The configuration of the stage with relation to the optical components of the measurement device may vary, however, depending upon, for example, space and mechanical constraints within the system. Light source 368 of measurement device may include any of the light sources as described herein. In addition, fiber optic cable 372 or another suitable light cable may be coupled to light source 368 and illumination system 374 positioned below specimen 370. In this manner, the measurement device may be configured to direct light to multiple surfaces of a specimen. In an alternative embodiment, measurement device 365 may include at least two light sources. Each of the plurality of light sources may be configured to direct light to a different surface of the specimen.
  • [0500] Measurement device 365 may also include detector 376 coupled to illumination system 374. As shown in FIG. 27, detector 376 may be positioned with respect to illumination system 374 such that the detector may detect dark field light propagating along a dark field path. In an alternative embodiment, however, detector 376 may be positioned with respect to illumination 374 such that the detector may detect bright field light propagating along a bright field path. Measurement device 346 and measurement device 365 may be further configured as according to any of the embodiments described herein.
  • The measurement device may be further configured according to any of the embodiments described herein. In addition, the system may include an additional measurement device. The additional measurement device may include any of the measurement device as described herein. [0501]
  • In an embodiment, [0502] processor 364 coupled to measurement device 346 may be configured to determine one or more characteristics of defects on a surface of specimen 352, as shown in FIG. 26. In addition, processor 378 coupled to measurement device 365 may be configured to determine one or more characteristics of defects on one or more surfaces of specimen 370. Processor 364 and processor 378 may be similarly configured. For example, processors 364 and 378 may be configured to receive one or more output signals from detectors 360 and 362 or 366 and 376, respectively, in response to light detected by the detectors. In addition, both processors may be configured to determine at least one characteristic of defects on at least one surface of a specimen. The defects may include macro defects and/or micro defects. For example, processor 264 and processor 378 may be configured to determine at least one characteristics of macro defects on a front side and a back side of a specimen. In addition, one or more characteristics of defects may include, but are not limited to, a presence of defects on a surface of specimen, a type of defects on a surface of a specimen, a number of defects on a surface of a specimen, and a location of defects on a surface of a specimen. In addition, processor 364 and processor 378 may be configured one or more characteristics of defects substantially simultaneously or sequentially. In this manner, further description of processor 364 may be applied equally to processor 378.
  • In an additional embodiment, [0503] processor 364 may be coupled to measurement device 346 and process tool 348. The process tool may include, for example, a wafer cleaning tool such as a wet or dry cleaning tool, a laser cleaning tool, or a shock wave particle removal tool. An example of a laser cleaning tool is illustrated in “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein. An example of a shock wave particle removal method and apparatus is illustrated in U.S. Pat. No. 5,023,424 to Vaught, which is incorporated by reference as if fully set forth herein. Processor 364 may be configured to interface with measurement device 346 and process tool 348. For example, processor 364 may receive one or more output signals from process tool 348 during a process that may be responsive to a parameter of an instrument coupled to the process tool. Processor 364 may also be configured to receive one or more output signals from measurement device 346, which may be responsive to light detected by detector 360 and detector 362 as described herein.
  • In an additional embodiment, the measurement device may be configured to detect light returned from the specimen during a process, as described herein. For example, the measurement device may be configured to detect light propagating from the specimen substantially continuously or at predetermined time intervals during a process. The processor may, therefore, receive output signals from the measurement device in response to the detected light and may monitor variations in the output signals during a process. In this manner, [0504] processor 364 may be configured to determine a relationship between the output signals and a parameter of one or more instruments coupled to process tool 348. As such, processor 364 may be configured to alter a parameter of an instrument coupled to the process tool in response to the determined relationship. In addition, the processor may be configured to determine a parameter of an instrument coupled to the process tool using the relationship and one or more output signals from the measurement device.
  • Additionally, [0505] processor 364 may be further configured to control measurement device 346 and process tool 348. For example, the processor may be configured to alter a parameter of one or more instruments coupled to the process tool in response to output signals from the measurement device. In this manner, the processor may be configured to alter a parameter of one or more instruments coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the processor may be configured to alter a parameter of an instrument coupled to the measurement device in response to one or more output signals from the measurement device. For example, the processor may be configured to alter a sampling frequency of the measurement device in response to the output signals.
  • By analyzing the variations in the output signals from the measurement device during a process, the processor may also generate a signature that may be characteristic of the process. The signature may include at least one singularity that may be characteristic of an endpoint of the process. For example, an endpoint for a process may be a predetermined thickness of a layer. A predetermined thickness of a layer on the specimen may be larger or smaller depending upon, for example, a semiconductor device being fabricated on the specimen. After detecting the singularity, the processor may reduce, and even terminate, processing of the specimen by altering a parameter of one or more instruments coupled to the process tool. [0506]
  • In an embodiment, the processor may be configured to determine parameters of one or more instruments coupled to the process tool for processing of additional specimens using output signals from the measurement device. For example, a thickness of a layer on the specimen may be determined using output signals from the measurement device. The thickness of the layer on the specimen may be greater than a predetermined thickness. The predetermined thickness may vary depending on, for example, a semiconductor device being fabricated one the specimen. Before processing additional specimens, a parameter of one or more instruments coupled to the process tool may be altered such that a thickness of a layer on the additional specimens may be closer to the predetermined thickness than a thickness of the layer on the measured specimen. For example, the radio frequency power of an etch process may be increased to etch a greater thickness of the layer on the specimen. In this manner, the processor may be used to alter a parameter of one or more instruments coupled to a process tool in response to output signals from the measurement device using a feedback control technique. [0507]
  • In an additional embodiment, the processor may be configured to determine process conditions of additional semiconductor fabrication processes using output signals from the measurement device. For example, the processor may be configured to alter a parameter of an instrument coupled to a stripping tool in response to output signals from the measurement device using a feedforward control technique. In addition, the processor may be further configured according to the embodiments described herein. [0508]
  • In an embodiment, a method for determining a characteristic of a specimen during a process may include disposing [0509] specimen 352 upon stage 354. Stage 354 may be disposed within process tool 348. The stage may also be configured according to any of the embodiments described herein. Measurement device 346 may be coupled to process tool 348 as described herein. As such, stage 354 may be coupled to measurement device 346. In addition, measurement device 346 may be configured as described herein. The method may include directing light to a surface of the specimen during a process. In addition, the method may include detecting light returned from the surface of the specimen during a process. The method may also include generating one or more output signals in response to the detected light. In this manner, the method may include determining a characteristic of the specimen being processed using the one or more output signals. The characteristic may include a presence, a number, a location, and a type of defects on at least one surface of the specimen, or any combination thereof.
  • In additional embodiments, the method for determining a characteristic of a specimen during a process may include steps of any of the embodiments described herein. For example, the method may include altering a parameter of an instrument coupled to the process tool in response to the one or more output signals. In this manner, the method may include altering a parameter of an instrument coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of an instrument coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characteristic of the process. The signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the process tool to reduce, and even terminate, the process. [0510]
  • In an embodiment, a computer-implemented method may be used to control a system configured to determine a characteristic of a specimen during a process. The system may include a measurement device coupled to a process tool as described herein. The method may include controlling the measurement device to detect light returned from a surface of a specimen during a process. For example, controlling the measurement device may include controlling a light source to direct light to a surface of the specimen during the process. In addition, controlling the measurement device may include controlling a detector configured to detect light returned from the surface of the specimen during the process. The method may also include generating one or more output signals in response to the detected light. Furthermore, the method may include processing the one or more output signals to determine at least one characteristic of defects on at least one surface of the specimen using the one or more output signals. The characteristics may also include any of the characteristics described herein. [0511]
  • In additional embodiments, the computer-implemented method for controlling a system to determine a characteristic of a specimen during a process may include any steps of the embodiments described herein. For example, the method may include controlling one or more instruments coupled to the process tool to alter a parameter of the instruments in response to the one or more output signals. In this manner, the method may include controlling one or more instruments coupled to the process tool to alter a parameter of the instrument using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include controlling an instrument coupled to the measurement device to alter the parameter in response to the one or more output signals. For example, the method may include controlling an instrument coupled to the measurement device to alter a sampling frequency of the measurement device in response to the one or more output signals. [0512]
  • In an additional example, the method may include controlling the measurement device to obtain a signature characteristic of the process. The signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include controlling a parameter of one or more instruments coupled to the process tool to alter a parameter of an instrument to reduce, and even stop, the process. [0513]
  • An additional embodiment relates to a method for fabricating a semiconductor device, which may include disposing a specimen upon a stage. The stage may be disposed within a process tool. The stage may be configured as described herein. A measurement device may also be coupled to the process tool. In this manner, the stage may be coupled to the measurement device. The method may further include forming a portion of a semiconductor device upon the specimen. For example, forming a portion of a semiconductor device may include performing at least a step of a semiconductor fabrication process on the specimen. The method for fabricating a semiconductor device may also include directing light to a surface of the specimen. The method may further include detecting light returned from the surface of the specimen during the process. In addition, the method may include generating one or more output signals in response to the detected light. Furthermore, the method may include determining at least one characteristic of the specimen from the one or more output signals. The characteristic may include a presence, a number, a type, or a location of defects on at least one surface of the specimen, or any combination thereof. [0514]
  • In additional embodiments, the method for fabricating a semiconductor device may include any steps of the embodiments described herein. For example, the method may include altering a parameter of one or more instruments coupled to the process tool in response to the one or more output signals. In this manner, the method may include altering a parameter of one or more instruments coupled to the process tool using a feedback control technique, an in situ control technique, and/or a feedforward control technique. In addition, the method may include altering a parameter of one or more instruments coupled to the measurement device in response to the one or more output signals. For example, the method may include altering a sampling frequency of the measurement device in response to the one or more output signals. Furthermore, the method may include obtaining a signature characteristic of the process. The signature may include at least one singularity representative of an endpoint of the process. Subsequent to obtaining the singularity representative of the endpoint, the method may include altering a parameter of one or more instruments coupled to the process tool to reduce, and even terminate, the process. [0515]
  • In an embodiment, each of the systems describe above may be coupled to an energy dispersive X-ray spectroscopy (“EDS”) device. Such a device may be configured to direct a beam of electrons to a surface of the specimen. The specimen may emit secondary electrons and a characteristic X-ray in response to the directed beam of electrons. The secondary electrons may be detected by a secondary electron detector and may be converted to electrical signals. The electrical signals may be used for brightness modulation or amplitude modulation of an image of the specimen produced by the system. The characteristic X-ray may be detected by a semiconductor X-ray detector and may be subjected to energy analysis. The X-ray spectrum may be analyzed to determine a composition of material on the specimen such as defects on a surface of the specimen. Examples of EDS systems and methods are illustrated in U.S. Pat. No. 5,59,450 to Robinson et al., U.S. Pat. No. 6,072,178 to Mizuno, and U.S. Pat. No. 6,084,679 to Steffan et al., and are incorporated by reference as if fully set forth herein. [0516]
  • Further Improvements
  • In an embodiment, each of the systems, as described herein, may be used to reduce, and even to minimize, within wafer (“WIW”) variability of critical metrics of a process such as a lithography process. For example, critical metrics of a lithography process may include a property such as, but are not limited to, critical dimensions of features formed by the lithography process and overlay misregistration. Critical metrics of a process, however, may also include any of the properties as described herein including, but not limited to, a presence of defects on the specimen, a thin film characteristic of the specimen, a flatness measurement of the specimen, an implant characteristic of the specimen, an adhesion characteristic of the specimen, a concentration of an elements in the specimen. Such systems, as described herein, may be configured to determine at least one property of a specimen at more than one position on the specimen. For example, the measurement device may be configured to measure at least the one property of the specimen at multiple positions within a field and/or at multiple positions within at least two fields on the specimen. The measured property may be sent to a processor, or a within wafer film processor. The processor may be coupled to the measurement device and may be configured as described herein. [0517]
  • In addition, because at least one property of the specimen may be measured at various positions across the specimen, at least one property may be determined for each of the various positions. As such, a parameter of one or more instruments coupled to a tool or a process chamber of a process tool may also be altered, as described above, independently from field to field on the specimen. For example, many exposure process tools may be configured such that the exposure dose and focus conditions of the expose process may be varied across the specimen, i.e., from field to field. In this manner, process conditions such as exposure dose and/or post exposure bake temperature may vary across the specimen in subsequent processes in response to variations in at least one measured property from field to field across the specimen. The exposure dose and focus conditions may be determined and/or altered as described herein using a feedback or feedforward control technique. In this manner, critical metrics of a process such as a lithography process may be substantially uniform across the specimen. [0518]
  • In an addition, a temperature of the post exposure bake plate may be altered across the bake plate by using a number of discrete secondary heating elements disposed within a primary heating element. Secondary heating elements may be independently controlled. As such, a temperature profile across a specimen during a post exposure bake process may be altered such that individual fields on a specimen may be heated at substantially the same temperature or at individually determined temperatures. A pressure of a plating head of a chemical mechanical polishing tool may be similarly altered across the plate head in response to at least the two properties determined at multiple locations on the specimen. [0519]
  • In addition, at least the one parameter of a process chamber may be altered such that a first portion of a specimen may be processed with a first set of process conditions during a step of the process and such that a second portion of the specimen may be processed with a second set of process conditions during the step. For example, each portion of the specimen may be a field of the specimen. In this manner, each field of the specimen may be subjected to a different process conditions such as, but not limited to, exposure dose and focus conditions and post exposure bake temperatures. As such, because each field of a specimen may be subjected to process conditions that may vary depending upon a measured property of the specimen, within wafer variations in critical metrics of the process may be substantially reduced, or even minimized. [0520]
  • It is to be understood that all of the measurements described above may be used to alter a parameter of a process chamber using a feedback, a feedforward, or in situ process control technique. In addition, within wafer variations of critical metrics of a process such as a lithography process may be further reduced by using a combination of the above techniques. [0521]
  • A system configured to evaluate and control a process using field level analysis as described above may provide dramatic improvements over current process control methods. Measuring within wafer variability of critical metrics, or critical dimensions, may provide tighter control of the critical dimension distribution. In addition to improving the manufacturing yield, therefore, the method described above may also enable a manufacturing process to locate the distribution performance of manufactured devices closer to a higher performance level. As such, the high margin product yield may also be improved by using such a method to evaluate and control a process. Furthermore, additional variations in the process may also be minimized. For example, a process may use two different, but substantially similarly configured process chambers, to process one lot of specimens. Two process chambers may be used to perform the same process such that two specimens may be processed simultaneously in order to reduce the overall processing time. Therefore, the above method may be used to evaluate and control each process chamber separately. As such, the overall process spread may also be reduced. [0522]
  • Data gathered using a system, as described herein, may be analyzed, organized and displayed by any suitable means. For example, the data may be grouped across the specimen as a continuous function of radius, binned by radial range, binned by stepper field, by x-y position (or range of x-y positions, such as on a grid), by nearest die, and/or other suitable methods. The variation in data may be reported by standard deviation from a mean value, a range of values, and/or any other suitable statistical method. [0523]
  • The extent of the within wafer variation (such as the range, standard deviation, and the like) may be analyzed as a function of specimen, lot and/or process conditions. For example, the within wafer standard deviation of the measured CD may be analyzed for variation from lot to lot, wafer to wafer, and the like. It may also be grouped, reported and/or analyzed as a function of variation in one or more process conditions, such as develop time, photolithographic exposure conditions, resist thickness, post exposure bake time and/or temperature, pre-exposure bake time and/or temperature, and the like. It may also or instead be grouped, reported and/or analyzed as a function of within wafer variation in one or more of such processing conditions. [0524]
  • Data gathered using a system, as described herein, may be used not just to better control process conditions, but also where desirable to better control in situ endpointing and/or process control techniques. For example, such data may be used in conjunction with an apparatus such as that set forth in U.S Pat. No. 5,689,614 to Gronet et al. and/or Published European Patent Application No. [0525] EP 1 066 925 A2, which are incorporated by reference as if fully set forth herein, to improve the control over localized heating of the substrate or closed loop control algorithms. Within wafer variation data may be fed forward or back to such a tool to optimize the algorithms used in control of local specimen heating or polishing, or even to optimize the tool design. In another example of such localized process control, within wafer variation data may be used to control or optimize a process or tool such as that set forth in one or more of Published PCT Patent Applications No. WO 99/41434 or WO 99/25004 and/or Published European Patent Application No 1065567 A2, which are hereby incorporated by reference as if fully set forth herein. Again, within wafer variation data taken, for example, from stand alone and/or integrated measurement tools, may be used to better control and/or optimize the algorithms, process parameters and integrated process control apparatuses and methods in such tools or processes. Data regarding metal thickness and its within wafer variation may be derived from an x-ray reflectance tool such as that disclosed in US Patent No. 5,619,548 and/or Published PCT Application No. WO 01/09566, which are hereby incorporated by reference as if fully set forth herein, by eddy current measurements, by e-beam induced x-ray analysis, or by any other suitable method.
  • As shown in FIG. 9, an embodiment of [0526] system 70 may have a plurality of measurement devices. Each of the measurement devices may be configured as described herein. As described above, each of the measurement devices may be configured to determine a different property of a specimen. As such, system 70 may be configured to determine at least four properties of a specimen. For example, measurement device 72 may be configured to determine a critical dimension of a specimen. In addition, measurement device 74 may be configured to determine overlay misregistration of the specimen. In an alternative embodiment, measurement device 76 may be configured to determine a presence of defects such as macro defects on the specimen. In addition, measurement device 76 may be configured to determine a number, a location, and/or a type of defects on the specimen. Furthermore, measurement device 78 may be configured as to determine one or more thin film characteristics of the specimen and/or a layer on the specimen. Examples of thin film characteristics include, but are not limited to, a thickness, an index of refraction, and an extinction coefficient. In addition, each of the measurement devices may be configured to determine two or more properties of a specimen. For example, measurement device 72 may be configured to determine a critical dimension and a thin film characteristic of a specimen substantially simultaneously or sequentially. In addition, measurement device 72 may be configured to determine a presence of defects on the specimen. As such, system 70 may be configured to determine at least four properties of the specimen simultaneously or sequentially.
  • [0527] System 70 may be arranged as a cluster tool. An example of a configuration of a cluster tool is illustrated in FIG. 14. For example, each of the measurement device described herein may be disposed in a measurement chamber. Each of the measurement chamber may be disposed proximate one another and/or coupled to each other. In addition, system 70 may include a wafer handler. The wafer handler may include any mechanical device as described herein. The system may be configured to receive a plurality of specimen to be measured and/or inspected such as a cassette of wafers. The wafer handler may be configured to remove a specimen from the cassette prior to measurement and/or inspection and to dispose a specimen into the cassette subsequent to measurement and/or inspection. The wafer handler may also be configured to dispose a specimen within each measurement chamber and to remove a specimen from each measurement chamber. In addition, the system may include a plurality of such wafer handlers. The system may be further configured as described with reference to FIG. 14. In addition, the system may be configured as a stand-alone metrology and/or inspection system. In this manner, the system may not be coupled to a process tool. Such a system may provide advantages over a similarly configured integrated tool. For example, such a system may be designed to be faster and cheaper than a similarly configured integrated tool because there may be less physical and mechanical constraints for a stand-alone system versus an integrated system. System 70 may be further configured as described herein.
  • In an embodiment, a system may be configured to determine at least two properties of a specimen including a thickness of a layer formed on the specimen and at least one additional property such as an index of refraction, a velocity of sound, a density, and a critical dimension, which may include a profile, of a layer or a feature formed upon the specimen. The specimen may include a structure such as single layer or multiple layers formed upon the specimen. In addition, the single layer or multiple layers formed on the specimen may include, but are not limited to, any combination of substantially transparent, semi-transparent, and opaque metal films. The specimen may also be a blanket wafer or a patterned wafer. As used herein, the term, “blanket wafer,” generally refers to a wafer having at least an upper layer that may not have been subjected to a lithography process. In contrast, as used herein, the term, “patterned wafer,” generally refers to a wafer having at least an upper layer that may be patterned by, for example, a lithography process and/or an etch process. [0528]
  • The system may be configured as described herein. For example, the system may include a processor coupled to two or more measurement devices. The processor may be configured to determine at least a thickness of the specimen and/or a layer on the specimen and at least one additional property of the specimen and/or a layer on the specimen from one or more output signals generated by the measurement devices. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include, but is not limited to, a small-spot photo-acoustic device, a grazing X-ray reflectometer, and a broadband small-spot spectroscopic ellipsometer. Examples of photo-acoustic devices are illustrated in U.S. Pat. No. 4,710,030 to Tauc et al., U.S. Pat. No. 5,748,318 to Maris et al., U.S. Pat. No. 5,844,684 to Maris et al., U.S. Pat. No. 5,684,393 to Maris, U.S. Pat. No. 5,959,735 to Maris et al., U.S. Pat. No. 6,008,906 to Maris, U.S. Pat. No. 6,025,918 to Maris, U.S. Pat. No. 6,175,416 to Maris et al., U.S. Pat. No. 6,191,855 to Maris, U.S. Pat. No. 6,208,418 to Maris, U.S. Pat. No. 6,208,421 to Maris et al., and U.S. Pat. No. 6,211,961 to Maris, which are incorporated by reference as if fully set forth herein. The system may also include a pattern recognition system that may be used in conjunction with the above devices. [0529]
  • In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, at least one element of a first measurement device, for example, may also be at least one element of a second measurement device. In addition, it may be advantageous for additional elements such a handling robots, stages, processor, and power supplies of a first measurement device to be used by a second measurement device. The system may also include an autofocus mechanism that may be configured to bring a specimen substantially into focus (i.e., to approximately a correct height) for a first measurement device, and then for a second measurement device. An example of an autofocus mechanism is shown in FIG. 11[0530] b, as autofocus sensor 124. An additional example of an autofocusing apparatus is illustrated in U.S. Pat. No. 6,172,349 to Katz et al., which is incorporated by reference as if fully set forth herein. The system, the measurement device, and the processor may be further configured as described herein.
  • Appropriate combinations of devices included in the measurement device may include, for example, a small-spot photo-acoustic device and a grazing X-ray reflectometer or a small-spot photo-acoustic device and a broadband small-spot spectroscopic ellipsometer. For example, a photo-acoustic device may provide measurements of layers having thickness of less than about a few hundred angstroms while a grazing X-ray reflectometer may provided measurements of layers having thicknesses in a range from about 50 angstroms to about 1000 angstroms. Ellipsometric techniques, especially broadband ellipsometry, may provide measurements of metal and semi-metallic layers having thicknesses of less than about 500 angstroms because at such thicknesses even metal may allow some light to pass through the layer. In addition, ellipsometric techniques may also provide measurements of transparent layers having thicknesses from about 0 angstroms to a few microns. As such, a system, as described herein, may provide measurements of layers having a broad range of thicknesses and materials. [0531]
  • In addition, such a system may be coupled to a chemical-mechanical polishing tool as described herein. Furthermore, the system may be coupled to or arranged proximate a chemical-mechanical polishing tool such that the system may determine at least two properties of a specimen, a layer of a specimen, and/or a feature formed on the specimen subsequent to a chemical-mechanical polishing process. For example, a feature formed on the specimen may include a relatively wide metal line. Such a relatively wide metal line may include, for example, a test structure formed on the specimen. In this manner, one or more of the determined properties of the test structure may be correlated (experimentally or theoretically) to one or more properties of a feature such as a device structure formed on the specimen. In addition, at least a portion of the specimen may include an exposed dielectric layer. Alternatively, the system may be coupled to any other process tools as described herein. [0532]
  • An appropriate spectroscopic ellipsometer may include a broadband light source, which may include one or a combination of light sources such as a xenon arc lamp, a quartz-halogen lamp, or a deuterium lamp. The ellipsometer may have a relatively high angle of incidence. For example, the angle of incidence may range from approximately 40 degrees to approximately 80 degrees, to the normal to the surface of the specimen. The spectroscopic ellipsometer may include an array detector such as a silicon photodiode array or a CCD array, which may be back thinned. [0533]
  • It may also be advantageous for the spectroscopic ellipsometer to include one or more fiber optic elements. For example, a first fiber optic element may be configured to transmit light from the light source to a first polarizing element. For example, such a fiber may ensure that the light is randomly polarized or depolarized. The spectroscopic ellipsometer may also include a second fiber optic element configured to transmit light to a spectrometer from an analyzer assembly. In this manner, the fiber optic element may be configured to alter, or “scramble,” a polarization state of light from the analyzer assembly such that the signal may not need correction for the polarization sensitivity of the spectrometer. In addition, or alternatively, the second fiber optic element may be configured to alter the polarization state of the light such that the spectrometer may be conveniently located at some distance from the specimen. The fiber optic element may, preferably, be made of fused silica or sapphire such that the fiber optic element may be transmissive at ultraviolet wavelengths. [0534]
  • The first polarizer may include a linear polarizing element such as a Rochon prism or a Wollaston prism and, optionally, a retarder (i.e., a compensator). The analyzer assembly may include a linear polarizing element and, optionally, a retarder. At least one of the linear polarizing elements may rotate continuously when making measurements. For calibration, at least two elements will be rotated either continuously or in a series of discrete steps. [0535]
  • The spectroscopic ellipsometer may further include reflective or refractive optics (or combinations thereof) configured to focus the light to a small spot on the specimen and to collect the light from the specimen. Any refractive components may, preferably, be made from fused SiO[0536] 2 or CaF2 for relatively good ultraviolet transmission. Any reflective components may, preferably, be coated with Al for relatively good broadband transmission. Typically, a thin overcoat of MgF2 or SiO2 may be formed over the Al to reduce, and even eliminate, oxidation of the Al. The reflective components may be spherical or aspherical. Diamond turning may be a convenient and well-known technique for making aspheric mirrors. For vacuum conditions such as conditions suitable for ultraviolet light having wavelengths in a range of less than about 190 nm, gold or platinum may be a suitable coating material. The spectroscopic ellipsometer may be further configured as described herein.
  • In an embodiment, a spectroscopic ellipsometer may be coupled to a lithography track. The lithography track may be configured as illustrated in FIG. 13 and as described herein. The spectroscopic ellipsometer may be configured as in any of the embodiments described herein. A processor may be coupled to the spectroscopic ellipsometer. The processor may be configured to determine at least one property of the specimen including, but not limited to, a critical dimension, a profile, a thickness or other thin film characteristics of the specimen, a layer formed on the specimen, and/or a feature formed on the specimen from one or more output signals generated by the spectroscopic ellipsometer. In addition, the spectroscopic ellipsometer may be coupled to the lithography track as described herein. For example, the spectroscopic ellipsometer may be coupled to a process chamber of the lithography track such that the spectroscopic ellipsometer may direct light toward and detect light returned from a specimen on a support device in the process chamber. In addition, the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen while the support device is spinning. Furthermore, the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen during a process being performed in the process chamber. The process may include, but is not limited to, a resist apply process, a post apply bake process, and a chill process. [0537]
  • Alternatively, the spectroscopic ellipsometer may be disposed within the lithography track. For example, the spectroscopic ellipsometer may be disposed above a chill chamber, in an integration system, or laterally proximate or vertically proximate to a process chamber of the lithography track. An integration system may be configured to couple a lithography track to an exposure tool. For example, the integration system may be configured to receive a specimen from the lithography track and to send the specimen to the exposure tool. In addition, the integration system may be configured to receive or remove a specimen from the exposure tool and to send the specimen to the lithography track. The integration system may also include one or more chill plates and a handling robot. In this manner, the system may be configured to determine at least one property of the specimen at various points in a lithography process such as prior to an exposure step, subsequent to the exposure step, and subsequent to a develop step of the process. [0538]
  • The spectroscopic ellipsometer may or may not be disposed within a measurement chamber as described above. For example, in an alternative embodiment, the spectroscopic ellipsometer may be coupled to a robotic wafer handler of the lithography track. In this manner, the spectroscopic ellipsometer may be configured to direct light toward and detect light returned from the specimen prior to or subsequent to a process such prior to exposure, subsequent to exposure, or after develop. For example, subsequent to exposure, the spectroscopic ellipsometer may be configured to generate one or more output signals responsive to a critical dimension, a profile, a thickness or other thin film characteristics of a latent image formed on the specimen by the exposure process. [0539]
  • An environment within the track may be controlled by chemical filtration of atmospheric air or by feeding a supply of sufficiently pure gas. For example, the environment within the track may be controlled such that levels of chemical species including, but not limited to, ammonia and amine-group-containing compounds, water, carbon dioxide, and oxygen may be reduced. In addition, the environment within the track may be controlled by a controller computer such as [0540] controller computer 162, as illustrated in FIG. 14 coupled to the ISP system. The controller computer may be further configured to control additional environmental conditions within the track including, but not limited to, relative humidity, particulate count, and temperature.
  • The spectroscopic ellipsometer may be configured as described herein. For example, an appropriate spectroscopic ellipsometer may include a broadband light source, which may include one or a combination of light sources such as a xenon arc lamp, a quartz-halogen lamp, or a deuterium lamp. The ellipsometer may have a relatively high angle of incidence. For example, the angle of incidence may range from approximately 40 degrees to approximately 80 degrees, to the normal to the surface of the specimen. The spectroscopic ellipsometer may include an array detector such as a silicon photodiode array or a CCD array, which may be back thinned. [0541]
  • It may also be advantageous for the spectroscopic ellipsometer to include one or more fiber optic elements. For example, a first fiber optic element may be configured to transmit light from the light source to a first polarizing element. For example, such a fiber may ensure that the light is randomly polarized or depolarized. The spectroscopic ellipsometer may also include a second fiber optic element configured to transmit light to a spectrometer from an analyzer assembly. In this manner, the fiber optic element may be configured to alter, or “scramble,” a polarization state of light from the analyzer assembly such that the signal may not need correction for the polarization sensitivity of the spectrometer. In addition, or alternatively, the second fiber optic element may be configured to alter the polarization state of the light such that the spectrometer may be conveniently located at some distance from the specimen. The fiber optic element may, preferably, be made of fused silica or sapphire such that the fiber optic element may be transmissive at ultraviolet wavelengths. [0542]
  • The first polarizer may include a linear polarizing element such as a Rochon prism or a Wollaston prism and, optionally, a retarder (i.e., a compensator). The analyzer assembly may include a linear polarizing element and, optionally, a retarder. At least one of the linear polarizing elements may rotate continuously when making measurements. For calibration, at least two elements will be rotated either continuously or in a series of discrete steps. [0543]
  • The spectroscopic ellipsometer may further include reflective or refractive optics (or combinations thereof) configured to focus the light to a small spot on the specimen and to collect the light from the specimen. Any refractive components may, preferably, be made from fused SiO[0544] 2 or CaF2 for relatively good ultraviolet transmission. Any reflective components may, preferably, be coated with Al for relatively good broadband transmission. Typically, a thin overcoat of MgF2 or SiO2 may be formed over the Al to reduce, and even eliminate, oxidation of the Al. The reflective components may be spherical or aspherical. Diamond turning may be a convenient and well-known technique for making aspheric mirrors. For vacuum conditions such as conditions suitable for ultraviolet light having wavelengths in a range of less than about 190 nm, gold or platinum may be a suitable coating material. The spectroscopic ellipsometer may be further configured as described herein.
  • In addition, the processor may be configured to compare one or more output signals from the spectroscopic ellipsometer with one or more predetermined tables that may include expected output signals versus wavelength for different characteristics and, possibly, interpolated data between the expected output signals versus wavelength. Alternatively, the processor may be configured to perform an iteration using one or more starting guesses through (possibly approximate) equations to converge to a good fit for one or more output signals from the spectroscopic ellipsometer. Suitable equations may include, but are not limited to, any non-linear regression algorithm known in the art. [0545]
  • Alternatively, the spectroscopic ellipsometer may be configured to image approximately all, or an area of, a specimen onto a one-dimensional or two-dimensional detector. In this manner, multiple locations on the specimen may be measured substantially simultaneously. In addition, the spectroscopic ellipsometer may be configured to measure multiple wavelengths by sequentially changing wavelength with filters, a monochromator, or by dispersing the light. For example, the light may be dispersed with a prism or grating in one dimension on a two-dimensional detector while one dimension of the specimen is being imaged in the other dimension. [0546]
  • In an embodiment, a system may be configured to determine at least two properties of a specimen including a thickness of the specimen and/or a layer formed on the specimen, a feature formed on the specimen and an additional property such as a lattice constant, residual stress, average grain size, crystallinity, crystal defects, an index of refraction, a velocity of sound, a density, and a critical dimension, which may include a profile, of a layer or a feature formed upon the specimen. The specimen may include a single layer or multiple layers formed upon the specimen. In addition, the single layer or multiple layers formed on the specimen may include, but are not limited to, any combination of transparent, semi-transparent, and opaque metal films. The specimen may also be a blanket wafer or a patterned wafer. [0547]
  • The system may be configured as described herein. For example, the system may include a processor coupled to a measurement device and configured to determine at least a thickness of the specimen and/or a layer on the specimen and an additional property of a layer on the specimen and/or a feature formed on the specimen from one or more output signals generated by the measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. In an embodiment, the measurement device may include, but is not limited to, a grazing X-ray reflectometer, an X-ray reflectometer such as a grating X-ray reflectometer, and/or an X-ray diffractometer. The measurement device may also include a pattern recognition system that may be used in conjunction with the above devices. [0548]
  • An X-ray reflectometer may be configured to perform an X-ray reflectance technique as described herein. [0549]
  • An X-ray diffractometer may be configured to perform X-ray diffraction. X-ray diffraction involves coherent scattering of x-rays by polycrystalline materials. The x-rays are scattered by each set of lattice planes at a characteristic angle, and the scattered intensity is a function of the atoms which occupy those planes. X-ray diffraction peaks may be produced by constructive interference of a monochromatic beam scattered from each set of lattice planes at specific angles. The peak intensities are determined by atomic arrangement within the lattice planes. In this manner, the scattering from all the different sets of planes results in a pattern, which is unique to a given compound. In addition, distortions in the lattice planes due to stress, solid solution, or other effects may be measure. The scattered x-rays may be detected and one or more output signals responsive to the intensity of the scattered x-rays may be generated. The one or more output signals may be used to obtain one or more properties of a layer on a specimen or a specimen. An advantage of X-ray diffraction is that is a substantially non-destructive technique. Commercially available X-ray diffractometers are available from, for example, Siemens, Madison, Wis. and Rigaku USA, Inc., The Woodlands, Tex. [0550]
  • In an embodiment, an X-ray diffractometer may be coupled to a process tool configured to grow an epitaxial layer of silicon on a specimen such as a wafer. Epitaxy is a process in which a relatively thin crystalline layer is grown on a crystalline substrate. An epitaxial layer of silicon, which may be commonly referred to as “epitaxy” or “epi,” may be a layer of extremely pure silicon or silicon-germanium formed on a silicon containing substrate. The layer may be grown to form a substantially uniform crystalline structure on the wafer. In epitaxial growth, the substrate acts as a seed crystal, and the epitaxial film duplicates the structure (orientation) of the crystal. Epitaxial techniques include, but are not limited to, vapor-phase epitaxy, liquid-phase epitaxy, solid-phase epitaxy, and molecular beam epitaxy. A thickness of the epitaxial layer during an epitaxy process (i.e., a growth rate) may vary over time depending upon, for example, chemical source, deposition temperature, and mole fraction of the reactants. Examples of appropriate chemical sources include, but are not limited to, silicon tetrachloride (“SiCl[0551] 4”), trichlorosilane (“SiHCl3”), dichlorosilane (“SiH2Cl2”), and silane (“SiH4”). Examples of appropriate temperatures for an epitaxy process may range from about 950° C. to about 1250° C. An appropriate temperature may be higher or lower, however, depending upon, for example, the chemical source used for the epitaxy process. Such process tools are commercially available from Applied Materials, Inc., Santa Clara, Calif. The X-ray diffractometer may be configured as described above.
  • The X-ray diffractometer may be coupled to the process tool according to any of the embodiments described herein. For example, an X-ray diffractometer may be coupled to a process chamber of the epitaxial process tool or may be disposed proximate to the process chamber in a measurement chamber. In addition, a processor may be coupled to the X-ray diffractometer and the process tool. The processor may be further configured as described above. [0552]
  • In this manner, the measurement device may be configured to function as a single measurement device or as multiple measurement devices. Because multiple measurement devices may be integrated into a single measurement device of the system, elements of a first measurement device, for example, may also be elements of a second measurement device. In addition, it may be advantageous for additional elements such a handling robots, stages, processor, and power supplies of a first measurement device to be used by a second measurement device. The measurement device may also include an autofocus mechanism that may be configured to bring a specimen substantially into focus (i.e., to approximately a correct height) for a first measurement device, and then for a second measurement device. The system, the measurement device, the autofocus mechanism, and the processor may be further configured as described herein. [0553]
  • In addition, such a system may be coupled to a process tool including, but not limited to, a chemical-mechanical polishing tool, a deposition tool such as a physical vapor deposition tool, a plating tool, and an etch tool. The system may be coupled to the process tool as described herein. Furthermore, the system may be coupled to or disposed proximate to a process tool such that the system may determine at least two properties of a specimen, a layer of a specimen, and/or a feature formed on the specimen prior to, during, or subsequent to a process. [0554]
  • In an embodiment, a system may be configured to determine at least two properties of a specimen including an electrical property such as a capacitance, a dielectric constant, and a resistivity of the specimen and/or a layer on the specimen and a thin film characteristic of the specimen and/or a layer on the specimen. The thin film characteristic may include any of the characteristics as described herein. The specimen may include a wafer or a dielectric material disposed upon a wafer or another substrate. Examples of appropriate dielectric materials include, but are not limited to, gate dielectric materials and low-k dielectric materials. Typically, low-k dielectric materials include materials having a dielectric constant less than about 3.8, and high-k materials include materials having a dielectric constant greater than about 4.5. [0555]
  • The system may be configured as described herein. For example, the system may include a processor coupled to a first measurement device and a second measurement device and configured to determine at least a thin film characteristic of the specimen and/or a layer on the specimen from one or more output signals of the first measurement device and an electrical property of the specimen and/or a layer on the specimen from an output signal of the second measurement device. In addition, the processor may be configured to determine other properties of the specimen from the one or more output signals. For example, the processor may also be used to determine additional properties of the specimen including, but not limited to, a characteristic of metal contamination on the specimen. In an embodiment, the first measurement device may include, but is not limited to, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a beam profile ellipsometer, a photo-acoustic device, an eddy current device, an X-ray reflectometer, a grazing X-ray reflectometer, and an X-ray diffractometer and a system configured to measure an electrical property of the specimen. The system, the first measurement device, and the processor may be further configured as described herein. [0556]
  • Such a system may be coupled to a process tool such as a deposition tool including, but not limited to, a chemical vapor deposition tool, an atomic layer deposition tool and a physical vapor deposition tool, a plating tool, a chemical-mechanical polishing tool, a thermal tool such as a furnace, a cleaning tool, and an ion implanter, as described herein. Such a system may also be coupled to an etch tool. In this manner, at least the two properties may be used to determine an amount of plasma damage caused to the specimen and/or a layer on the specimen during an etch process performed by the etch tool. For example, plasma damage may include, but is not limited to, roughness and pitting of a specimen or a layer on a specimen generated during an etch process. [0557]
  • The second measurement device may be configured to measure an electrical property of the specimen as illustrated, for example, in U.S. Patent Application entitled “A Method Of Detecting Metal Contamination On A Semiconductor Wafer,” by Xu et al., filed May 10, 2001, which is incorporated by reference as if fully set forth herein. For example, a specimen may be placed into a wafer cassette, which may be loaded into the system. The system may include a robotic handler, which may be configured as described herein. The system may also include a pre-aligner that may be configured to alter a position of a specimen. For example, a pre-aligner may be configured to alter a position of the specimens such the orientation of each specimen may be substantially the same during processing. Alternatively, the pre-aligner may be configured to detect an alignment mark formed on a specimen and to alter a position of the specimen such that a position of the alignment mark may be substantially the same as a predetermined position. [0558]
  • In an embodiment, the second measurement device may also include an oven that may be used to anneal a specimen. The oven may be configured to heat the specimen to a temperature, for example, of less than approximately 1100° C. The oven may also be configured to drive the metal contamination into a dielectric material of the specimen or into a semiconductor substrate of the specimen. The second measurement device may also include a cooling device configured to reduce a temperature of the specimen subsequent to the annealing process. The cooling device may include any such device known in the art such as a chill plate. [0559]
  • In an embodiment, the second measurement device may include a device configured to deposit a charge on an upper surface of the specimen. The device may include, for example, a non-contact corona charging device such as a needle corona source or a wire corona source. Additional examples of non-contact corona charging devices are illustrated in U.S. Pat. No. 5,99,558 to Castellano et al., U.S. Pat. No. 5,594,247 to Verkuil et al., U.S. Pat. No. 5,644,223 to Verkuil, and U.S. Pat. No. 6,191,605 to Miller et al., which are incorporated by reference as if fully set forth herein. The deposited charge may be positive or negative depending on the parameters of the device used to deposit the charge. The device may be used to deposit a charge on predetermined regions of the specimen or on randomly determined regions of the specimen. In addition, the device may also be used to deposit a charge on a portion of the specimen or on substantially the entire specimen. [0560]
  • In an embodiment, the second measurement device may also include a sensor configured to measure at least one electrical property of the charged upper surface of the specimen. The sensor may be configured to operate as a non-contact work function sensor or a surface photo-voltage sensor. The non-contact work function sensor may include, e.g., a Kelvin probe sensor or a Monroe sensor. Additional examples of work function sensors, which may be incorporated into the system, are illustrated in U.S. Pat. No. 4,812,756 to Curtis et al., U.S. Pat. No. 5,485,091 to Verkuil, U.S. Pat. No. 5,650,731 to Fung, and U.S. Pat. No. 5,767,693 to Verkuil and are incorporated by reference as if fully set forth herein. The sensor may be used to measure electrical properties, which may include, but are not limited to, a tunneling voltage, a surface voltage, and a surface voltage as a function of time. The second measurement device may also include an illumination system that may be configured to direct a pulse of light toward the specimen and that may be used to generate a surface photo-voltage of the specimen. As such, an electrical property that may be measured by the sensor may also include a surface photo-voltage of the specimen. The system may further include a movable chuck configured to alter a position of the specimen under the device, under the illumination system, and under the sensor. As such, the second measurement device may be used to measure an electrical property of the specimen as a function of time and position of the specimen. [0561]
  • In an additional embodiment, the system may also include a processor that may be configured as described herein and may be used to monitor and control operation of the oven to heat the specimen to an anneal temperature. The processor may also be configured to monitor and control the operation of the device to deposit a charge on an upper surface of the specimen. Additionally, the processor may be further configured to monitor and control the operation of the sensor to measure an electrical property of the specimen. The measured electrical property may include a surface voltage of a dielectric material formed on the specimen, which may be measured as a function of time. The second measurement device may be configured to generate one or more output signals responsive to the measured electrical property. The processor may be configured to use one or more output signals from the second measurement device to determine at least one property of the specimen such as a resistivity of the dielectric material. The resistivity of the dielectric material may be determined by using the following equation:[0562]
  • ρdielectric =−V/[(dV/dt)·∈·∈0],
  • where ρ[0563] dielectric is the resistivity of the dielectric material, V is the measured surface voltage of the dielectric material, t is the decay time, ∈ is the dielectric constant of the dielectric material, and ∈0 is the vacuum permittivity. A characteristic of metal contamination in the dielectric material may also be a function of the resistivity of the dielectric material.
  • Furthermore, the processor may be used to determine a characteristic of the metal contamination in the specimen. The characteristic of the metal contamination in the specimen may be determined as a function of the measured electrical property. In addition, the processor may also be configured to monitor and control an additional device of the operating system including, but not limited to, a robotic wafer handler, a pre-aligner, a wafer chuck, and/or an illumination system. [0564]
  • In an embodiment, each of the systems described above may be coupled to an secondary electron spectroscopy device. Such a system may be configured to determine material composition of a specimen by analyzing secondary electron emission from the specimen. An example of such a device is illustrated in PCT Application No. WO 00/70646 to Shachal et al., and is incorporated by reference as if fully set forth herein. [0565]
  • In an additional embodiment, more than one system described herein may be coupled to a semiconductor fabrication process tool. Each of the systems may be configured to determine at least two properties of a specimen during use. Furthermore, each of the systems may be configured to determine at least two substantially similar properties or at least two different properties. In this manner, properties of a plurality of specimens may be determined substantially simultaneously and at multiple points throughout a semiconductor fabrication process. [0566]
  • In a further embodiment, each of the systems described herein may be coupled to a stand alone metrology and/or inspection system. For example, each of the systems described herein may be coupled to a stand alone metrology and/or inspection system such that signals such as analog or digital signals may be sent between the coupled systems. Each of the systems may be configured as a single tool or a cluster tool that may or may not be coupled to a process tool such as a semiconductor fabrication process tool. The stand alone metrology and/or inspection system may be configured such that the stand alone system may be calibrated with a calibration standard. An appropriate calibration standard may include any calibration standard known in the art. The stand alone metrology and/or inspection system may be configured to calibrate the system coupled to the stand alone system. [0567]
  • In addition, the stand alone metrology and/or inspection system may be coupled to a plurality of systems as described herein. In this manner, the stand alone metrology and/or inspection system may be configured to calibrate the plurality of systems coupled to the stand alone system. For example, a plurality of systems may include single tools and/or cluster tools incorporated within the same manufacturing and/or research and development facility. Each of the plurality of systems may be configured to determine the same at least two characteristics of a specimen. In addition, each of the plurality of systems may be configured to determine at least two characteristics of substantially the same type of specimen such as specimens upon which a substantially similar type of semiconductor device may be formed. For example, each of the plurality of systems may be incorporated into the same type of product line in a manufacturing facility. [0568]
  • In addition, the stand alone metrology and/or inspection system may be configured to calibrate each of the plurality of systems using the same calibration standard. As such, a plurality of metrology and/or inspection systems in a manufacturing and/or research and development facility may be calibrated using the same calibration standard. In addition, the stand alone metrology and/or inspection system may be configured to generate a set of data. The set of data may include output signals from a measurement device of a system and characteristics of a specimen determined by a processor of the system using the output signals. The set of data may also include output signals and determined characteristics corresponding to the output signals that may be generated by using a plurality of systems as described herein. Therefore, the set of data may be used to calibrate and/or monitor the performance of a plurality of systems. [0569]
  • In an additional embodiment, each of the systems, as described herein, may be coupled to a cleaning tool. A cleaning tool may include any tool configured to remove unwanted material from a wafer such as a dry cleaning tool, a wet cleaning tool, a laser cleaning tool, and/or a shock wave cleaning tool. A dry cleaning tool may include a dry etch tool, which may be configured to expose a specimen to a plasma. For example, resist may be stripped from a specimen using an oxygen plasma in a plasma etch tool. An appropriate plasma may vary depending upon, for example, the type of material to be stripped from a specimen. The plasma etch tool may be further configured as described herein. Dry cleaning tools are commercially available from, for example, Applied Materials, Inc., Santa Clara, Calif. A wet cleaning tool may be configured to submerge a specimen in a chemical solution, which may include, but is not limited to, a sulfuric-acid mixture or a hydrofluoric acid mixture. Subsequent to exposure to the chemical solution, the specimen may be rinsed with de-ionized water and dried. Wet cleaning tools are commercially available from, for example, FSI International, Inc., Chaska, Minn. An example of a laser cleaning tool is illustrated in “Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafers,” by Genut et al. of Oramir Semiconductor Equipment Ltd., Israel, presented at the 28[0570] th Annual Meeting of the Fine Particle Society, Apr. 1-3, 1998, which are incorporated by reference as if fully set forth herein. An example of a shock wave cleaning tool is illustrated in U.S. Pat. No. 5,023,424 to Vaught, which is incorporated by reference as if fully set forth herein.
  • In a further embodiment, each of the systems, as described herein, may be coupled to a thermal tool such as a tool configured for rapid thermal processing (“RTP”) of a wafer. A rapid thermal processing tool may be configured to subject a specimen to a relatively brief, yet highly controlled thermal cycle. For example, the RTP tool may be configured to heat a specimen to over approximately 1000° C. in under approximately 10 seconds. RTP may be used mainly for modifying properties of a specimen or a film formed on a specimen formed by other processes. For example, RTP may be commonly used for annealing, which may activate and control the movement of atoms in a specimen after implanting. Another common use is for silicidation, which may form silicon-containing compounds with metals such as tungsten or titanium. A third type of RTP application is oxidation, which may involve growing oxide on a specimen such as a silicon wafer. RTP tools are commercially available from, for example, Applied Materials, Inc., Santa Clara, Calif. [0571]
  • In an embodiment, each of the processors described above including a local processor, a remote controller computer, or a remote controller computer coupled to a local processor may be configured to perform a computer integrated manufacturing technique as illustrated in European [0572] Patent Application EP 1 072 967 A2 to Arackaparambil et al., which is incorporated by reference as if fully set forth herein.
  • In a further embodiment, each of the processors as described herein may be configured to automatically generate a schedule for wafer processing within a multichamber semiconductor wafer processing tool as illustrated in U.S. Pat. No. 6,201,999 to Jevtic, U.S. Pat. No. 6,224,638 to Jevtic, and PCT Application No. WO 98/57358 to Jevtic, which are incorporated by reference as if fully set forth herein. In addition, each of the systems as described herein may include a multiple blade wafer handler. A processor as described herein may be configured to control the multiple blade wafer handler. Each of the processors as described herein may be configured to assign a priority value to process chambers and/or measurement chambers of a cluster tool such as a process tool or a measurement and/or inspection system. One or more measurement chambers may be coupled to a process tool according to any of the embodiments as described herein. Each of the processors as described herein may also be configured to assign a priority to measurement chambers of a cluster tool such as a metrology and/or inspection system. [0573]
  • The processor may be configured to control the multiple blade wafer handler such that the multiple blade wafer handler may be configured to move a specimen from chamber to chamber according to the assigned priorities. The processor may also be configured to determine an amount of time available before a priority move is to be performed. If the determined amount of time is sufficient before a priority move is to be performed, the processor may control the multiple blade wafer handler to perform a non-priority move while waiting. For example, if the determined amount of time is sufficient before a process step is to be performed on a specimen, then the multiple blade wafer handler may move the specimen to a measurement chamber. In this manner, a system as described herein may be configured to determine at least two properties of a specimen while the specimen is waiting between process steps. The processor may also be configured to dynamically vary assigned priorities depending upon, for example, the availability of process and/or measurement chambers. Furthermore, the processor may assign priorities to the process and/or measurement chambers based upon, for example, a time required for a wafer handler to move the wafer in a particular sequence. [0574]
  • In addition, each of the processors as described herein may be configured to use “options,” which may correspond to optional components of a process tool, and which may be selected by a user according to the optional components that the user desires to have as part of the process tool as illustrated in U.S. Pat. No. 6,199,157 to Dov et al., which is incorporated by reference as if fully set forth herein. [0575]
  • A process tool as described herein may also include multiple chill process chambers or a multi-slot chill process chamber. Such multiple or multi-slot chill process chambers allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. In addition, each of the processors as described herein may be configured to assign a priority level to each wafer in a processing sequence depending on its processing stage, and this priority level may be used to sequence the movement of wafers between chambers as illustrated in U.S. Pat. No. 6,201,998 to Lin et al., which is incorporated by reference as if fully set forth herein. In this manner, a system as described herein may increase an efficiency at which wafers are transferred among different processing chambers in a wafer processing facility. [0576]
  • In a further embodiment, each of the processors, as described herein, may be configured to determine at least a roughness of a specimen, a layer on a specimen, and/or a feature of a specimen. For example, a processor may be configured to determine a roughness from one or more output signals of a measurement device using mathematical modeling. For example, the one or more output signals may be generated by a measurement device such as a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, and a non-imaging Linnik microscope. Appropriate mathematical models may include any mathematical models known in the art such as mathematical models that may be used to determine a critical dimension of a feature. The mathematical models may be configured to process data of multiple wavelengths or data of a single wavelength. [0577]
  • A system, including such a processor, may be coupled to a process tool such as a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool. For example, a develop process step in a lithography process may cause a significant amount of roughness to a patterned resist. In addition, a layer of material formed by atomic layer deposition may have a significant amount of roughness, particularly on sidewalls of features on a specimen. Furthermore, wet cleaning tools may tend to etch a specimen, a layer on a specimen, and/or features on a specimen, which may cause roughness on the specimen, the layer, and/or the features, respectively. The system may also be coupled to any process tool configured to perform a process that may cause roughness on a surface of a specimen. The system may be coupled to the process tool according to any of the embodiments described herein. For example, a measurement device of such a system may be coupled to a process chamber of a process tool such that the system may determine at least a roughness of a specimen, a layer on a specimen, and/or a feature on a specimen prior to and subsequent to a process. For example, the measurement device may be coupled to a process tool such that a robotic wafer handler may move below or above the measurement device. The system may be further configured as described herein. [0578]
  • The following references, to the extent that they provide exemplary procedural or other information or details supplementary to those set forth herein, are specifically incorporated herein by reference: U.S. patent application Ser. No. 09/310,017 filed on May 11, 1999, Ser. No. 09/396,143 filed on Sep. 15, 1999, Ser. No. 09/556,238 filed on Apr. 24, 2000, and Ser. No. 09/695,726 filed on Oct. 23, 2000. [0579]
  • Further modifications and alternative embodiments of various aspects of the invention may be apparent to those skilled in the art in view of this description. For example, the system may also include a stage configured to tilt in a number of angles and directions with respect to a measurement device. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims. [0580]

Claims (3067)

What is claimed is:
1. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
2. The system of claim 1, wherein the stage is further configured to move laterally during use.
3. The system of claim 1, wherein the stage is further configured to move rotatably during use.
4. The system of claim 1, wherein the stage is further configured to move laterally and rotatably during use.
5. The system of claim 1, wherein the illumination system comprises a single energy source.
6. The system of claim 1, wherein the illumination system comprises more than one energy sources.
7. The system of claim 1, wherein the detection system comprises a single energy sensitive device.
8. The system of claim 1, wherein the detection system comprises more than one energy sensitive devices.
9. The system of claim 1, wherein the measurement device further comprises a non-imaging scatterometer.
10. The system of claim 1, wherein the measurement device further comprises a scatterometer.
11. The system of claim 1, wherein the measurement device further comprises a spectroscopic scatterometer.
12. The system of claim 1, wherein the measurement device further comprises a reflectometer.
13. The system of claim 1, wherein the measurement device further comprises a spectroscopic reflectometer.
14. The system of claim 1, wherein the measurement device further comprises an ellipsometer.
15. The system of claim 1, wherein the measurement device further comprises a spectroscopic ellipsometer.
16. The system of claim 1, wherein the measurement device further comprises a bright field imaging device.
17. The system of claim 1, wherein the measurement device further comprises a dark field imaging device.
18. The system of claim 1, wherein the measurement device further comprises a bright field and a dark field imaging device.
19. The system of claim 1, wherein the measurement device further comprises a bright field non-imaging device.
20. The system of claim 1, wherein the measurement device further comprises a dark field non-imaging device.
21. The system of claim 1, wherein the measurement device further comprises a bright field and a dark field non-imaging device.
22. The system of claim 1, wherein the measurement device further comprises a coherence probe microscope.
23. The system of claim 1, wherein the measurement device further comprises an interference microscope.
24. The system of claim 1, wherein the measurement device further comprises an optical profilometer.
25. The system of claim 1, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and a dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and a dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
26. The system of claim 1, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
27. The system of claim 1, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property comprises a presence of defects on the specimen.
28. The system of claim 27, wherein the defects comprise micro defects and macro defects.
29. The system of claim 27, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
30. The system of claim 29, wherein the defects comprise macro defects.
31. The system of claim 1, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property comprises a flatness measurement of the specimen.
32. The system of claim 1, wherein the processor is further configured to determine a third property and a fourth property of the specimen from the one or more output signals during use, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
33. The system of claim 1, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
34. The system of claim 33, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
35. The system of claim 1, wherein the system is further configured to determine at least two properties of the specimen simultaneously during use.
36. The system of claim 1, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
37. The system of claim 1, wherein the system is coupled to a process tool.
38. The system of claim 1, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
39. The system of claim 1, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
40. The system of claim 1, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
41. The system of claim 1, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
42. The system of claim 1, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
43. The system of claim 1, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
44. The system of claim 1, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
45. The system of claim 1, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
46. The system of claim 1, wherein the system is coupled to a process tool, and wherein the process tool comprises a lithography tool.
47. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
48. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
49. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
50. The system of claim 1, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
51. The system of claim 1, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
52. The system of claim 51, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
53. The system of claim 51, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
54. The system of claim 51, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
55. The system of claim 1, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
56. The system of claim 1, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the processor is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
57. The system of claim 1, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, wherein the processor is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber, and wherein the process tool comprises a lithography tool.
58. The system of claim 57, wherein the first process chamber is configured to chill the specimen during use, and wherein the second process chamber is configured to apply resist to the specimen during use.
59. The system of claim 57, wherein the first process chamber is configured to chill the specimen subsequent to a post apply bake process step during use, and wherein the second process chamber is configured to expose the specimen during use.
60. The system of claim 57, wherein the first process chamber is configured to expose the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to exposure of the specimen during use.
61. The system of claim 57, wherein the first process chamber is configured to chill the specimen subsequent to a post exposure bake process step during use, and wherein the second process chamber is configured to develop the specimen during use.
62. The system of claim 57, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to bake the specimen subsequent to a develop process step during use.
63. The system of claim 57, wherein the first process chamber is configured to develop the specimen during use, and wherein the second process chamber is configured to receive the specimen in a wafer cassette during use.
64. The system of claim 1, wherein the processor is further configured to compare the determined properties of the specimen and properties of a plurality of specimens during use.
65. The system of claim 1, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property.
66. The system of claim 1, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property, and wherein the processor is further configured to generate an output signal if the determined property of the specimen is outside of the predetermined range during use.
67. The system of claim 1, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
68. The system of claim 1, wherein the processor is further configured to alter a parameter of an instrument coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
69. The system of claim 1, wherein the processor is further configured to alter a parameter of an instrument coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
70. The system of claim 1, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
71. The system of claim 70, wherein the processor is further configured to calibrate the measurement device using the database during use.
72. The system of claim 70, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
73. The system of claim 70, wherein the database further comprises first and second properties of a plurality of specimens.
74. The system of claim 73, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
75. The system of claim 73, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
76. The system of claim 75, wherein the processor is further coupled to the plurality of measurement devices.
77. The system of claim 76, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
78. The system of claim 76, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
79. The system of claim 1, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
80. The system of claim 1, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
81. The system of claim 1, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
82. The system of claim 1, wherein the processor is further coupled to a process tool.
83. The system of claim 82, wherein the process tool comprises a lithography tool.
84. The system of claim 82, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
85. The system of claim 82, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
86. The system of claim 82, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
87. The system of claim 86, wherein the processor is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
88. The system of claim 87, wherein the processor is further configured to alter the parameter of the one or more instruments in response to the determined relationship during use.
89. The system of claim 1, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
90. The system of claim 1, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
91. The system of claim 90, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
92. The system of claim 90, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
93. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
94. The method of claim 93, further comprising laterally moving the stage during said directing energy and said detecting energy.
95. The method of claim 93, further comprising rotatably moving the stage during said directing energy and said detecting energy.
96. The method of claim 93, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
97. The method of claim 93, wherein the illumination system comprises a single energy source.
98. The method of claim 93, wherein the illumination system comprises more than one energy source.
99. The method of claim 93, wherein the detection system comprises a single energy sensitive device.
100. The method of claim 93, wherein the detection system comprises more than one energy sensitive devices.
101. The method of claim 93, wherein the measurement device further comprises a non-imaging scatterometer.
102. The method of claim 93, wherein the measurement device further comprises a scatterometer.
103. The method of claim 93, wherein the measurement device further comprises a spectroscopic scatterometer.
104. The method of claim 93, wherein the measurement device further comprises a reflectometer.
105. The method of claim 93, wherein the measurement device further comprises a spectroscopic reflectometer.
106. The method of claim 93, wherein the measurement device further comprises an ellipsometer.
107. The method of claim 93, wherein the measurement device further comprises a spectroscopic ellipsometer.
108. The method of claim 93, wherein the measurement device further comprises a bright field imaging device.
109. The method of claim 93, wherein the measurement device further comprises a dark field imaging device.
110. The method of claim 93, wherein the measurement device further comprises a bright field and dark field imaging device.
111. The method of claim 93, wherein the measurement device further comprises a bright field non-imaging device.
112. The method of claim 93, wherein the measurement device further comprises a dark field non-imaging device.
113. The method of claim 93, wherein the measurement device further comprises a bright field and dark field non-imaging device
114. The method of claim 93, wherein the measurement device further comprises a coherence probe microscope.
115. The method of claim 93, wherein the measurement device further comprises an interference microscope.
116. The method of claim 93, wherein the measurement device further comprises an optical profilometer.
117. The method of claim 93, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
118. The method of claim 93, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
119. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
120. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the defects comprise micro defects and macro defects.
121. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen, the method further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
122. The method of claim 121, wherein the defects comprise macro defects.
123. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
124. The method of claim 93, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
125. The method of claim 93, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
126. The method of claim 125, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
127. The method of claim 93, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
128. The method of claim 93, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
129. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool.
130. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
131. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
132. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
133. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
134. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
135. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
136. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
137. The method of claim 93, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
138. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
139. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
140. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
141. The method of claim 93, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
142. The method of claim 93, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
143. The method of claim 142, further comprising performing said directing and said detecting during the process step.
144. The method of claim 143, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
145. The method of claim 143, further comprising altering a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
146. The method of claim 93, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
147. The method of claim 146, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
148. The method of claim 146, wherein the process tool comprises a lithography tool.
149. The method of claim 148, further comprising:
chilling the specimen in the first process chamber; and
applying resist to the specimen in the second process chamber.
150. The method of claim 148, further comprising:
chilling the specimen in the first process chamber subsequent to a post apply bake process step; and
exposing the specimen in the second process chamber.
151. The method of claim 148, further comprising:
exposing the specimen in the first process chamber; and
baking the specimen subsequent to exposure of the specimen in the second process chamber.
152. The method of claim 148, further comprising:
chilling the specimen in the first process chamber subsequent to a post exposure bake process step; and
developing the specimen in the second process chamber.
153. The method of claim 148, further comprising:
developing the specimen in the first process chamber; and
baking the specimen in the second process chamber subsequent to a develop process step.
154. The method of claim 148, further comprising:
developing the specimen in the first process chamber; and
receiving the specimen in a wafer cassette in the second process chamber.
155. The method of claim 93, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
156. The method of claim 93, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
157. The method of claim 93, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property and generating an output signal if the determined property of the specimen is outside of the predetermined range.
158. The method of claim 93, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
159. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
160. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
161. The method of claim 93, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
162. The method of claim 161, further comprising calibrating the measurement device using the database.
163. The method of claim 161, further comprising monitoring output signals generated by the measurement device using the database.
164. The method of claim 161, wherein the database further comprises first and second properties of a plurality of specimens.
165. The method of claim 164, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
166. The method of claim 165, further comprising calibrating the plurality of measurement devices using the database.
167. The method of claim 165, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
168. The method of claim 93, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
169. The method of claim 93, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
170. The method of claim 93, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
171. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen.
172. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
173. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
174. The method of claim 93, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
175. The method of claim 93, further comprising monitoring a parameter of one or more instruments coupled to a process tool and determining a relationship between the determined properties and at least one of the monitored parameters.
176. The method of claim 93, further comprising monitoring a parameter of one or more instruments coupled to a process tool, determining a relationship between the determined properties and at least one of the monitored parameters, and altering the parameter of the one or more instruments in response to the relationship.
177. The method of claim 93, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the determined first or second property of the specimen.
178. The method of claim 93, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
179. The method of claim 178, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
180. The method of claim 178, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
181. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
182. The method of claim 181, further comprising controlling the stage, wherein the stage is configured to support the specimen.
183. The method of claim 181, further comprising controlling the stage to move laterally during said directing energy and said detecting energy.
184. The method of claim 181, further comprising controlling the stage to move rotatably during said directing energy and said detecting energy.
185. The method of claim 181, further comprising controlling the stage to move laterally and rotatably during said directing energy and said detecting energy.
186. The method of claim 181, wherein the illumination system comprises a single energy source.
187. The method of claim 181, wherein the illumination system comprises more than one energy source.
188. The method of claim 181, wherein the detection system comprises a single energy sensitive device.
189. The method of claim 181, wherein the detection system comprises more than one energy sensitive devices.
190. The method of claim 181, wherein the measurement device further comprises a non-imaging scatterometer.
191. The method of claim 181, wherein the measurement device further comprises a scatterometer.
192. The method of claim 181, wherein the measurement device further comprises a spectroscopic scatterometer.
193. The method of claim 181, wherein the measurement device further comprises a reflectometer.
194. The method of claim 181, wherein the measurement device further comprises a spectroscopic reflectometer.
195. The method of claim 181, wherein the measurement device further comprises an ellipsometer.
196. The method of claim 181, wherein the measurement device further comprises a spectroscopic ellipsometer.
197. The method of claim 181, wherein the measurement device further comprises a bright field imaging device.
198. The method of claim 181, wherein the measurement device further comprises a dark field imaging device.
199. The method of claim 181, wherein the measurement device further comprises a bright field and dark field imaging device.
200. The method of claim 181, wherein the measurement device further comprises a bright field non-imaging device.
201. The method of claim 181, wherein the measurement device further comprises a dark field non-imaging device.
202. The method of claim 181, wherein the measurement device further comprises a bright field and dark field non-imaging device.
203. The method of claim 181, wherein the measurement device further comprises a coherence probe microscope.
204. The method of claim 181, wherein the measurement device further comprises an interference microscope.
205. The method of claim 181, wherein the measurement device further comprises an optical profilometer.
206. The method of claim 181, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
207. The method of claim 181, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
208. The method of claim 181, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
209. The method of claim 208, wherein the defects comprise micro defects and macro defects.
210. The method of claim 208, further comprising:
controlling the illumination system to direct energy toward a bottom surface of the specimen; and
controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
211. The method of claim 210, wherein the defects comprise macro defects.
212. The method of claim 181, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
213. The method of claim 181, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
214. The method of claim 181, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
215. The method of claim 214, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
216. The method of claim 181, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
217. The method of claim 181, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
218. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool.
219. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
220. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
221. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
222. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
223. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
224. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
225. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
226. The method of claim 181, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
227. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
228. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is disposed within the process tool.
229. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
230. The method of claim 181, wherein the stage and the measurement device are disposed within a measurement chamber, wherein the measurement chamber is coupled to a process tool, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
231. The method of claim 181, wherein the stage comprises a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
232. The method of claim 231, further comprising controlling the illumination system and controlling the detection system during the process step.
233. The method of claim 231, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
234. The method of claim 231, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
235. The method of claim 181, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
236. The method of claim 235, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
237. The method of claim 235, wherein the process tool comprises a lithography tool.
238. The method of claim 237, further comprising:
chilling the specimen in the first process chamber; and
applying resist to the specimen in the second process chamber.
239. The method of claim 237, further comprising:
chilling the specimen in the first process chamber subsequent to a post apply bake process step; and
exposing the specimen in the second process chamber.
240. The method of claim 237, further comprising:
exposing the specimen in the first process chamber; and
baking the specimen subsequent to exposure of the specimen in the second process chamber.
241. The method of claim 237, further comprising:
chilling the specimen in the first process chamber subsequent to a post exposure bake process step; and
developing the specimen in the second process chamber.
242. The method of claim 237, further comprising:
developing the specimen in the first process chamber; and
baking the specimen in the second process chamber subsequent to a develop process step.
243. The method of claim 237, further comprising:
developing the specimen in the first process chamber; and
receiving the specimen in a wafer cassette in the second process chamber.
244. The method of claim 181, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
245. The method of claim 181, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
246. The method of claim 245, further comprising generating an output signal if the determined property of the specimen is outside of the predetermined range.
247. The method of claim 181, further comprising altering a sampling frequency of the measurement device in response to the determined first or second properties of the specimen.
248. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
249. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
250. The method of claim 181, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
251. The method of claim 250, further comprising calibrating the measurement device using the database.
252. The method of claim 250, further comprising monitoring output signals of the measurement device using the database.
253. The method of claim 250, wherein the database further comprises first and second properties of a plurality of specimens.
254. The method of claim 253, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
255. The method of claim 254, further comprising calibrating the plurality of measurement devices using the database.
256. The method of claim 254, further comprising monitoring output signals of the plurality of measurement devices using the database.
257. The method of claim 181, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
258. The method of claim 181, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
259. The method of claim 18 1, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
260. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen.
261. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
262. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
263. The method of claim 181, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
264. The method of claim 181, further comprising monitoring a parameter of one or more instruments coupled to the process tool and determining a relationship between the determined properties and at least one of the monitored parameters.
265. The method of claim 181, further comprising monitoring a parameter of one or more instruments coupled to the process tool, determining a relationship between the determined properties and at least one of the monitored parameters, and altering the parameter of at least one of the instruments in response to the relationship.
266. The method of claim 181, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the determined first or second property of the specimen.
267. The method of claim 181, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
268. The method of claim 267, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
269. The method of claim 267, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
270. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the portion of the semiconductor device, wherein the first property comprises a critical dimension of the portion of the semiconductor device, and wherein the second property comprises overlay misregistration of the portion of the semiconductor device.
271. The device of claim 270, wherein the illumination system comprises a single energy source.
272. The device of claim 270, wherein the illumination system comprises more than one energy source.
273. The device of claim 270, wherein the detection system comprises a single energy sensitive device.
274. The device of claim 270, wherein the detection system comprises more than one energy sensitive devices.
275. The device of claim 270, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
276. The device of claim 270, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
277. The device of claim 270, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
278. The device of claim 270, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
279. The device of claim 278, wherein the defects comprise micro defects and macro defects.
280. The device of claim 278, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
281. The device of claim 280, wherein the defects comprise macro defects.
282. The device of claim 270, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
283. The device of claim 270, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
284. The device of claim 270, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
285. The device of claim 284, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
286. The device of claim 270, wherein the stage and the measurement device are coupled to a process tool.
287. The device of claim 270, wherein the stage and the measurement device are coupled to a lithography tool.
288. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the portion of the semiconductor device, wherein the first property comprises a critical dimension of the portion of the semiconductor device, and wherein the second property comprises overlay misregistration of the portion of the semiconductor device.
289. The method of claim 288, wherein the illumination system comprises a single energy source.
290. The method of claim 288, wherein the illumination system comprises more than one energy source.
291. The method of claim 288, wherein the detection system comprises a single energy sensitive device.
292. The method of claim 288, wherein the detection system comprises more than one energy sensitive devices.
293. The method of claim 288, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
294. The method of claim 288, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
295. The method of claim 288, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
296. The method of claim 288, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
297. The method of claim 296, wherein the defects comprise micro defects and macro defects.
298. The method of claim 296, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein
the third property further comprises a presence of defects on the bottom surface of the specimen.
299. The method of claim 298, wherein the defects comprise macro defects.
300. The method of claim 288, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
301. The method of claim 288, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
302. The method of claim 288, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
303. The method of claim 302, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
304. The method of claim 288, wherein the stage and the measurement device are coupled to a process tool.
305. The method of claim 288, wherein the stage and the measurement device are coupled to a lithography tool.
306. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen.
307. The system of claim 306, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
308. The system of claim 306, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
309. The system of claim 306, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the illumination system of the first measurement device comprises the illumination system of the second measurement device.
310. The system of claim 306, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the detection system of the first measurement device comprises the detection system of the second measurement device.
311. The system of claim 306, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property comprises a presence of defects on the specimen.
312. The system of claim 311, wherein the defects comprise micro defects and macro defects.
313. The system of claim 311, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
314. The system of claim 313, wherein the defects comprise macro defects.
315. The system of claim 306, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property comprises a flatness measurement of the specimen.
316. The system of claim 306, wherein the remote controller computer is further configured to determine a third property and a fourth property of the specimen from the at least partially processed one or more output signals during use, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
317. The system of claim 306, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
318. The system of claim 317, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
319. The system of claim 306, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
320. The system of claim 306, wherein the remote controller computer is coupled to a process tool.
321. The system of claim 320, wherein the process tool comprises a lithography tool.
322. The system of claim 320, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
323. The system of claim 320, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
324. The system of claim 320, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
325. The system of claim 324, wherein the remote controller computer is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
326. The system of claim 325, wherein the remote controller computer is further configured to alter the parameter of at least one of the instruments in response to the relationship during use.
327. The system of claim 320, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
328. The system of claim 327, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
329. The system of claim 327, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using an in situ control technique during use.
330. The system of claim 306, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
331. The system of claim 330, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
332. The system of claim 306, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
333. The system of claim 306, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
334. The system of claim 333, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
335. The system of claim 306, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
336. The system of claim 306, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
337. The system of claim 306, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
338. The system of claim 306, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
339. The system of claim 338, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
340. The system of claim 338, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
341. The system of claim 338, wherein the database further comprises first and second properties of a plurality of specimens.
342. The system of claim 341, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
343. The system of claim 342, wherein the remote controller computer is further coupled to the plurality of measurement devices.
344. The system of claim 343, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
345. The system of claim 343, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
346. The system of claim 343, wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
347. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises overlay misregistration of the specimen, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
348. The method of claim 347, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
349. The method of claim 347, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a bright field non-imaging device, a dark field non-imaging device, a bright field and dark field non-imaging device, a coherence probe microscope, an interference microscope, and an optical profilometer.
350. The method of claim 347, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein an illumination system of the first measurement device comprises an illumination system of the second measurement device.
351. The method of claim 347, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein a detection system of the first measurement device comprises a detection system of the second measurement device.
352. The method of claim 347, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a presence of defects on the specimen.
353. The method of claim 352, wherein the defects comprise micro defects and macro defects.
354. The method of claim 352, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the third property further comprises a presence of defects on the bottom surface of the specimen.
355. The method of claim 354, wherein the defects comprise macro defects.
356. The method of claim 347, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property comprises a flatness measurement of the specimen.
357. The method of claim 347, further comprising processing the one or more output signals to determine a third property and a fourth property of the specimen, wherein the third property comprises a presence of defects on the specimen, and wherein the fourth property comprises a flatness measurement of the specimen.
358. The method of claim 347, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
359. The method of claim 358, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
360. The method of claim 347, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
361. The method of claim 347, wherein the remote controller computer is coupled to a process tool.
362. The method of claim 361, wherein the process tool comprises a lithography tool.
363. The method of claim 361, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedback control technique.
364. The method of claim 361, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedforward control technique.
365. The method of claim 361, further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
366. The method of claim 365, further comprising determining a relationship between the determined properties and at least one of the monitored parameters using the remote controller computer.
367. The method of claim 366, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
368. The method of claim 361, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, further comprising performing said directing and said detecting during a process step.
369. The method of claim 368, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
370. The method of claim 368, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property using an in situ control technique.
371. The method of claim 347, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage;
performing said directing and said detecting during said moving the specimen.
372. The method of claim 347, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
373. The method of claim 347, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
374. The method of claim 373, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
375. The method of claim 347, wherein the remote controller computer is coupled to the measurement device.
376. The method of claim 375, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to the determined first or second property of the specimen.
377. The method of claim 375, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedback control technique.
378. The method of claim 375, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedforward control technique.
379. The method of claim 347, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
380. The method of claim 379, further comprising calibrating the measurement device using the database and the remote controller computer.
381. The method of claim 379, further comprising monitoring output signals of the measurement device using the remote controller computer.
382. The method of claim 379, wherein the database further comprises first and second properties of a plurality of specimens.
383. The method of claim 382, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
384. The method of claim 383, further comprising calibrating the plurality of measurement devices using the remote controller computer.
385. The method of claim 383, further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer.
386. The method of claim 347, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
387. The method of claim 386, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to the determined first or second property of the specimen.
388. The method of claim 386, wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
389. The method of claim 388, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to the determined first or second property of the specimen.
390. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
391. The system of claim 390, wherein the stage is further configured to move laterally during use.
392. The system of claim 390, wherein the stage is further configured to move rotatably during use.
393. The system of claim 390, wherein the stage is further configured to move laterally and rotatably during use.
394. The system of claim 390, wherein the illumination system comprises a single energy source.
395. The system of claim 390, wherein the illumination system comprises more than one energy source.
396. The system of claim 390, wherein the detection system comprises a single energy sensitive device.
397. The system of claim 390, wherein the detection system comprises more than one energy sensitive device.
398. The system of claim 390, wherein the measurement device further comprises a non-imaging dark field device.
399. The system of claim 390, wherein the measurement device further comprises a non-imaging bright field device.
400. The system of claim 390, wherein the measurement device further comprises a non-imaging dark field and bright field device.
401. The system of claim 390, wherein the measurement device further comprises a double dark field device.
402. The system of claim 390, wherein the measurement device further comprises a dark field imaging device.
403. The system of claim 390, wherein the measurement device further comprises a bright field imaging device.
404. The system of claim 390, wherein the measurement device further comprises a dark field and bright field imaging device.
405. The system of claim 390, wherein the measurement device further comprises a scatterometer.
406. The system of claim 390, wherein the measurement device further comprises a spectroscopic scatterometer.
407. The system of claim 390, wherein the measurement device further comprises an ellipsometer.
408. The system of claim 390, wherein the measurement device further comprises a spectroscopic ellipsometer.
409. The system of claim 390, wherein the measurement device further comprises a reflectometer.
410. The system of claim 390, wherein the measurement device further comprises a spectroscopic reflectometer.
411. The system of claim 390, wherein the measurement device further comprises a dual beam spectrophotometer.
412. The system of claim 390, wherein the measurement device further comprises a beam profile ellipsometer.
413. The system of claim 390, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
414. The system of claim 390, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
415. The system of claim 390, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
416. The system of claim 390, wherein the defects comprise micro defects and macro defects.
417. The system of claim 390, wherein the defects comprise micro defects or macro defects.
418. The system of claim 390, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
419. The system of claim 390, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
420. The system of claim 390, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
421. The system of claim 420, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
422. The system of claim 390, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
423. The system of claim 390, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
424. The system of claim 390, wherein the system is coupled to a process tool.
425. The system of claim 390, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
426. The system of claim 390, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
427. The system of claim 390, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
428. The system of claim 390, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen from the system to the process tool during use.
429. The system of claim 390, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
430. The system of claim 390, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
431. The system of claim 390, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
432. The system of claim 390, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
433. The system of claim 390, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
434. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
435. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
436. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
437. The system of claim 390, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
438. The system of claim 390, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
439. The system of claim 438, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
440. The system of claim 439, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
441. The system of claim 439, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
442. The system of claim 390, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
443. The system of claim 390, wherein a process tool comprises a first process chamber and a second process chamber, wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use, and wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
444. The system of claim 390, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
445. The system of claim 390, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
446. The system of claim 445, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
447. The system of claim 390, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
448. The system of claim 390, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
449. The system of claim 390, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
450. The system of claim 390, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
451. The system of claim 390, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
452. The system of claim 390, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
453. The system of claim 390, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
454. The system of claim 453, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
455. The system of claim 453, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
456. The system of claim 455, wherein the processor is further coupled to the plurality of measurement devices.
457. The system of claim 456, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
458. The system of claim 456, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
459. The system of claim 390, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
460. The system of claim 390, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
461. The system of claim 390, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
462. The system of claim 390, wherein the processor is further coupled to a process tool.
463. The system of claim 390, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
464. The system of claim 390, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
465. The system of claim 390, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
466. The system of claim 465, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
467. The system of claim 466, wherein the processor is further configured to alter the parameter of at least one of the instruments in response to the relationship during use.
468. The system of claim 390, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
469. The system of claim 390, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
470. The system of claim 469, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
471. The system of claim 469, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
472. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
473. The method of claim 472, further comprising laterally moving the stage during said directing energy and said detecting energy.
474. The method of claim 472, further comprising rotatably moving the stage during said directing energy and said detecting energy.
475. The method of claim 472, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
476. The method of claim 472, wherein the illumination system comprises a single energy source.
477. The method of claim 472, wherein the illumination system comprises more than one energy source.
478. The method of claim 472, wherein the detection system comprises a single energy sensitive device.
479. The method of claim 472, wherein the detection system comprises more than one energy sensitive device.
480. The method of claim 472, wherein the measurement device further comprises a non-imaging dark field device.
481. The method of claim 472, wherein the measurement device further comprises a non-imaging bright field device.
482. The method of claim 472, wherein the measurement device further comprises a non-imaging dark field and bright field device.
483. The method of claim 472, wherein the measurement device further comprises a double dark field device.
484. The method of claim 472, wherein the measurement device further comprises a dark field imaging device.
485. The method of claim 472, wherein the measurement device further comprises a bright field imaging device.
486. The method of claim 472, wherein the measurement device further comprises a dark field and bright field imaging device.
487. The method of claim 472, wherein the measurement device further comprises a scatterometer.
488. The method of claim 472, wherein the measurement device further comprises a spectroscopic scatterometer.
489. The method of claim 472, wherein the measurement device further comprises an ellipsometer.
490. The method of claim 472, wherein the measurement device further comprises a spectroscopic ellipsometer.
491. The method of claim 472, wherein the measurement device further comprises a reflectometer.
492. The method of claim 472, wherein the measurement device further comprises a spectroscopic reflectometer.
493. The method of claim 472, wherein the measurement device further comprises a dual beam spectrophotometer.
494. The method of claim 472, wherein the measurement device further comprises a beam profile ellipsometer.
495. The method of claim 472, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
496. The method of claim 472, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
497. The method of claim 472, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
498. The method of claim 472, wherein the defects comprise micro defects and macro defects.
499. The method of claim 472, wherein the defects comprise micro defects or macro defects.
500. The method of claim 472, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
501. The method of claim 472, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
502. The method of claim 472, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
503. The method of claim 502, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
504. The method of claim 472, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
505. The method of claim 472, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
506. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool.
507. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
508. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
509. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
510. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
511. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
512. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
513. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
514. The method of claim 472, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
515. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
516. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
517. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
518. The method of claim 472, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
519. The method of claim 472, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
520. The method of claim 472, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step, the method further comprising performing said directing and said detecting during the process step.
521. The method of claim 520, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
522. The method of claim 520, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
523. The method of claim 472, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
524. The method of claim 472, further comprising moving the specimen from a first process chamber to a second process chamber using the stage and performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
525. The method of claim 472, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
526. The method of claim 472, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
527. The method of claim 526, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
528. The method of claim 472, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
529. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
530. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
531. The method of claim 472, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
532. The method of claim 472, further comprising calibrating the measurement device using the database.
533. The method of claim 472, further comprising monitoring output signals generated by the measurement device using the database.
534. The method of claim 472, wherein the database further comprises first and second properties of a plurality of specimens.
535. The method of claim 534, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
536. The method of claim 535, further comprising calibrating the plurality of measurement devices using the database.
537. The method of claim 535, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
538. The method of claim 472, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
539. The method of claim 472, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
540. The method of claim 472, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
541. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
542. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
543. The method of claim 472, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
544. The method of claim 543, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
545. The method of claim 544, further comprising altering a parameter of at least one of the instruments in response to the relationship.
546. The method of claim 472, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen.
547. The method of claim 472, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
548. The method of claim 547, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
549. The method of claim 547, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
550. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
551. The method of claim 550, further comprising controlling the stage, wherein the stage is configured to support the specimen.
552. The method of claim 550, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
553. The method of claim 550, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
554. The method of claim 550, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
555. The method of claim 550, wherein the illumination system comprises a single energy source.
556. The method of claim 550, wherein the illumination system comprises more than one energy source.
557. The method of claim 550, wherein the detection system comprises a single energy sensitive device.
558. The method of claim 550, wherein the detection system comprises more than one energy sensitive devices.
559. The method of claim 550, wherein the measurement device further comprises a non-imaging dark field device.
560. The method of claim 550, wherein the measurement device further comprises a non-imaging bright field device.
561. The method of claim 550, wherein the measurement device further comprises a non-imaging dark field and bright field device.
562. The method of claim 550, wherein the measurement device further comprises a double dark field device.
563. The method of claim 550, wherein the measurement device further comprises a dark field imaging device.
564. The method of claim 550, wherein the measurement device further comprises a bright field imaging device.
565. The method of claim 550, wherein the measurement device further comprises a dark field and bright field imaging device.
566. The method of claim 550, wherein the measurement device further comprises a scatterometer.
567. The method of claim 550, wherein the measurement device further comprises a spectroscopic scatterometer.
568. The method of claim 550, wherein the measurement device further comprises an ellipsometer.
569. The method of claim 550, wherein the measurement device further comprises a spectroscopic ellipsometer.
570. The method of claim 550, wherein the measurement device further comprises a reflectometer.
571. The method of claim 550, wherein the measurement device further comprises a spectroscopic reflectometer.
572. The method of claim 550, wherein the measurement device further comprises a dual beam spectrophotometer.
573. The method of claim 550, wherein the measurement device further comprises a beam profile ellipsometer.
574. The method of claim 550, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
575. The method of claim 550, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
576. The method of claim 550, wherein the measurement device comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the surface of the specimen.
577. The method of claim 550, wherein the defects comprise micro defects and macro defects.
578. The method of claim 550, wherein the defects comprise micro defects or macro defects.
579. The method of claim 550, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
580. The method of claim 550, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
581. The method of claim 550, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
582. The method of claim 581, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
583. The method of claim 550, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
584. The method of claim 550, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
585. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool.
586. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
587. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
588. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
589. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
590. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
591. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
592. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
593. The method of claim 550, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
594. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
595. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
596. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
597. The method of claim 550, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
598. The method of claim 550, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, wherein the support device is configured to support the specimen during a process step.
599. The method of claim 598, further comprising controlling the illumination system and controlling the detection system during the process step.
600. The method of claim 598, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
601. The method of claim 598, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
602. The method of claim 550, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
603. The method of claim 602, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
604. The method of claim 550, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
605. The method of claim 550, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
606. The method of claim 605, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
607. The method of claim 550, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties.
608. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
609. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
610. The method of claim 550, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
611. The method of claim 610, further comprising calibrating the measurement device using the database.
612. The method of claim 610, further comprising monitoring output signals of measurement device using the database.
613. The method of claim 610, wherein the database further comprises first and second properties of a plurality of specimens.
614. The method of claim 613, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
615. The method of claim 613, further comprising calibrating the plurality of measurement devices using the database.
616. The method of claim 613, further comprising monitoring output signals of the plurality of measurement devices using the database.
617. The method of claim 550, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
618. The method of claim 550, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
619. The method of claim 550, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
620. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
621. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
622. The method of claim 550, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
623. The method of claim 622, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
624. The method of claim 623, further comprising altering a parameter of at least one of the instruments in response to the relationship.
625. The method of claim 550, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen.
626. The method of claim 550, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
627. The method of claim 626, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
628. The method of claim 626, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
629. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
630. The device of claim 629, wherein the illumination system comprises a single energy source.
631. The device of claim 629, wherein the illumination system comprises more than one energy source.
632. The device of claim 629, wherein the detection system comprises a single energy sensitive device.
633. The device of claim 629, wherein the detection system comprises more than one energy sensitive devices.
634. The device of claim 629, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
635. The device of claim 629, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
636. The device of claim 629, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
637. The device of claim 629, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
638. The device of claim 629, wherein the defects comprise micro defects and macro defects.
639. The device of claim 629, wherein the defects comprise micro defects or macro defects.
640. The device of claim 629, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
641. The device of claim 629, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
642. The device of claim 629, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
643. The device of claim 642, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
644. The device of claim 629, wherein the stage and the measurement device are coupled to a process tool.
645. The device of claim 629, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
646. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
647. The method of claim 646, wherein the illumination system comprises a single energy source.
648. The method of claim 646, wherein the illumination system comprises more than one energy source.
649. The method of claim 646, wherein the detection system comprises a single energy sensitive device.
650. The method of claim 646, wherein the detection system comprises more than one energy sensitive devices.
651. The method of claim 646, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
652. The method of claim 646, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
653. The method of claim 646, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
654. The method of claim 646, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
655. The method of claim 646, wherein the defects comprise micro defects and macro defects.
656. The method of claim 646, wherein the defects comprise micro defects or macro defects.
657. The method of claim 646, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
658. The method of claim 646, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
659. The method of claim 646, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
660. The method of claim 659, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
661. The method of claim 646, wherein the stage and the measurement device are coupled to a process tool.
662. The method of claim 646, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
663. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
664. The system of claim 663, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
665. The system of claim 663, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
666. The system of claim 663, wherein the illumination system and the detection system comprise non-optical components, and the detected energy is responsive to a nonoptical characteristic of the surface of the specimen.
667. The system of claim 663, wherein the defects comprise micro defects and macro defects.
668. The system of claim 663, wherein the defects comprise micro defects or macro defects.
669. The system of claim 663, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
670. The system of claim 663, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
671. The system of claim 663, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
672. The system of claim 671, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
673. The system of claim 663, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
674. The system of claim 663, wherein the stage and the measurement device are coupled to a process tool.
675. The system of claim 663, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
676. The system of claim 663, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
677. The system of claim 663, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
678. The system of claim 663, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
679. The system of claim 678, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
680. The system of claim 679, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
681. The system of claim 663, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
682. The system of claim 681, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
683. The system of claim 681, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
684. The system of claim 663, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
685. The system of claim 684, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
686. The system of claim 663, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
687. The system of claim 663, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
688. The system of claim 687, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
689. The system of claim 663, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
690. The system of claim 663, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
691. The system of claim 663, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
692. The system of claim 663, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
693. The system of claim 692, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
694. The system of claim 692, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
695. The system of claim 692, wherein the database further comprises first and second properties of a plurality of specimens.
696. The system of claim 695, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
697. The system of claim 696, wherein the remote controller computer is further coupled to the plurality of measurement devices.
698. The system of claim 697, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
699. The system of claim 697, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
700. The system of claim 663, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
701. The system of claim 663, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
702. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of defects on the specimen, and wherein the second property comprises a thin film characteristic of the specimen, comprising:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
703. The method of claim 702, wherein the measurement device further comprises a measurement device selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
704. The method of claim 702, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging dark field device, a non-imaging bright field device, a non-imaging dark field and bright field device, a double dark field device, a dark field imaging device, a bright field imaging device, a dark field and bright field imaging device, a scatterometer, a spectroscopic scatterometer, an ellipsometer, a spectroscopic ellipsometer, a reflectometer, a spectroscopic reflectometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
705. The method of claim 702, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
706. The method of claim 702, wherein the defects comprise micro defects and macro defects.
707. The method of claim 702, wherein the defects comprise micro defects or macro defects.
708. The method of claim 702, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
709. The method of claim 702, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
710. The method of claim 702, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
711. The method of claim 710, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
712. The method of claim 702, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
713. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool.
714. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
715. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
716. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
717. The method of claim 702, wherein the stage and the measurement device are coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
718. The method of claim 717, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
719. The method of claim 718, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
720. The method of claim 702, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
721. The method of claim 720, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
722. The method of claim 720, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
723. The method of claim 702, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage;
performing said directing and said detecting during said moving the specimen.
724. The method of claim 702, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
725. The method of claim 702, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
726. The method of claim 725, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
727. The method of claim 702, wherein the remote controller computer is coupled to the measurement device.
728. The method of claim 727, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
729. The method of claim 727, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
730. The method of claim 727, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
731. The method of claim 702, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
732. The method of claim 731, further comprising calibrating the measurement device using the remote controller computer and the database.
733. The method of claim 731, further comprising monitoring output signals from the measurement device using the remote controller computer and the database.
734. The method of claim 731, wherein the database further comprises first and second properties of a plurality of specimens.
735. The method of claim 734, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
736. The method of claim 735, further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
737. The method of claim 735, further comprising monitoring the plurality of measurement devices using the remote controller computer and the database.
738. The method of claim 702, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
739. The method of claim 738, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
740. The method of claim 738, wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
741. The method of claim 740, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
742. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
743. The system of claim 742, wherein the stage is further configured to move laterally during use.
744. The system of claim 742, wherein the stage is further configured to move rotatably during use.
745. The system of claim 742, wherein the stage is further configured to move laterally and rotatably during use.
746. The system of claim 742, wherein the illumination system comprises a single energy source.
747. The system of claim 742, wherein the illumination system comprises more than one energy source.
748. The system of claim 742, wherein the detection system comprises a single energy sensitive device.
749. The system of claim 742, wherein the detection system comprises more than one energy sensitive devices.
750. The system of claim 742, wherein the measurement device further comprises a non-imaging scatterometer.
751. The system of claim 742, wherein the measurement device further comprises a scatterometer.
752. The system of claim 742, wherein the measurement device further comprises a spectroscopic scatterometer.
753. The system of claim 742, wherein the measurement device further comprises a reflectometer.
754. The system of claim 742, wherein the measurement device further comprises a spectroscopic reflectometer.
755. The system of claim 742, wherein the measurement device further comprises a coherence probe microscope.
756. The system of claim 742, wherein the measurement device further comprises an ellipsometer.
757. The system of claim 742, wherein the measurement device further comprises a spectroscopic ellipsometer.
758. The system of claim 742, wherein the measurement device further comprises a bright field imaging device.
759. The system of claim 742, wherein the measurement device further comprises a dark field imaging device.
760. The system of claim 742, wherein the measurement device further comprises a bright field and dark field imaging device.
761. The system of claim 742, wherein the measurement device further comprises a non-imaging bright field device.
762. The system of claim 742, wherein the measurement device further comprises a non-imaging dark field device.
763. The system of claim 742, wherein the measurement device further comprises a non-imaging bright field and dark field device.
764. The system of claim 742, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
765. The system of claim 742, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
766. The system of claim 742, wherein the defects comprise micro defects and macro defects.
767. The system of claim 742, wherein the defects comprises micro defects or macro defects.
768. The system of claim 742, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
769. The system of claim 768, wherein the defects comprise macro defects.
770. The system of claim 742, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
771. The system of claim 770, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
772. The system of claim 742, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
773. The system of claim 742, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
774. The system of claim 742, wherein the system is coupled to a process tool.
775. The system of claim 742, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
776. The system of claim 742, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
777. The system of claim 742, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
778. The system of claim 742, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
779. The system of claim 742, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
780. The system of claim 742, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
781. The system of claim 742, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
782. The system of claim 742, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
783. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
784. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within the process tool.
785. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
786. The system of claim 742, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
787. The system of claim 742, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
788. The system of claim 787, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
789. The system of claim 788, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
790. The system of claim 788, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
791. The system of claim 742, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
792. The system of claim 742, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
793. The system of claim 742, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
794. The system of claim 742, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
795. The system of claim 794, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
796. The system of claim 742, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
797. The system of claim 742, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
798. The system of claim 742, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
799. The system of claim 742, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
800. The system of claim 799, wherein the processor is further configured to calibrate the measurement device using the database during use.
801. The system of claim 799, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
802. The system of claim 799, wherein the database further comprises first and second properties of a plurality of specimens.
803. The system of claim 802, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
804. The system of claim 803, wherein the processor is further coupled to the plurality of measurement devices.
805. The system of claim 804, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
806. The system of claim 804, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
807. The system of claim 742, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
808. The system of claim 742, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
809. The system of claim 742, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
810. The system of claim 742, wherein the processor is further coupled to a process tool.
811. The system of claim 742, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
812. The system of claim 742, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
813. The system of claim 742, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
814. The system of claim 813, wherein the processor is further configured to determine a relationship between the determined properties and at least one of the monitored parameter during use.
815. The system of claim 814, wherein the processor is further configured to alter the parameter of at least one of the instruments in response to the relationship during use.
816. The system of claim 742, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
817. The system of claim 742, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
818. The system of claim 817, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
819. The system of claim 817, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
820. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
821. The method of claim 820, further comprising laterally moving the stage during said directing energy and said detecting energy.
822. The method of claim 820, further comprising rotatably moving the stage during said directing energy and said detecting energy.
823. The method of claim 820, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
824. The method of claim 820, wherein the illumination system comprises a single energy source.
825. The method of claim 820, wherein the illumination system comprises more than one energy source.
826. The method of claim 820, wherein the detection system comprises a single energy sensitive device.
827. The method of claim 820, wherein the detection system comprises more than one energy sensitive devices.
828. The method of claim 820, wherein the measurement device further comprises a non-imaging scatterometer.
829. The method of claim 820, wherein the measurement device further comprises a scatterometer.
830. The method of claim 820, wherein the measurement device further comprises a spectroscopic scatterometer.
831. The method of claim 820, wherein the measurement device further comprises a reflectometer.
832. The method of claim 820, wherein the measurement device further comprises a spectroscopic reflectometer.
833. The method of claim 820, wherein the measurement device further comprises a coherence probe microscope.
834. The method of claim 820, wherein the measurement device further comprises an ellipsometer.
835. The method of claim 820, wherein the measurement device further comprises a spectroscopic ellipsometer.
836. The method of claim 820, wherein the measurement device further comprises a bright field imaging device.
837. The method of claim 820, wherein the measurement device further comprises a dark field imaging device.
838. The method of claim 820, wherein the measurement device further comprises a bright field and dark field imaging device.
839. The method of claim 820, wherein the measurement device further comprises a non-imaging bright field device.
840. The method of claim 820, wherein the measurement device further comprises a non-imaging dark field device.
841. The method of claim 820, wherein the measurement device further comprises and a non-imaging bright field and dark field device.
842. The method of claim 820, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
843. The method of claim 820, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
844. The method of claim 820, wherein the defects comprise micro defects and macro defects.
845. The method of claim 820, wherein the defects comprises micro defects or macro defects.
846. The method of claim 820, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
847. The method of claim 846, wherein the defects comprise macro defects.
848. The method of claim 820, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
849. The method of claim 848, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
850. The method of claim 820, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
851. The method of claim 820, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
852. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool.
853. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
854. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
855. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
856. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
857. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
858. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
859. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
860. The method of claim 820, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
861. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
862. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
863. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
864. The method of claim 820, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
865. The method of claim 820, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
866. The method of claim 865, further comprising performing said directing and said detecting during the process step.
867. The method of claim 866, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
868. The method of claim 866, further comprising altering a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
869. The method of claim 820, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
870. The method of claim 869, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
871. The method of claim 820, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
872. The method of claim 820, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
873. The method of claim 872, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
874. The method of claim 820, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
875. The method of claim 820, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
876. The method of claim 820, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
877. The method of claim 820, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
878. The method of claim 877, further comprising calibrating the measurement device using the database.
879. The method of claim 877, further comprising monitoring output signals of the measurement device using the database.
880. The method of claim 877, wherein the database further comprises first and second properties of a plurality of specimens.
881. The method of claim 880, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
882. The method of claim 881, further comprising calibrating the plurality of measurement devices using the database.
883. The method of claim 881, further comprising monitoring output signals of the plurality of measurement devices using the database.
884. The method of claim 820, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
885. The method of claim 820, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
886. The method of claim 820, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
887. The method of claim 820, further comprising altering a parameter of one or more instrument coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
888. The method of claim 820, further comprising altering a parameter of one or more instrument coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
889. The method of claim 820, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
890. The method of claim 889, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
891. The method of claim 890, further comprising altering the parameter of at least one of the instruments in response to the relationship.
892. The method of claim 820, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to the determined first or second property of the specimen.
893. The method of claim 820, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
894. The method of claim 893, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
895. The method of claim 893, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
896. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
897. The method of claim 896, further comprising controlling the stage, wherein the stage is configured to support the specimen.
898. The method of claim 896, further comprising controlling the stage to move laterally during said directing energy and said detecting energy.
899. The method of claim 896, further comprising controlling the stage to move rotatably during said directing energy and said detecting energy.
900. The method of claim 896, further comprising controlling the stage to move laterally and rotatably during said directing energy and said detecting energy.
901. The method of claim 896, wherein the illumination system comprises a single energy source.
902. The method of claim 896, wherein the illumination system comprises more than one energy source.
903. The method of claim 896, wherein the detection system comprises a single energy sensitive device.
904. The method of claim 896, wherein the detection system comprises more than one energy sensitive devices.
905. The method of claim 896, wherein the measurement device further comprises a non-imaging scatterometer.
906. The method of claim 896, wherein the measurement device further comprises a scatterometer.
907. The method of claim 896, wherein the measurement device further comprises a spectroscopic scatterometer.
908. The method of claim 896, wherein the measurement device further comprises a reflectometer.
909. The method of claim 896, wherein the measurement device further comprises a spectroscopic reflectometer.
910. The method of claim 896, wherein the measurement device further comprises a coherence probe microscope.
911. The method of claim 896, wherein the measurement device further comprises an ellipsometer.
912. The method of claim 896, wherein the measurement device further comprises a spectroscopic ellipsometer.
913. The method of claim 896, wherein the measurement device further comprises a bright field imaging device.
914. The method of claim 896, wherein the measurement device further comprises a dark field imaging device.
915. The method of claim 896, wherein the measurement device further comprises a bright field and dark field imaging device.
916. The method of claim 896, wherein the measurement device further comprises a non-imaging bright field device.
917. The method of claim 896, wherein the measurement device further comprises a non-imaging dark field device.
918. The method of claim 896, wherein the measurement device further comprises and a non-imaging bright field and dark field device.
919. The method of claim 896, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
920. The method of claim 896, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
921. The method of claim 896, wherein the defects comprise micro defects and macro defects.
922. The method of claim 896, wherein the defects comprises micro defects or macro defects.
923. The method of claim 896, further comprising:
controlling the illumination system to direct energy toward a bottom surface of the specimen; and
controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
924. The method of claim 923, wherein the defects comprise macro defects.
925. The method of claim 896, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
926. The method of claim 925, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
927. The method of claim 896, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
928. The method of claim 896, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
929. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool.
930. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
931. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
932. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
933. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
934. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
935. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
936. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
937. The method of claim 896, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
938. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
939. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
940. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
941. The method of claim 896, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
942. The method of claim 896, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
943. The method of claim 942, further comprising controlling the illumination system and controlling the detection system during the process step.
944. The method of claim 943, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
945. The method of claim 943, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
946. The method of claim 896, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
947. The method of claim 946, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
948. The method of claim 896, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
949. The method of claim 896, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
950. The method of claim 949, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
951. The method of claim 896, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
952. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
953. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
954. The method of claim 896, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
955. The method of claim 954, further comprising calibrating the measurement device using the database.
956. The method of claim 954, further comprising monitoring output signals of the measurement device using the database.
957. The method of claim 954, wherein the database further comprises first and second properties of a plurality of specimens.
958. The method of claim 957, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
959. The method of claim 958, further comprising calibrating the plurality of measurement devices using the database.
960. The method of claim 958, further comprising monitoring output signals of the plurality of measurement devices using the database.
961. The method of claim 896, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
962. The method of claim 896, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
963. The method of claim 896, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
964. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
965. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
966. The method of claim 896, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
967. The method of claim 966, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
968. The method of claim 967, further comprising altering a parameter of one or more of the instruments in response to the relationship.
969. The method of claim 896, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to the determined first or second property of the specimen.
970. The method of claim 896, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
971. The method of claim 970, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
972. The method of claim 970, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
973. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the portion of the specimen, and wherein the second property comprises a presence of defects on the portion of the specimen.
974. The device of claim 973, wherein the illumination system comprises a single energy source.
975. The device of claim 973, wherein the illumination system comprises more than one energy source.
976. The device of claim 973, wherein the detection system comprises a single energy sensitive device.
977. The device of claim 973, wherein the detection system comprises more than one energy sensitive devices.
978. The device of claim 973, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
979. The device of claim 973, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
980. The device of claim 973, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
981. The device of claim 973, wherein the defects comprise micro defects and macro defects.
982. The device of claim 973, wherein the defects comprises micro defects or macro defects.
983. The device of claim 973, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
984. The device of claim 983, wherein the defects comprise macro defects.
985. The device of claim 973, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
986. The device of claim 973, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
987. The device of claim 973, wherein the stage and the measurement device are coupled to a process tool.
988. The device of claim 973, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
989. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the portion of the specimen.
990. The method of claim 989, wherein the illumination system comprises a single energy source.
991. The method of claim 989, wherein the illumination system comprises more than one energy source.
992. The method of claim 989, wherein the detection system comprises a single energy sensitive device.
993. The method of claim 989, wherein the detection system comprises more than one energy sensitive devices.
994. The method of claim 989, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
995. The method of claim 989, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
996. The method of claim 989, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
997. The method of claim 989, wherein the defects comprise micro defects and macro defects.
998. The method of claim 989, wherein the defects comprises micro defects or macro defects.
999. The method of claim 989, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
1000. The method of claim 999, wherein the defects comprise macro defects.
1001. The method of claim 989, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1002. The method of claim 1001, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1003. The method of claim 989, wherein the stage and the measurement device are coupled to a process tool.
1004. The method of claim 989, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool and an etch tool.
1005. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen.
1006. The system of claim 1005, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a spectroscopic ellipsometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
1007. The system of claim 1005, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
1008. The system of claim 1005, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1009. The system of claim 1005, wherein the defects comprise micro defects and macro defects.
1010. The system of claim 1005, wherein the defects comprises micro defects or macro defects.
1011. The system of claim 1005, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
1012. The system of claim 1011, wherein the defects comprise macro defects.
1013. The system of claim 1005, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1014. The system of claim 1013, wherein the system is coupled to a process toot selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1015. The system of claim 1005, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1016. The system of claim 1005, wherein the remote controller computer is coupled to a process tool.
1017. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, and a deposition tool.
1018. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
1019. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
1020. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instrument coupled to the process tool during use.
1021. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, and wherein the remote controller computer is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
1022. The system of claim 1005, wherein the remote controller computer is coupled to a process tool, wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use, wherein the remote controller computer is further configured to determine a relationship between the determined properties and the at least one of the monitored parameters during use, and wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
1023. The system of claim 1005, wherein the system and the remote controller computer are coupled to a process tool, wherein the process tool is configured to perform a step of a process, wherein the illumination system is further configured to direct energy toward the surface of the specimen during the process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
1024. The system of claim 1023, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
1025. The system of claim 1023, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using an in situ control technique during use.
1026. The system of claim 1005, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
1027. The system of claim 1026, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
1028. The system of claim 1005, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
1029. The system of claim 1005, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
1030. The system of claim 1029, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
1031. The system of claim 1005, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
1032. The system of claim 1005, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
1033. The system of claim 1005, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
1034. The system of claim 1005, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
1035. The system of claim 1034, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
1036. The system of claim 1034, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
1037. The system of claim 1034, wherein the database further comprises first and second properties of a plurality of specimens.
1038. The system of claim 1037, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
1039. The system of claim 1038, wherein the remote controller computer is further coupled to the plurality of measurement devices.
1040. The system of claim 1039, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
1041. The system of claim 1039, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
1042. The system of claim 1005, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
1043. The system of claim 1042, wherein the remote controller computer is further coupled to at least one of the plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
1044. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a presence of defects on the specimen, comprising:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1045. The method of claim 1044, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
1046. The method of claim 1044, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, and a non-imaging bright field and dark field device.
1047. The method of claim 1044, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1048. The method of claim 1044, wherein the defects comprise micro defects and macro defects.
1049. The method of claim 1044, wherein the defects comprises micro defects or macro defects.
1050. The method of claim 1044, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
1051. The method of claim 1050, wherein the defects comprise macro defects.
1052. The method of claim 1044, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1053. The method of claim 1052, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1054. The method of claim 1044, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1055. The method of claim 1044, wherein the remote controller computer is coupled to a process tool.
1056. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1057. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedback control technique.
1058. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedforward control technique.
1059. The method of claim 1044, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
1060. The method of claim 1059, further comprising determining a relationship between the determined properties and the monitored parameters using the remote controller computer.
1061. The method of claim 1060, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
1062. The method of claim 1044, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
1063. The method of claim 1062, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
1064. The method of claim 1062, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property using an in situ control technique.
1065. The method of claim 1044, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage;
performing said directing and said detecting during said moving the specimen.
1066. The method of claim 1044, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
1067. The method of claim 1044, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
1068. The method of claim 1067, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1069. The method of claim 1044, wherein the remote controller computer is coupled to the measurement device.
1070. The method of claim 1069, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to the determined first or second property of the specimen.
1071. The method of claim 1069, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedback control technique.
1072. The method of claim 1069, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedforward control technique.
1073. The method of claim 1044, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
1074. The method of claim 1073, further comprising calibrating the measurement device using the database and the remote controller computer.
1075. The method of claim 1073, further comprising monitoring output signals of the measurement device using the database and the remote controller computer.
1076. The method of claim 1073, wherein the database further comprises first and second properties of a plurality of specimens.
1077. The method of claim 1076, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
1078. The method of claim 1077, further comprising calibrating the plurality of measurement devices using the database and the remote controller computer.
1079. The method of claim 1077, further comprising monitoring output signals of the plurality of measurement devices using the database and the remote controller computer.
1080. The method of claim 1044, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
1081. The method of claim 1080, wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
1082. The method of claim 1081, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to the determined first or second property of the specimen.
1083. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
1084. The system of claim 1083, wherein the stage is further configured to move laterally during use.
1085. The system of claim 1083, wherein the stage is further configured to move rotatably during use.
1086. The system of claim 1083, wherein the stage is further configured to move laterally and rotatably during use.
1087. The system of claim 1083, wherein the illumination system comprises a single energy source.
1088. The system of claim 1083, wherein the illumination system comprises more than one energy source.
1089. The system of claim 1083, wherein the detection system comprises a single energy sensitive device.
1090. The system of claim 1083, wherein the detection system comprises more than one energy sensitive devices.
1091. The system of claim 1083, wherein the measurement device further comprises a non-imaging scatterometer.
1092. The system of claim 1083, wherein the measurement device further comprises a scatterometer.
1093. The system of claim 1083, wherein the measurement device further comprises a spectroscopic scatterometer.
1094. The system of claim 1083, wherein the measurement device further comprises a reflectometer.
1095. The system of claim 1083, wherein the measurement device further comprises a spectroscopic reflectometer.
1096. The system of claim 1083, wherein the measurement device further comprises a coherence probe microscope.
1097. The system of claim 1083, wherein the measurement device further comprises a bright field imaging device.
1098. The system of claim 1083, wherein the measurement device further comprises a dark field imaging device.
1099. The system of claim 1083, wherein the measurement device further comprises a bright field and dark field imaging device.
1100. The system of claim 1083, wherein the measurement device further comprises an ellipsometer.
1101. The system of claim 1083, wherein the measurement device further comprises a spectroscopic ellipsometer.
1102. The system of claim 1083, wherein the measurement device further comprises a dual beam spectrophotometer.
1103. The system of claim 1083, wherein the measurement device further comprises a beam profile ellipsometer.
1104. The system of claim 1083, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grating X-ray reflectometer.
1105. The system of claim 1083, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1106. The system of claim 1083, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
1107. The system of claim 1083, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1108. The system of claim 1083, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
1109. The system of claim 1083, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1110. The system of claim 1109, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1111. The system of claim 1083, wherein the system is further configured to determine at least the two properties of the specimen substantially simultaneously during use.
1112. The system of claim 1083, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1113. The system of claim 1083, wherein the system is coupled to a process tool.
1114. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
1115. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
1116. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
1117. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
1118. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
1119. The system of claim 1083, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1120. The system of claim 1083, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1121. The system of claim 1083, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1122. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1123. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
1124. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
1125. The system of claim 1083, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of is process tool.
1126. The system of claim 1083, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
1127. The system of claim 1126, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
1128. The system of claim 1127, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
1129. The system of claim 1127, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
1130. The system of claim 1083, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
1131. The system of claim 1130, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
1132. The system of claim 1083, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
1133. The system of claim 1083, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
1134. The system of claim 1133, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
1135. The system of claim 1083, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
1136. The system of claim 1083, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
1137. The system of claim 1083, wherein the processor is farther configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
1138. The system of claim 1083, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
1139. The system of claim 1138, wherein the processor is further configured to calibrate the measurement device using the database during use.
1140. The system of claim 1139, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
1141. The system of claim 1139, wherein the database further comprises first and second properties of a plurality of specimens.
1142. The system of claim 1141, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
1143. The system of claim 1141, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
1144. The system of claim 1143, wherein the processor is further coupled to the plurality of measurement devices.
1145. The system of claim 1144, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
1146. The system of claim 1144, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
1147. The system of claim 1083, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
1148. The system of claim 1083, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
1149. The system of claim 1083, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1150. The system of claim 1083, wherein the processor is further coupled to a process tool.
1151. The system of claim 1150, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
1152. The system of claim 1150, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
1153. The system of claim 1150, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
1154. The system of claim 1153, wherein the processor is further configured to determine a relationship between the determined properties and the monitored parameters during use.
1155. The system of claim 1154, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the relationship during use.
1156. The system of claim 1083, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
1157. The system of claim 1083, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
1158. The system of claim 1157, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
1159. The system of claim 1157, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
1160. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
1161. The method of claim 1160, further comprising laterally moving the stage during said directing energy and said detecting energy.
1162. The method of claim 1160, further comprising rotatably moving the stage during said directing energy and said detecting energy.
1163. The method of claim 1160, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
1164. The method of claim 1160, wherein the illumination system comprises a single energy source.
1165. The method of claim 1160, wherein the illumination system comprises more than one energy source.
1166. The method of claim 1160, wherein the detection system comprises a single energy sensitive device.
1167. The method of claim 1160, wherein the detection system comprises more than one energy sensitive devices.
1168. The method of claim 1160, wherein the measurement device further comprises a non-imaging scatterometer.
1169. The method of claim 1160, wherein the measurement device further comprises a scatterometer.
1170. The method of claim 1160, wherein the measurement device further comprises a spectroscopic scatterometer.
1171. The method of claim 1160, wherein the measurement device further comprises a reflectometer.
1172. The method of claim 1160, wherein the measurement device further comprises a spectroscopic reflectometer.
1173. The method of claim 1160, wherein the measurement device further comprises a coherence probe microscope.
1174. The method of claim 1160, wherein the measurement device further comprises a bright field imaging device.
1175. The method of claim 1160, wherein the measurement device further comprises a dark field imaging device.
1176. The method of claim 1160, wherein the measurement device further comprises a bright field and dark field imaging device.
1177. The method of claim 1160, wherein the measurement device further comprises an ellipsometer.
1178. The method of claim 1160, wherein the measurement device further comprises a spectroscopic ellipsometer.
1179. The method of claim 1160, wherein the measurement device further comprises a dual beam spectrophotometer.
1180. The method of claim 1160, wherein the measurement device further comprises a beam profile ellipsometer.
1181. The method of claim 1160, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grating X-ray reflectometer.
1182. The method of claim 1160, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1183. The method of claim 1160, wherein the measurement device comprises nonoptical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
1184. The method of claim 1160, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1185. The method of claim 1160, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1186. The method of claim 1160, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1187. The method of claim 1186, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1188. The method of claim 1160, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
1189. The method of claim 1160, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1190. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool.
1191. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
1192. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
1193. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1194. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
1195. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
1196. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
1197. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1198. The method of claim 1160, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1199. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1200. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
1201. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
1202. The method of claim 1160, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
1203. The method of claim 1160, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
1204. The method of claim 1203, further comprising performing said directing and said detecting during the process step.
1205. The method of claim 1204, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
1206. The method of claim 1204, further comprising altering a parameter of one or more instrument coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
1207. The method of claim 1160, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
1208. The method of claim 1207, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
1209. The method of claim 1160, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
1210. The method of claim 1160, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
1211. The method of claim 1210, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1212. The method of claim 1160, further comprising altering a sampling frequency of the measurement device in response to the determined first or second properties of the specimen.
1213. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
1214. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
1215. The method of claim 1160, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
1216. The method of claim 1215, further comprising calibrating the measurement device using the database.
1217. The method of claim 1215, further comprising monitoring output signals of the measurement device using the database.
1218. The method of claim 1215, wherein the database further comprises first and second properties of a plurality of specimens.
1219. The method of claim 1218, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
1220. The method of claim 1219, further comprising calibrating the plurality of measurement devices using the database.
1221. The method of claim 1219, further comprising monitoring output signals of the plurality of measurement devices using the database.
1222. The method of claim 1160, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
1223. The method of claim 1160, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
1224. The method of claim 1160, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1225. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
1226. The method of claim 1160, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
1227. The method of claim 1160, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
1228. The method of claim 1227, further comprising determining a relationship between the determined properties and the monitored parameters.
1229. The method of claim 1228, further comprising altering a parameter of at least one of the instruments in response to the relationship.
1230. The method of claim 1160, further comprising altering a parameter of one or more instrument coupled to a plurality of process tools in response to the determined first or second property of the specimen.
1231. The method of claim 1160, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1232. The method of claim 1231, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
1233. The method of claim 1231, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
1234. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
1235. The method of claim 1234, further comprising controlling the stage, wherein the stage is configured to support the specimen.
1236. The method of claim 1234, further comprising controlling the stage to move laterally during said directing energy and said detecting energy.
1237. The method of claim 1234, further comprising controlling the stage to move rotatably during said directing energy and said detecting energy.
1238. The method of claim 1234, further comprising controlling the stage to move laterally and rotatably during said directing energy and said detecting energy.
1239. The method of claim 1234, wherein the illumination system comprises a single energy source.
1240. The method of claim 1234, wherein the illumination system comprises more than one energy source.
1241. The method of claim 1234, wherein the detection system comprises a single energy sensitive device.
1242. The method of claim 1234, wherein the detection system comprises more than one energy sensitive devices.
1243. The method of claim 1234, wherein the measurement device further comprises a non-imaging scatterometer.
1244. The method of claim 1234, wherein the measurement device further comprises a scatterometer.
1245. The method of claim 1234, wherein the measurement device further comprises a spectroscopic scatterometer.
1246. The method of claim 1234, wherein the measurement device further comprises a reflectometer.
1247. The method of claim 1234, wherein the measurement device further comprises a spectroscopic reflectometer.
1248. The method of claim 1234, wherein the measurement device further comprises a coherence probe microscope.
1249. The method of claim 1234, wherein the measurement device further comprises a bright field imaging device.
1250. The method of claim 1234, wherein the measurement device further comprises a dark field imaging device.
1251. The method of claim 1234, wherein the measurement device further comprises a bright field and dark field imaging device.
1252. The method of claim 1234, wherein the measurement device further comprises an ellipsometer.
1253. The method of claim 1234, wherein the measurement device further comprises a spectroscopic ellipsometer.
1254. The method of claim 1234, wherein the measurement device further comprises a dual beam spectrophotometer.
1255. The method of claim 1234, wherein the measurement device further comprises a beam profile ellipsometer.
1256. The method of claim 1234, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1257. The method of claim 1234, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1258. The method of claim 1234, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the surface of the specimen.
1259. The method of claim 1234, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1260. The method of claim 1234, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
1261. The method of claim 1234, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1262. The method of claim 1261, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1263. The method of claim 1234, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
1264. The method of claim 1234, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1265. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool.
1266. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
1267. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
1268. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1269. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
1270. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
1271. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
1272. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1273. The method of claim 1234, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1274. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1275. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within the process tool.
1276. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of the process tool.
1277. The method of claim 1234, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of the process tool.
1278. The method of claim 1234, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
1279. The method of claim 1278, further comprising controlling the illumination system and controlling the detection system during the process step.
1280. The method of claim 1279, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
1281. The method of claim 1279, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique.
1282. The method of claim 1234, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
1283. The method of claim 1282, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
1284. The method of claim 1234, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
1285. The method of claim 1234, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
1286. The method of claim 1285, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1287. The method of claim 1234, further comprising altering a sampling frequency of the measurement device in response to the determined first or second property of the specimen.
1288. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique.
1289. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique.
1290. The method of claim 1234, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
1291. The method of claim 1290, further comprising calibrating the measurement device using the database.
1292. The method of claim 1290, further comprising monitoring output signals of the measurement device using the database.
1293. The method of claim 1290, wherein the database further comprises first and second properties of a plurality of specimens.
1294. The method of claim 1293, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
1295. The method of claim 1294, further comprising calibrating the plurality of measurement devices using the database.
1296. The method of claim 1294, further comprising monitoring output signals of the plurality of measurement devices using the database.
1297. The method of claim 1234, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
1298. The method of claim 1234, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
1299. The method of claim 1234, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1300. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedback control technique.
1301. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to a process tool in response to the determined first or second property of the specimen using a feedforward control technique.
1302. The method of claim 1234, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
1303. The method of claim 1302, further comprising determining a relationship between the determined properties and the monitored parameters.
1304. The method of claim 1303, further comprising altering a parameter of at least one of the instruments in response to the relationship.
1305. The method of claim 1234, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the determined first or second property of the specimen.
1306. The method of claim 1234, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1307. The method of claim 1306, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
1308. The method of claim 1306, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
1309. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
1310. The device of claim 1309, wherein the illumination system comprises a single energy source.
1311. The device of claim 1309, wherein the illumination system comprises more than one energy source.
1312. The device of claim 1309, wherein the detection system comprises a single energy sensitive device.
1313. The device of claim 1309, wherein the detection system comprises more than one energy sensitive devices.
1314. The device of claim 1309, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1315. The device of claim 1309, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1316. The device of claim 1309, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1317. The device of claim 1309, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the surface of the specimen.
1318. The device of claim 1309, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1319. The device of claim 1309, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1320. The device of claim 1309, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1321. The device of claim 1320, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1322. The device of claim 1309, wherein the stage and the measurement device are coupled to a process tool.
1323. The device of claim 1309, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1324. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
1325. The method of claim 1324, wherein the illumination system comprises a single energy source.
1326. The method of claim 1324, wherein the illumination system comprises more than one energy source.
1327. The method of claim 1324, wherein the detection system comprises a single energy sensitive device.
1328. The method of claim 1324, wherein the detection system comprises more than one energy sensitive devices.
1329. The method of claim 1324, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1330. The method of claim 1324, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1331. The method of claim 1324, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1332. The method of claim 1324, wherein the measurement device further comprises non-optical components, and wherein measuring a non-optical characteristic of the surface of the specimen.
1333. The method of claim 1324, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1334. The method of claim 1324, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1335. The method of claim 1324, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1336. The method of claim 1335, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1337. The method of claim 1324, wherein the stage and the measurement device are coupled to a process tool.
1338. The method of claim 1324, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1339. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen.
1340. The system of claim 1339, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1341. The system of claim 1339, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1342. The system of claim 1339, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1343. The system of claim 1339, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
1344. The system of claim 1339, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1345. The system of claim 1339, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
1346. The system of claim 1339, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1347. The system of claim 1339, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1348. The system of claim 1339, wherein the remote controller computer is coupled to a process tool.
1349. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, and a deposition tool.
1350. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedback control technique during use.
1351. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using a feedforward control technique during use.
1352. The system of claim 1339, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
1353. The system of claim 1352, wherein the remote controller computer is further configured to determine a relationship between the determined properties and the monitored parameters during use.
1354. The system of claim 1353, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
1355. The system of claim 1339, wherein the system is coupled to a process tool, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
1356. The system of claim 1355, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
1357. The system of claim 1355, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined first or second property using an in situ control technique during use.
1358. The system of claim 1339, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
1359. The system of claim 1358, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
1360. The system of claim 1339, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
1361. The system of claim 1339, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
1362. The system of claim 1361, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
1363. The system of claim 1339, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to the determined first or second property of the specimen during use.
1364. The system of claim 1339, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedback control technique during use.
1365. The system of claim 1339, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to the determined first or second property using a feedforward control technique during use.
1366. The system of claim 1339, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
1367. The system of claim 1366, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
1368. The system of claim 1366, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
1369. The system of claim 1366, wherein the database further comprises first and second properties of a plurality of specimens.
1370. The system of claim 1369, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
1371. The system of claim 1370, wherein the remote controller computer is further coupled to the plurality of measurement devices.
1372. The system of claim 1371, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
1373. The system of claim 1371, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
1374. The system of claim 1339, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
1375. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a critical dimension of the specimen, and wherein the second property comprises a thin film characteristic of the specimen, comprising:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1376. The method of claim 1375, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1377. The method of claim 1375, wherein the measurement device comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a photo-acoustic device, and a grazing X-ray reflectometer.
1378. The method of claim 1375, wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1379. The method of claim 1375, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the surface of the specimen.
1380. The method of claim 1375, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1381. The method of claim 1375, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1382. The method of claim 1375, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1383. The method of claim 1382, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1384. The method of claim 1375, wherein the remote controller computer is coupled to a process tool.
1385. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1386. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedback control technique.
1387. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property of the specimen using a feedforward control technique.
1388. The method of claim 1375, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
1389. The method of claim 1388, further comprising determining a relationship between the determined properties and at least one of the monitored parameters using the remote controller computer.
1390. The method of claim 1375, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
1391. The method of claim 1375, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, further comprising performing said directing and said detecting during a process step.
1392. The method of claim 1391, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
1393. The method of claim 1391, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to the determined first or second property using an in situ control technique.
1394. The method of claim 1375, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage;
performing said directing and said detecting during said moving the specimen.
1395. The method of claim 1375, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
1396. The method of claim 1375, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
1397. The method of claim 1396, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1398. The method of claim 1375, wherein the remote controller computer is coupled to the measurement device.
1399. The method of claim 1398, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to the determined first or second property of the specimen.
1400. The method of claim 1398, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedback control technique.
1401. The method of claim 1398, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to the determined first or second property using a feedforward control technique.
1402. The method of claim 1375, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
1403. The method of claim 1402, further comprising calibrating the measurement device using the database and the remote controller computer.
1404. The method of claim 1402, further comprising monitoring output signals generating by the measurement device using the database and the remote controller computer.
1405. The method of claim 1402, wherein the database further comprises first and second properties of a plurality of specimens.
1406. The method of claim 1405, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
1407. The method of claim 1406, further comprising calibrating the plurality of measurement devices using the database and the remote controller computer.
1408. The method of claim 1406, further comprising monitoring output signals generated by the plurality of measurement devices using the database and the remote controller computer.
1409. The method of claim 1375, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
1410. The method of claim 1409, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to the determined first or second property of the specimen.
1411. The method of claim 1410, wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
1412. The method of claim 1411, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to the determined first or second property of the specimen.
1413. A system configured to determine at least three properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property, a second property, and a third property of the specimen from the one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
1414. The system of claim 1413, wherein the stage is further configured to move laterally during use.
1415. The system of claim 1413, wherein the stage is further configured to move rotatably during use.
1416. The system of claim 1413, wherein the stage is further configured to move laterally and rotatably during use.
1417. The system of claim 1413, wherein the illumination system comprises a single energy source.
1418. The system of claim 1413, wherein the illumination system comprises more than one energy source.
1419. The system of claim 1413, wherein the detection system comprises a single energy sensitive device.
1420. The system of claim 1413, wherein the detection system comprises more than one energy sensitive devices.
1421. The system of claim 1413, wherein the measurement device further comprises a non-imaging scatterometer.
1422. The system of claim 1413, wherein the measurement device further comprises a scatterometer.
1423. The system of claim 1413, wherein the measurement device further comprises a spectroscopic scatterometer.
1424. The system of claim 1413, wherein the measurement device further comprises a reflectometer.
1425. The system of claim 1413, wherein the measurement device further comprises a spectroscopic reflectometer.
1426. The system of claim 1413, wherein the measurement device further comprises a coherence probe microscope.
1427. The system of claim 1413, wherein the measurement device further comprises a bright field imaging device.
1428. The system of claim 1413, wherein the measurement device further comprises a dark field imaging device.
1429. The system of claim 1413, wherein the measurement device further comprises a bright field and dark field imaging device.
1430. The system of claim 1413, wherein the measurement device further comprises a non-imaging bright field device.
1431. The system of claim 1413, wherein the measurement device further comprises a non-imaging dark field device.
1432. The system of claim 1413, wherein the measurement device further comprises a non-imaging bright field and dark field device.
1433. The system of claim 1413, wherein the measurement device further comprises an ellipsometer.
1434. The system of claim 1413, wherein the measurement device further comprises a spectroscopic ellipsometer.
1435. The system of claim 1413, wherein the measurement device further comprises a dual beam spectrophotometer.
1436. The system of claim 1413, wherein the measurement device further comprises a beam profile ellipsometer.
1437. The system of claim 1413, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1438. The system of claim 1413, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1439. The system of claim 1413, wherein the defects comprise micro defects and macro defects.
1440. The system of claim 1413, wherein the defects comprises micro defects or macro defects.
1441. The system of claim 1413, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
1442. The system of claim 1413, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
1443. The system of claim 1413, wherein the processor is further configured to determine a fourth property of the specimen from the one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1444. The system of claim 1443, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1445. The system of claim 1413, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
1446. The system of claim 1445, wherein the defects comprise macro defects.
1447. The system of claim 1413, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
1448. The system of claim 1413, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1449. The system of claim 1413, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
1450. The system of claim 1413, wherein the system is further configured to determine at least three properties of the specimen substantially simultaneously during use.
1451. The system of claim 1413, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
1452. The system of claim 1413, wherein the system is coupled to a process tool.
1453. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
1454. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
1455. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
1456. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
1457. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
1458. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
1459. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1460. The system of claim 1413, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1461. The system of claim 1413, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1462. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1463. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
1464. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
1465. The system of claim 1413, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
1466. The system of claim 1413, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
1467. The system of claim 1466, wherein the processor is further configured to determine at least the three properties of the specimen during the process step.
1468. The system of claim 1467, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
1469. The system of claim 1467, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to the determined properties using an in situ control technique during use.
1470. The system of claim 1413, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
1471. The system of claim 1470, wherein the system is further configured to determine at least the three properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
1472. The system of claim 1413, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
1473. The system of claim 1413, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
1474. The system of claim 1473, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
1475. The system of claim 1413, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
1476. The system of claim 1413, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
1477. The system of claim 1413, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
1478. The system of claim 1413, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen.
1479. The system of claim 1478, wherein the processor is further configured to calibrate the measurement device using the database during use.
1480. The system of claim 1478, wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
1481. The system of claim 1478, wherein the database further comprises first, second, and third properties of a plurality of specimens.
1482. The system of claim 1481, wherein the first, second, and third properties of the plurality of specimens are determined using the measurement device.
1483. The system of claim 1481, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices.
1484. The system of claim 1483, wherein the processor is further coupled to the plurality of measurement devices.
1485. The system of claim 1484, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
1486. The system of claim 1485, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
1487. The system of claim 1413, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
1488. The system of claim 1413, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
1489. The system of claim 1413, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1490. The system of claim 1413, wherein the processor is further coupled to a process tool.
1491. The system of claim 1490, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
1492. The system of claim 1490, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
1493. The system of claim 1490, wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
1494. The system of claim 1493, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
1495. The system of claim 1494, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
1496. The system of claim 1413, wherein the processor is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
1497. The system of claim 1413, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
1498. The system of claim 1497, wherein the local processor is further configured to determine the first, second, and third properties of the specimen during use.
1499. The system of claim 1497, wherein the remote controller computer is further configured to determine the first, second, and properties of the specimen during use.
1500. A method for determining at least three properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
1501. The method of claim 1500, further comprising laterally moving the stage during said directing energy and said detecting energy.
1502. The method of claim 1500, further comprising rotatably moving the stage during said directing energy and said detecting energy.
1503. The method of claim 1500, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
1504. The method of claim 1500, wherein the illumination system comprises a single energy source.
1505. The method of claim 1500, wherein the illumination system comprises more than one energy source.
1506. The method of claim 1500, wherein the detection system comprises a single energy sensitive device.
1507. The method of claim 1500, wherein the detection system comprises more than one energy sensitive devices.
1508. The method of claim 1500, wherein the measurement device further comprises a non-imaging scatterometer.
1509. The method of claim 1500, wherein the measurement device further comprises a scatterometer.
1510. The method of claim 1500 wherein the measurement device further comprises a spectroscopic scatterometer.
1511. The method of claim 1500, wherein the measurement device further comprises a reflectometer.
1512. The method of claim 1500, wherein the measurement device further comprises a spectroscopic reflectometer.
1513. The method of claim 1500, wherein the measurement device further comprises a coherence probe microscope.
1514. The method of claim 1500, wherein the measurement device further comprises a bright field imaging device.
1515. The method of claim 1500, wherein the measurement device further comprises a dark field imaging device.
1516. The method of claim 1500, wherein the measurement device further comprises a bright field and dark field imaging device.
1517. The method of claim 1500, wherein the measurement device further comprises a non-imaging bright field device.
1518. The method of claim 1500, wherein the measurement device further comprises a non-imaging dark field device.
1519. The method of claim 1500, wherein the measurement device further comprises a non-imaging bright field and dark field device.
1520. The method of claim 1500, wherein the measurement device further comprises an ellipsometer.
1521. The method of claim 1500, wherein the measurement device further comprises a spectroscopic ellipsometer.
1522. The method of claim 1500, wherein the measurement device further comprises a dual beam spectrophotometer.
1523. The method of claim 1500, wherein the measurement device further comprises a beam profile ellipsometer.
1524. The method of claim 1500, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1525. The method of claim 1500, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1526. The method of claim 1500, wherein the defects comprise micro defects and macro defects.
1527. The method of claim 1500, wherein the defects comprises micro defects or macro defects.
1528. The method of claim 1500, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
1529. The method of claim 1500, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
1530. The method of claim 1500, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1531. The method of claim 1530, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1532. The method of claim 1500, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
1533. The method of claim 1532, wherein the defects comprise macro defects.
1534. The method of claim 1500, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the surface of the specimen.
1535. The method of claim 1500, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1536. The method of claim 1500, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1537. The method of claim 1500, wherein processing the detected energy to determine the first, second, and third properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
1538. The method of claim 1500, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
1539. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool.
1540. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
1541. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
1542. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1543. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
1544. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
1545. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
1546. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1547. The method of claim 1500, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1548. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1549. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
1550. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
1551. The method of claim 1500, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
1552. The method of claim 1500, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
1553. The method of claim 1552, further comprising performing said directing and said detecting during the process step.
1554. The method of claim 1553, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
1555. The method of claim 1553, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
1556. The method of claim 1500, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
1557. The method of claim 1556, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
1558. The method of claim 1500, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
1559. The method of claim 1500, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
1560. The method of claim 1559, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1561. The method of claim 1500, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
1562. The method of claim 1500, further comprising altering a parameter of an instrument coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
1563. The method of claim 1500, further comprising altering a parameter of an instrument coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
1564. The method of claim 1500, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen.
1565. The method of claim 1564, further comprising calibrating the measurement device using the database.
1566. The method of claim 1564, further comprising monitoring output signals of the measurement device using the database.
1567. The method of claim 1564, wherein the database further comprises first, second, and third properties of a plurality of specimens.
1568. The method of claim 1567, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices.
1569. The method of claim 1568, further comprising calibrating the plurality of measurement devices using the database.
1570. The method of claim 1568, further comprising monitoring output signals of the plurality of measurement devices using the database.
1571. The method of claim 1500, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
1572. The method of claim 1500, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
1573. The method of claim 1500, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1574. The method of claim 1500, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
1575. The method of claim 1500, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
1576. The method of claim 1500, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
1577. The method of claim 1576, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
1578. The method of claim 1577, further comprising altering a parameter of at least one of the instruments in response to the relationship.
1579. The method of claim 1500, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to the at least one of the determined properties of the specimen.
1580. The method of claim 1500, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1581. The method of claim 1580, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
1582. The method of claim 1580, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
1583. A computer-implemented method for controlling a system configured to determine at least three properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
1584. The method of claim 1583, further comprising controlling the stage, wherein the stage is configured to support the specimen.
1585. The method of claim 1583, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
1586. The method of claim 1583, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
1587. The method of claim 1583, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
1588. The method of claim 1583, wherein the illumination system comprises a single energy source.
1589. The method of claim 1583, wherein the illumination system comprises more than one energy source.
1590. The method of claim 1583, wherein the detection system comprises a single energy sensitive device.
1591. The method of claim 1583, wherein the detection system comprises more than one energy sensitive devices.
1592. The method of claim 1583, wherein the measurement device farther comprises a non-imaging scatterometer.
1593. The method of claim 1583, wherein the measurement device further comprises a scatterometer.
1594. The method of claim 1583, wherein the measurement device further comprises a spectroscopic scatterometer.
1595. The method of claim 1583, wherein the measurement device further comprises a reflectometer.
1596. The method of claim 1583, wherein the measurement device further comprises a spectroscopic reflectometer.
1597. The method of claim 1583, wherein the measurement device further comprises a coherence probe microscope.
1598. The method of claim 1583, wherein the measurement device further comprises a bright field imaging device.
1599. The method of claim 1583, wherein the measurement device further comprises a dark field imaging device.
1600. The method of claim 1583, wherein the measurement device further comprises a bright field and dark field imaging device.
1601. The method of claim 1583, wherein the measurement device further comprises a non-imaging bright field device.
1602. The method of claim 1583, wherein the measurement device further comprises a non-imaging dark field device.
1603. The method of claim 1583, wherein the measurement device further comprises a non-imaging bright field and dark field device.
1604. The method of claim 1583, wherein the measurement device further comprises an ellipsometer.
1605. The method of claim 1583, wherein the measurement device further comprises a spectroscopic ellipsometer.
1606. The method of claim 1583, wherein the measurement device further comprises a dual beam spectrophotometer.
1607. The method of claim 1583, wherein the measurement device further comprises a beam profile ellipsometer.
1608. The method of claim 1583, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1609. The method of claim 1583, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1610. The method of claim 1583, wherein the defects comprise micro defects and macro defects.
1611. The method of claim 1583, wherein the defects comprises micro defects or macro defects.
1612. The method of claim 1583, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
1613. The method of claim 1583, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
1614. The method of claim 1583, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1615. The method of claim 1614, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1616. The method of claim 1583, further comprising:
controlling the illumination system to direct energy toward a bottom surface of the specimen; and
controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
1617. The method of claim 1616, wherein the defects comprise macro defects.
1618. The method of claim 1583, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system comprises controlling the detection system to measure a non-optical characteristic of the surface of the specimen.
1619. The method of claim 1583, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1620. The method of claim 1583, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
1621. The method of claim 1583, wherein processing the one or more output signals to determine the first, second, and third properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
1622. The method of claim 1583, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
1623. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool.
1624. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
1625. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
1626. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1627. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
1628. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
1629. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
1630. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1631. The method of claim 1583, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1632. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1633. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
1634. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
1635. The method of claim 1583, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
1636. The method of claim 1583, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
1637. The method of claim 1636, further comprising controlling the illumination system and controlling the detection system during the process step.
1638. The method of claim 1637, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
1639. The method of claim 1637, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
1640. The method of claim 1583, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
1641. The method of claim 1640, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
1642. The method of claim 1583, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
1643. The method of claim 1583, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
1644. The method of claim 1643, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1645. The method of claim 1583, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
1646. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
1647. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
1648. The method of claim 1583, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen.
1649. The method of claim 1648, further comprising calibrating the measurement device using the database.
1650. The method of claim 1648, further comprising monitoring output signals of the measurement device using the database.
1651. The method of claim 1648, wherein the database further comprises first, second, and third properties of a plurality of specimens.
1652. The method of claim 1648, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices.
1653. The method of claim 1652, further comprising calibrating the plurality of measurement devices using the database.
1654. The method of claim 1652, further comprising monitoring output signals of the plurality of measurement devices using the database.
1655. The method of claim 1583, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
1656. The method of claim 1583, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
1657. The method of claim 1583, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1658. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
1659. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
1660. The method of claim 1583, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
1661. The method of claim 1660, further comprising determining a relationship between the determined properties and at least one of the monitored parameters.
1662. The method of claim 1661, further comprising altering a parameter of at least one of the instruments in response to the relationship.
1663. The method of claim 1583, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
1664. The method of claim 1583, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1665. The method of claim 1664, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
1666. The method of claim 1664, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
1667. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
1668. The device of claim 1667, wherein the illumination system comprises a single energy source.
1669. The device of claim 1667, wherein the illumination system comprises more than one energy source.
1670. The device of claim 1667, wherein the detection system comprises a single energy sensitive device.
1671. The device of claim 1667, wherein the detection system comprises more than one energy sensitive devices.
1672. The device of claim 1667, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1673. The device of claim 1667, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1674. The device of claim 1667, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1675. The device of claim 1667, wherein the defects comprise micro defects and macro defects.
1676. The device of claim 1667, wherein the defects comprises micro defects or macro defects.
1677. The device of claim 1667, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
1678. The device of claim 1667, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
1679. The device of claim 1667, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1680. The device of claim 1679, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1681. The device of claim 1667, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
1682. The device of claim 1681, wherein the defects comprise macro defects.
1683. The device of claim 1667, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the surface of the specimen.
1684. The device of claim 1667, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1685. The device of claim 1667, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1686. The device of claim 1667, wherein the stage and the measurement device are coupled to a process tool.
1687. The device of claim 1667, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1688. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the portion of the specimen.
1689. The method of claim 1688, wherein the illumination system comprises a single energy source.
1690. The method of claim 1688, wherein the illumination system comprises more than one energy source.
1691. The method of claim 1688, wherein the detection system comprises a single energy sensitive device.
1692. The method of claim 1688, wherein the detection system comprises more than one energy sensitive devices.
1693. The method of claim 1688, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1694. The method of claim 1688, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1695. The method of claim 1688, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1696. The method of claim 1688, wherein the defects comprise micro defects and macro defects.
1697. The method of claim 1688, wherein the defects comprises micro defects or macro defects.
1698. The method of claim 1688, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
1699. The method of claim 1688, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
1700. The method of claim 1688, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1701. The method of claim 1700, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1702. The method of claim 1688, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
1703. The method of claim 1702, wherein the defects comprise macro defects.
1704. The method of claim 1688, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the surface of the specimen.
1705. The method of claim 1688, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1706. The method of claim 1688, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1707. The method of claim 1688, wherein the stage and the measurement device are coupled to a process tool.
1708. The method of claim 1688, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1709. A system configured to determine at least three properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property, a second property, and a third property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
1710. The system of claim 1709, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1711. The system of claim 1709, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1712. The system of claim 1709, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1713. The system of claim 1709, wherein the defects comprise micro defects and macro defects.
1714. The system of claim 1709, wherein the defects comprises micro defects or macro defects.
1715. The system of claim 1709, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
1716. The system of claim 1709, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
1717. The system of claim 1709, wherein the remote controller computer is further configured to determine a fourth property of the specimen from the at least partially processed one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1718. The system of claim 1717, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1719. The system of claim 1709, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
1720. The system of claim 1719, wherein the defects comprise macro defects.
1721. The system of claim 1709, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
1722. The system of claim 1709, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1723. The system of claim 1709, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the system is coupled to an atomic layer deposition tool.
1724. The system of claim 1709, wherein the remote controller computer is coupled to a process tool.
1725. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, and a deposition tool.
1726. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
1727. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
1728. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
1729. The system of claim 1728, wherein the remote controller computer is further configured to determine a relationship between the determined properties and at least one of the monitored parameters during use.
1730. The system of claim 1729, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
1731. The system of claim 1709, wherein the remote controller computer is coupled to a process tool, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during the process step.
1732. The system of claim 1731, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
1733. The system of claim 1731, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
1734. The system of claim 1709, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
1735. The system of claim 1734, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during said moving.
1736. The system of claim 1709, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
1737. The system of claim 1709, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
1738. The system of claim 1737, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
1739. The system of claim 1709, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
1740. The system of claim 1709, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
1741. The system of claim 1709, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
1742. The system of claim 1709, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen.
1743. The system of claim 1742, wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
1744. The system of claim 1742, wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
1745. The system of claim 1742, wherein the database further comprises first, second, and third properties of a plurality of specimens.
1746. The system of claim 1745, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices.
1747. The system of claim 1746, wherein the remote controller computer is further coupled to the plurality of measurement devices.
1748. The system of claim 1747, wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
1749. The system of claim 1747, wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
1750. The system of claim 1709, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
1751. A method for determining at least three properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a critical dimension of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen, comprising:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1752. The method of claim 1751, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1753. The method of claim 1751, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, a coherence probe microscope, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, an ellipsometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer.
1754. The method of claim 1751, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1755. The method of claim 1751, wherein the defects comprise micro defects and macro defects.
1756. The method of claim 1751, wherein the defects comprises micro defects or macro defects.
1757. The method of claim 1751, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
1758. The method of claim 1751, wherein the defects comprise macro defects on a back side of the specimen, and wherein the macro defects comprise copper contamination.
1759. The method of claim 1751, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1760. The method of claim 1759, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1761. The method of claim 1751, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
1762. The method of claim 1761, wherein the defects comprise macro defects.
1763. The method of claim 1751, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprising measuring a nonoptical characteristic of the specimen.
1764. The method of claim 1751, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer.
1765. The method of claim 1751, wherein the measurement device further comprises at least an eddy current device and a spectroscopic ellipsometer, and wherein the measurement device is further coupled to an atomic layer deposition tool.
1766. The method of claim 1751, wherein the remote controller computer is coupled to a process tool.
1767. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, and a deposition tool.
1768. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
1769. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
1770. The method of claim 1751, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
1771. The method of claim 1770, further comprising determining a relationship between the determined properties and at least one of the monitored parameters using the remote controller computer.
1772. The method of claim 1771, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
1773. The method of claim 1751, wherein the illumination system and the detection system are coupled to a process chamber of the process tool, the method further comprising performing said directing and said detecting during a process step.
1774. The method of claim 1773, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
1775. The method of claim 1773, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
1776. The method of claim 1751, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage; and
performing said directing and said detecting during said moving the specimen.
1777. The method of claim 1751, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
1778. The method of claim 1751, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
1779. The method of claim 1778, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1780. The method of claim 1751, wherein the remote controller computer is coupled to the measurement device.
1781. The method of claim 1780, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
1782. The method of claim 1780, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
1783. The method of claim 1780, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
1784. The method of claim 1751, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second, and third properties of the specimen.
1785. The method of claim 1784, further comprising calibrating the measurement device using the database and the remote controller computer.
1786. The method of claim 1784, further comprising monitoring output signals of the measurement device using the database and the remote controller computer.
1787. The method of claim 1784, wherein the database further comprises first, second, and third properties of a plurality of specimens.
1788. The method of claim 1787, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices.
1789. The method of claim 1788, further comprising calibrating the plurality of measurement devices using the database and the remote controller computer.
1790. The method of claim 1788, further comprising monitoring output signals of the plurality of measurement devices using the database and the remote controller computer.
1791. The method of claim 1751, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
1792. The method of claim 1751, further comprising altering a parameter of one or more instruments coupled to at least one of a plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
1793. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
1794. The system of claim 1793, wherein the stage is further configured to move laterally during use.
1795. The system of claim 1793, wherein the stage is further configured to move rotatably during use.
1796. The system of claim 1793, wherein the stage is further configured to move laterally and rotatably during use.
1797. The system of claim 1793, wherein the illumination system comprises a single energy source.
1798. The system of claim 1793, wherein the illumination system comprises more than one energy source.
1799. The system of claim 1793, wherein the detection system comprises a single energy sensitive device.
1800. The system of claim 1793, wherein the detection system comprises more than one energy sensitive devices.
1801 The system of claim 1793, wherein the measurement device further comprises a non-imaging scatterometer.
1802. The system of claim 1793, wherein the measurement device further comprises a scatterometer.
1803. The system of claim 1793, wherein the measurement device further comprises a spectroscopic scatterometer.
1804. The system of claim 1793, wherein the measurement device further comprises a reflectometer.
1805. The system of claim 1793, wherein the measurement device further comprises a spectroscopic reflectometer.
1806. The system of claim 1793, wherein the measurement device further comprises an ellipsometer.
1807. The system of claim 1793, wherein the measurement device further comprises a spectroscopic ellipsometer.
1808. The system of claim 1793, wherein the measurement device further comprises a bright field imaging device.
1809. The system of claim 1793, wherein the measurement device further comprises a dark field imaging device.
1810. The system of claim 1793, wherein the measurement device further comprises a bright field and dark field imaging device.
1811. The system of claim 1793, wherein the measurement device further comprises a non-imaging bright field device.
1812. The system of claim 1793, wherein the measurement device further comprises a non-imaging dark field device.
1813. The system of claim 1793, wherein the measurement device further comprises a non-imaging bright field and dark field device.
1814. The system of claim 1793, wherein the measurement device further comprises a double dark field device.
1815. The system of claim 1793, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
1816. The system of claim 1793, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1817. The system of claim 1793, wherein the processor is further configured to determine a third property from the one or more output signals during use, wherein the third property comprises a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
1818. The system of claim 1793, wherein the macro defects comprise copper contamination on a back side of the specimen.
1819. The system of claim 1793, wherein the processor is further configured to determine a third property of the specimen from the one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1820. The system of claim 1819, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1821. The system of claim 1793, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
1822. The system of claim 1793, wherein the system is further configured to determine at least two properties of the specimen substantially simultaneously during use.
1823. The system of claim 1793, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1824. The system of claim 1793, wherein the system is coupled to a process tool.
1825. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
1826. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
1827. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
1828. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
1829. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
1830. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
1831. The system of claim 1793, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1832. The system of claim 1793, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1833. The system of claim 1793, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
1834. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1835. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
1836. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
1837. The system of claim 1793, wherein the system comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
1838. The system of claim 1793, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
1839. The system of claim 1838, wherein the processor is further configured to determine at least the first and second properties of the specimen during the process step.
1840. The system of claim 1839, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
1841. The system of claim 1839, wherein the processor is coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
1842. The system of claim 1793, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
1843. The system of claim 1842, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
1844. The system of claim 1793, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
1845. The system of claim 1793, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
1846. The system of claim 1845, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
1847. The system of claim 1793, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
1848. The system of claim 1793, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
1849. The system of claim 1793, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
1850. The system of claim 1793, wherein the processor is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
1851. The system of claim 1850, wherein the processor is further configured to calibrate the measurement device using the database during use.
1852. The system of claim 1850, wherein the processor is further configured to monitor the determined properties generated by measurement device using the database during use.
1853. The system of claim 1850, wherein the database further comprises first and second properties of a plurality of specimens.
1854. The system of claim 1853, wherein the first and second properties of the plurality of specimens are determined using the measurement device.
1855. The system of claim 1853, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices.
1856. The system of claim 1855, wherein the processor is further coupled to the plurality of measurement devices.
1857. The system of claim 1856, wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
1858. The system of claim 1856, wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
1859. The system of claim 1793, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
1860. The system of claim 1793, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
1861. The system of claim 1793, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1862. The system of claim 1793, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
1863. The system of claim 1793, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
1864. The system of claim 1793, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
1865. The system of claim 1864, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
1866. The system of claim 1864, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
1867. The system of claim 1793, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
1868. The system of claim 1793, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
1869. The system of claim 1793, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
1870. The system of claim 1869, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
1871. The system of claim 1869, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
1872. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
1873. The method of claim 1872, further comprising laterally moving the stage during said directing energy and said detecting energy.
1874. The method of claim 1872, further comprising rotatably moving the stage during said directing energy and said detecting energy.
1875. The method of claim 1872, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
1876. The method of claim 1872, wherein the illumination system comprises a single energy source.
1877. The method of claim 1872, wherein the illumination system comprises more than one energy source.
1878. The method of claim 1872, wherein the detection system comprises a single energy sensitive device.
1879. The method of claim 1872, wherein the detection system comprises more than one energy sensitive devices.
1880. The method of claim 1872, wherein detecting light comprises detecting dark field light propagating along a dark field path from the surface of the specimen.
1881. The method of claim 1872, wherein the measurement device further comprises a non-imaging scatterometer.
1882. The method of claim 1872, wherein the measurement device further comprises a scatterometer.
1883. The method of claim 1872, wherein the measurement device further comprises a spectroscopic scatterometer.
1884. The method of claim 1872, wherein the measurement device further comprises a reflectometer.
1885. The method of claim 1872, wherein the measurement device further comprises a spectroscopic reflectometer.
1886. The method of claim 1872, wherein the measurement device further comprises an ellipsometer.
1887. The method of claim 1872, wherein the measurement device further comprises a spectroscopic ellipsometer.
1888. The method of claim 1872, wherein the measurement device further comprises a bright field imaging device.
1889. The method of claim 1872, wherein the measurement device further comprises a dark field imaging device.
1890. The method of claim 1872, wherein the measurement device further comprises a bright field and dark field imaging device.
1891. The method of claim 1872, wherein the measurement device further comprises a non-imaging bright field device.
1892. The method of claim 1872, wherein the measurement device further comprises a non-imaging dark field device.
1893. The method of claim 1872, wherein the measurement device further comprises a non-imaging bright field and dark field device.
1894. The method of claim 1872, wherein the measurement device further comprises a double dark field device.
1895. The method of claim 1872, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
1896. The method of claim 1872, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1897. The method of claim 1872, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
1898. The method of claim 1872, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
1899. The method of claim 1872, wherein the macro defects comprise copper contamination on a back side of the specimen.
1900. The method of claim 1872, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1901. The method of claim 1900, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1902. The method of claim 1872, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
1903. The method of claim 1872, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1904. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool.
1905. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
1906. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
1907. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
1908. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
1909. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
1910. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
1911. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1912. The method of claim 1872, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1913. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1914. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
1915. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
1916. The method of claim 1872, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
1917. The method of claim 1872, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
1918. The method of claim 1917, further comprising performing said directing and said detecting during the process step.
1919. The method of claim 1917, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
1920. The method of claim 1917, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
1921. The method of claim 1872, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
1922. The method of claim 1921, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
1923. The method of claim 1872, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
1924. The method of claim 1872, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
1925. The method of claim 1924, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
1926. The method of claim 1872, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
1927. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
1928. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
1929. The method of claim 1872, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
1930. The method of claim 1929, further comprising calibrating the measurement device using the database.
1931. The method of claim 1929, further comprising monitoring output signals generated by the measurement device using the database.
1932. The method of claim 1929, wherein the database further comprises first and second properties of a plurality of specimens.
1933. The method of claim 1932, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
1934. The method of claim 1933, further comprising calibrating the plurality of measurement devices using the database.
1935. The method of claim 1933, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
1936. The method of claim 1872, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
1937. The method of claim 1872, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
1938. The method of claim 1872, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
1939. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
1940. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
1941. The method of claim 1872, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
1942. The method of claim 1941, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
1943. The method of claim 1942, further comprising altering a parameter of at least one of the instruments in response to the relationship.
1944. The method of claim 1872, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
1945. The method of claim 1872, wherein the measurement device comprises nonoptical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
1946. The method of claim 1872, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
1947. The method of claim 1946, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
1948. The method of claim 1946, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
1949. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
1950. The method of claim 1949, further comprising controlling the stage, wherein the stage is configured to support the specimen.
1951. The method of claim 1949, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
1952. The method of claim 1949, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
1953. The method of claim 1949, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
1954. The method of claim 1949, wherein the illumination system comprises a single energy source.
1955. The method of claim 1949, wherein the illumination system comprises more than one energy source.
1956. The method of claim 1949, wherein the detection system comprises a single energy sensitive device.
1957. The method of claim 1949, wherein the detection system comprises more than one energy sensitive devices.
1958. The method of claim 1949, wherein the measurement device further comprises a non-imaging scatterometer.
1959. The method of claim 1949, wherein the measurement device further comprises a scatterometer.
1960. The method of claim 1949, wherein the measurement device further comprises a spectroscopic scatterometer.
1961. The method of claim 1949, wherein the measurement device further comprises a reflectometer.
1962. The method of claim 1949, wherein the measurement device further comprises a spectroscopic reflectometer
1963. The method of claim 1949, wherein the measurement device further comprises an ellipsometer.
1964. The method of claim 1949, wherein the measurement device further comprises a spectroscopic ellipsometer.
1965. The method of claim 1949, wherein the measurement device further comprises a bright field imaging device.
1966. The method of claim 1949, wherein the measurement device further comprises a dark field imaging device.
1967. The method of claim 1949, wherein the measurement device further comprises a bright field and dark field imaging device.
1968. The method of claim 1949, wherein the measurement device further comprises a non-imaging bright field device.
1969. The method of claim 1949, wherein the measurement device further comprises a non-imaging dark field device.
1970. The method of claim 1949, wherein the measurement device further comprises a non-imaging bright field and dark field device.
1971. The method of claim 1949, wherein the measurement device further comprises a double dark field device.
1972. The method of claim 1949, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
1973. The method of claim 1949, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
1974. The method of claim 1949, further comprising:
controlling the illumination system to direct energy toward a bottom surface of the specimen; and
controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the first property comprises a presence of defects on the bottom surface of the specimen.
1975. The method of claim 1949, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
1976. The method of claim 1949, wherein the macro defects comprise copper contamination on a back side of the specimen.
1977. The method of claim 1949, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
1978. The method of claim 1977, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
1979. The method of claim 1949, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
1980. The method of claim 1949, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
1981. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool.
1982. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
1983. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
1984. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
1985. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
1986. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
1987. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
1988. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
1989. The method of claim 1949, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
1990. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
1991. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
1992. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
1993. The method of claim 1949, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of s process tool.
1994. The method of claim 1949, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
1995. The method of claim 1994, further comprising controlling the illumination system and controlling the detection system during the process step.
1996. The method of claim 1995, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
1997. The method of claim 1995, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
1998. The method of claim 1949, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
1999. The method of claim 1998, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
2000. The method of claim 1949, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
2001. The method of claim 1949, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
2002. The method of claim 2001, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2003. The method of claim 1949, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties.
2004. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
2005. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
2006. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
2007. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the database.
2008. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2009. The method of claim 1949, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens generated using a plurality of measurement devices.
2010. The method of claim 2009, further comprising calibrating the plurality of measurement devices using the database.
2011. The method of claim 2009, further comprising monitoring output signals generated by the plurality of measurement devices using the database.
2012. The method of claim 1949, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
2013. The method of claim 1949, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
2014. The method of claim 1949, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2015. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
2016. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
2017. The method of claim 1949, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
2018. The method of claim 1949, further comprising monitoring a parameter of one or more instruments coupled to a process tool and determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
2019. The method of claim 1949, further comprising monitoring a parameter of one or more instruments coupled to a process tool, determining a relationship between at least one of the determined properties and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
2020. The method of claim 1949, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
2021. The method of claim 1949, wherein the measurement device comprises nonoptical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the surface of the specimen.
2022. The method of claim 1949, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2023. The method of claim 2022, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
2024. The method of claim 2022, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
2025. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
2026. The device of claim 2025, wherein the illumination system comprises a single energy source.
2027. The device of claim 2025, wherein the illumination system comprises more than one energy source.
2028. The device of claim 2025, wherein the detection system comprises a single energy sensitive device.
2029. The device of claim 2025, wherein the detection system comprises more than one energy sensitive devices.
2030. The device of claim 2025, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2031. The device of claim 2025, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2032. The device of claim 2025, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2033. The device of claim 2025, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
2034. The device of claim 2025, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
2035. The device of claim 2025, wherein the macro defects comprise copper contamination on a back side of the specimen.
2036. The device of claim 2025, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2037. The device of claim 2036, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2038. The device of claim 2025, wherein the stage and the measurement device are coupled to a process tool.
2039. The device of claim 2025, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
2040. The device of claim 2025, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
2041. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
2042. The method of claim 2041, wherein the illumination system comprises a single energy source.
2043. The method of claim 2041, wherein the illumination system comprises more than one energy source.
2044. The method of claim 2041, wherein the detection system comprises a single energy sensitive device.
2045. The method of claim 2041, wherein the detection system comprises more than one energy sensitive devices.
2046. The method of claim 2041, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2047. The method of claim 2041, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2048. The method of claim 2041, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2049. The method of claim 2041, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
2050. The method of claim 2041, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
2051. The method of claim 2041, wherein the macro defects comprise copper contamination on a back side of the specimen.
2052. The method of claim 2041, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2053. The method of claim 2052, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2054. The method of claim 2041, wherein the stage and the measurement device are coupled to a process tool.
2055. The method of claim 2041, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
2056. The method of claim 2041, wherein the measurement device comprises nonoptical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
2057. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use,
wherein the measurement device is configured to generate one or more output signals responsive to the detected energy;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen.
2058. The system of claim 2057, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2059. The system of claim 2057, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2060. The system of claim 2057, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2061. The system of claim 2057, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
2062. The system of claim 2057, wherein the remote controller computer is configured to determine a third property from the at least partially processed one or more output signals during use, wherein the third property comprises a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
2063. The system of claim 2057, wherein the macro defects comprise copper contamination on a back side of the specimen.
2064. The system of claim 2057, wherein the remote controller computer is further configured to determine a third property of the specimen from the at least partially processed one or more output signals during use, and wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2065. The system of claim 2064, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2066. The system of claim 2057, wherein the remote controller computer is coupled to a process tool.
2067. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
2068. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
2069. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use. 2070. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
2071. The system of claim 2070, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
2072. The system of claim 2071, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
2073. The system of claim 2057, wherein the remote controller computer is coupled to a process tool, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
2074. The system of claim 2073, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
2075. The system of claim 2073, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
2076. The system of claim 2057, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
2077. The system of claim 2076, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
2078. The system of claim 2057, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
2079. The system of claim 2057, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
2080. The system of claim 2079, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
2081. The system of claim 2057, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
2082. The system of claim 2057, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
2083. The system of claim 2057, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
2084. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
2085. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
2086. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2087. The system of claim 2057, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens determined using a plurality of measurement devices.
2088. The system of claim 2087, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
2089. The system of claim 2087, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
2090. The system of claim 2057, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein each of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
2091. The system of claim 2057, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
2092. The system of claim 2057, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the surface of the specimen.
2093. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a presence of macro defects on the specimen, and wherein the second property comprises a presence of micro defects on the specimen, comprising:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2094. The method of claim 2093, wherein the measurement device is selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2095. The method of claim 2093, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a spectroscopic reflectometer, an ellipsometer, a spectroscopic ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a double dark field device, an X-ray reflectometer, an X-ray fluorescence device, an optical fluorescence device, an eddy current imaging device, and a relatively large spot e-beam device.
2096. The method of claim 2093, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2097. The method of claim 2093, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the first property further comprises a presence of macro defects on the bottom surface of the specimen.
2098. The method of claim 2093, further comprising processing the one or more output signals to determine a thickness of a copper film, and wherein the macro defects or the micro defects comprise voids in the copper film.
2099. The method of claim 2093, wherein the macro defects comprise copper contamination on a back side of the specimen.
2100. The method of claim 2093, further comprising processing the one or more output signals to determine a third property of the specimen, wherein the third property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2101. The method of claim 2100, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2102. The method of claim 2093, wherein the remote controller computer is coupled to a process tool.
2103. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, an ion implanter, a chemical-mechanical polishing tool, a deposition tool, a thermal tool, a cleaning tool, and a plating tool.
2104. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
2105. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
2106. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
2107. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer and determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
2108. The method of claim 2093, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer, determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer, and altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
2109. The method of claim 2093, wherein the illumination system and the detection system are coupled to a process chamber of a process tool, the method further comprising performing said directing and said detecting during a process step.
2110. The method of claim 2109, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
2111. The method of claim 2109, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
2112. The method of claim 2093, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage; and
performing said directing and said detecting during said moving the specimen.
2113. The method of claim 2093, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
2114. The method of claim 2093, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
2115. The method of claim 2114, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2116. The method of claim 2093, wherein the remote controller computer is coupled to the measurement device.
2117. The method of claim 2116, further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
2118. The method of claim 2116, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
2119. The method of claim 2116, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
2120. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen.
2121. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
2122. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the remote controller computer and the database.
2123. The method of claim 2093, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2124. The method of claim 2123, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices.
2125. The method of claim 2124, further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
2126. The method of claim 2124, further comprising monitoring output signals generated by the plurality of measurement devices using the remote controller computer and the database.
2127. The method of claim 2093, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to a measurement device.
2128. The method of claim 2127, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
2129. The method of claim 2127, wherein at least one of the plurality of measurement devices is coupled to one of a plurality of process tools.
2130. The method of claim 2129, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
2131. The method of claim 2093, wherein the measurement device comprises nonoptical components, and wherein detecting energy comprises measuring a non-optical characteristic of the surface of the specimen.
2132. A system configured to determine at least three properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property, a second property, and a third property of the specimen from the one or more output signals during use, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
2133. The system of claim 2132, wherein the stage is further configured to move laterally during use.
2134. The system of claim 2132, wherein the stage is further configured to move rotatably during use.
2135. The system of claim 2132, wherein the stage is further configured to move laterally and rotatably during use.
2136. The system of claim 2132, wherein the illumination system comprises a single energy source.
2137. The system of claim 2132, wherein the illumination system comprises more than one energy source.
2138. The system of claim 2132, wherein the detection system comprises a single energy sensitive device.
2139. The system of claim 2132, wherein the detection system comprises more than one energy sensitive devices.
2140. The system of claim 2132, wherein the measurement device further comprises an optical profilometer.
2141. The system of claim 2132, wherein the measurement device further comprises an interferometer.
2142. The system of claim 2132, wherein the measurement device further comprises a spectroscopic reflectometer.
2143. The system of claim 2132, wherein the measurement device further comprises a spectroscopic ellipsometer.
2144. The system of claim 2132, wherein the measurement device further comprises a dual beam spectrophotometer.
2145. The system of claim 2132, wherein the measurement device further comprises a beam profile ellipsometer.
2146. The system of claim 2132, wherein the measurement device further comprises a non-imaging scatterometer.
2147. The system of claim 2132, wherein the measurement device further comprises a scatterometer.
2148. The system of claim 2132, wherein the measurement device further comprises a spectroscopic scatterometer.
2149. The system of claim 2132, wherein the measurement device further comprises a reflectometer.
2150. The system of claim 2132, wherein the measurement device further comprises an ellipsometer.
2151. The system of claim 2132, wherein the measurement device further comprises a bright field imaging device.
2152. The system of claim 2132, wherein the measurement device further comprises a dark field imaging device.
2153. The system of claim 2132, wherein the measurement device further comprises a bright field and dark field imaging device.
2154. The system of claim 2132, wherein the measurement device further comprises a non-imaging bright field device.
2155. The system of claim 2132, wherein the measurement device further comprises a non-imaging dark field device.
2156. The system of claim 2132, wherein the measurement device further comprises a non-imaging bright field and dark field device.
2157. The system of claim 2132, wherein the measurement device further comprises a double dark field device.
2158. The system of claim 2132, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2159. The system of claim 2132, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2160. The system of claim 2132, wherein the defects comprise micro defects and macro defects.
2161. The system of claim 2132, wherein the defects comprises micro defects or macro defects.
2162. The system of claim 2132, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
2163. The system of claim 2132, wherein the defects comprise copper contamination on a back side of the specimen.
2164. The system of claim 2132, wherein the processor is further configured to determine a fourth property of the specimen from the one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2165. The system of claim 2164, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2166. The system of claim 2132, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2167. The system of claim 2166, wherein the defects comprise macro defects.
2168. The system of claim 2132, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the specimen.
2169. The system of claim 2132, wherein the system is further configured to determine at least the three properties of the specimen substantially simultaneously during use.
2170. The system of claim 2132, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
2171. The system of claim 2132, wherein the system is coupled to a semiconductor fabrication process tool.
2172. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
2173. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
2174. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
2175. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
2176. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
2177. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
2178. The system of claim 2132, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2179. The system of claim 2132, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2180. The system of claim 2132, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
2181. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2182. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2183. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2184. The system of claim 2132, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2185. The system of claim 2132, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
2186. The system of claim 2185, wherein the processor is further configured to determine at least the three properties of the specimen during the process step.
2187. The system of claim 2186, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
2188. The system of claim 2186, wherein the processor is further coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
2189. The system of claim 2132, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
2190. The system of claim 2189, wherein the system is further configured to determine at least the three properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
2191. The system of claim 2132, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
2192. The system of claim 2132, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
2193. The system of claim 2192, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
2194. The system of claim 2132, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
2195. The system of claim 2132, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
2196. The system of claim 2132, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
2197. The system of claim 2132, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
2198. The system of claim 2132, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
2199. The system of claim 2132, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
2200. The system of claim 2199, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
2201. The system of claim 2199, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
2202. The system of claim 2132, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
2203. The system of claim 2132, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
2204. The system of claim 2132, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2205. The system of claim 2132, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
2206. The system of claim 2132, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
2207. The system of claim 2132, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
2208. The system of claim 2207, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
2209. The system of claim 2208, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
2210. The system of claim 2132, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to one of a plurality of process tools.
2211. The system of claim 2132, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
2212. The system of claim 2132, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
2213. The system of claim 2212, wherein the local processor is further configured to determine the first, second, and third properties of the specimen during use.
2214. The system of claim 2212, wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during use.
2215. A method for determining at least three properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
2216. The method of claim 2215, further comprising laterally moving the stage during said directing energy and said detecting energy.
2217. The method of claim 2215, further comprising rotatably moving the stage during said directing energy and said detecting energy.
2218. The method of claim 2215, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
2219. The method of claim 2215, wherein the illumination system comprises a single energy source.
2220. The method of claim 2215, wherein the illumination system comprises more than one energy source.
2221. The method of claim 2215, wherein the detection system comprises a single energy sensitive device.
2222. The method of claim 2215, wherein the detection system comprises more than one energy sensitive devices.
2223. The method of claim 2215, wherein the measurement device further comprises an optical profilometer.
2224. The method of claim 2215, wherein the measurement device further comprises an interferometer.
2225. The method of claim 2215, wherein the measurement device further comprises a spectroscopic reflectometer.
2226. The method of claim 2215, wherein the measurement device further comprises a spectroscopic ellipsometer.
2227. The method of claim 2215, wherein the measurement device further comprises a dual beam spectrophotometer.
2228. The method of claim 2215, wherein the measurement device further comprises a beam profile ellipsometer.
2229. The method of claim 2215, wherein the measurement device further comprises a non-imaging scatterometer.
2230. The method of claim 2215, wherein the measurement device further comprises a scatterometer.
2231. The method of claim 2215, wherein the measurement device further comprises a spectroscopic scatterometer.
2232. The method of claim 2215, wherein the measurement device further comprises a reflectometer.
2233. The method of claim 2215, wherein the measurement device further comprises an ellipsometer.
2234. The method of claim 2215, wherein the measurement device further comprises a bright field imaging device.
2235. The method of claim 2215, wherein the measurement device further comprises a dark field imaging device.
2236. The method of claim 2215, wherein the measurement device further comprises a bright field and dark field imaging device.
2237. The method of claim 2215, wherein the measurement device further comprises a non-imaging bright field device.
2238. The method of claim 2215, wherein the measurement device further comprises a non-imaging dark field device.
2239. The method of claim 2215, wherein the measurement device further comprises a non-imaging bright field and dark field device.
2240. The method of claim 2215, wherein the measurement device further comprises a double dark field device.
2241. The method of claim 2215, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2242. The method of claim 2215, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2243. The method of claim 2215, wherein the defects comprise micro defects and macro defects.
2244. The method of claim 2215, wherein the defects comprises micro defects or macro defects.
2245. The method of claim 2215, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
2246. The method of claim 2215, wherein the defects comprise copper contamination on a back side of the specimen.
2247. The method of claim 2215, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2248. The method of claim 2247, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2249. The method of claim 2215, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property comprises a presence of defects on the bottom surface of the specimen.
2250. The method of claim 2249, wherein the defects comprise macro defects.
2251. The method of claim 2215, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the specimen.
2252. The method of claim 2215, wherein processing the one or more output signals to determine the first, second, and properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
2253. The method of claim 2215, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
2254. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool.
2255. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
2256. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
2257. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, and wherein the semiconductor fabrication process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
2258. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
2259. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
2260. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
2261. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2262. The method of claim 2215, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2263. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2264. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2265. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2266. The method of claim 2215, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2267. The method of claim 2215, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
2268. The method of claim 2267, further comprising performing said directing and said detecting during the process step.
2269. The method of claim 2268, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
2270. The method of claim 2268, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
2271. The method of claim 2215, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
2272. The method of claim 2271, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
2273. The method of claim 2215, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
2274. The method of claim 2215, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
2275. The method of claim 2274, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2276. The method of claim 2215, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
2277. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
2278. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
2279. The method of claim 2215, further comprising generating a database, wherein the database comprises the determined properties of the specimen, the method further comprising calibrating the measurement device using the database.
2280. The method of claim 2215, further comprising generating a database, wherein the database comprises the determined properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the database.
2281. The method of claim 2215, further comprising generating a database, wherein the database comprises the determined properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
2282. The method of claim 2281, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
2283. The method of claim 2281, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
2284. The method of claim 2215, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
2285. The method of claim 2215, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
2286. The method of claim 2215, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2287. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
2288. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
2289. The method of claim 2215, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
2290. The method of claim 2215, further comprising monitoring a parameter of an instrument coupled to a process tool and determining a relationship between the at least one of the determined properties and at least one of the monitored parameters.
2291. The method of claim 2215, further comprising monitoring a parameter of an instrument coupled to a process tool, determining a relationship between the at least one of the determined properties and at least one of the monitored parameters, and altering a parameter of at least one of the instruments in response to the relationship.
2292. The method of claim 2215, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
2293. The method of claim 2215, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2294. The method of claim 2293, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
2295. The method of claim 2293, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
2296. A computer-implemented method for controlling a system configured to determine at least three properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
2297. The method of claim 2296, further comprising controlling the stage, wherein the stage is configured to support the specimen.
2298. The method of claim 2296, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
2299. The method of claim 2296, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
2300. The method of claim 2296, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
2301. The method of claim 2296, wherein the illumination system comprises a single energy source.
2302. The method of claim 2296, wherein the illumination system comprises more than one energy source.
2303. The method of claim 2296, wherein the detection system comprises a single energy sensitive device.
2304. The method of claim 2296, wherein the detection system comprises more than one energy sensitive devices.
2305. The method of claim 2296, wherein the measurement device comprises an optical profilometer.
2306. The method of claim 2296, wherein the measurement device further comprises an interferometer.
2307. The method of claim 2296, wherein the measurement device further comprises a spectroscopic reflectometer.
2308. The method of claim 2296, wherein the measurement device further comprises a spectroscopic ellipsometer.
2309. The method of claim 2296, wherein the measurement device further comprises a dual beam spectrophotometer.
2310. The method of claim 2296, wherein the measurement device further comprises a beam profile ellipsometer.
2311. The method of claim 2296, wherein the measurement device further comprises a non-imaging scatterometer.
2312. The method of claim 2296, wherein the measurement device further comprises a scatterometer.
2313. The method of claim 2296, wherein the measurement device further comprises a spectroscopic scatterometer.
2314. The method of claim 2296, wherein the measurement device further comprises a reflectometer.
2315. The method of claim 2296, wherein the measurement device further comprises an ellipsometer.
2316. The method of claim 2296, wherein the measurement device further comprises a bright field imaging device.
2317. The method of claim 2296, wherein the measurement device further comprises a dark field imaging device.
2318. The method of claim 2296, wherein the measurement device further comprises a bright field and dark field imaging device.
2319. The method of claim 2296, wherein the measurement device further comprises a non-imaging bright field device.
2320. The method of claim 2296, wherein the measurement device further comprises a non-imaging dark field device.
2321. The method of claim 2296, wherein the measurement device further comprises a non-imaging bright field and dark field device.
2322. The method of claim 2296, wherein the measurement device further comprises a double dark field device.
2323. The method of claim 2296, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2324. The method of claim 2296, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2325. The method of claim 2296, wherein the defects comprise micro defects and macro defects.
2326. The method of claim 2296, wherein the defects comprises micro defects or macro defects.
2327. The method of claim 2296, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
2328. The method of claim 2296, wherein the defects comprise copper contamination on a back side of the specimen.
2329. The method of claim 2296, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2330. The method of claim 2329, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2331. The method of claim 2296, further comprising:
controlling the illumination system to direct energy toward a bottom surface of the specimen; and
controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2332. The method of claim 2331, wherein the defects comprise macro defects.
2333. The method of claim 2296, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the specimen.
2334. The method of claim 2296, wherein processing the one or more output signals to determine the first, second, and third properties of the specimen comprises substantially simultaneously determining the first, second, and third properties of the specimen.
2335. The method of claim 2296, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that the first, second, and third properties of the specimen at the multiple locations can be determined substantially simultaneously.
2336. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool.
2337. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
2338. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
2339. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, and etch tool, a chemical-mechanical polishing tool, and a thermal tool.
2340. The method of claim 2296, further comprising controlling a wafer handler to move the specimen from a process tool to the stage, wherein the wafer handler is coupled to the process tool.
2341. The method of claim 2296, further comprising controlling the stage to move the specimen from the system to a process tool.
2342. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
2343. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2344. The method of claim 2296, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2345. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2346. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2347. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2348. The method of claim 2296, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2349. The method of claim 2296, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
2350. The method of claim 2349, further comprising controlling the illumination system and controlling the detection system during the process step.
2351. The method of claim 2350, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
2352. The method of claim 2350, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
2353. The method of claim 2296, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
2354. The method of claim 2353, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
2355. The method of claim 2296, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
2356. The method of claim 2296, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
2357. The method of claim 2356, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2358. The method of claim 2296, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
2359. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
2360. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
2361. The method of claim 2296, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen, the method further comprising calibrating the measurement device using the database.
2362. The method of claim 2296, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the database.
2363. The method of claim 2296, further comprising generating a database, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
2364. The method of claim 2363, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
2365. The method of claim 2363, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the database.
2366. The method of claim 2296, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
2367. The method of claim 2296, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
2368. The method of claim 2296, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2369. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedback control technique.
2370. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen using a feedforward control technique.
2371. The method of claim 2296, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
2372. The method of claim 2371, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
2373. The method of claim 2372, further comprising altering a parameter of at least one of the instruments in response to the relationship.
2374. The method of claim 2296, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
2375. The method of claim 2296, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2376. The method of claim 2375, wherein at least partially processing the one or more output signals comprises determining the first, second, and third properties of the specimen.
2377. The method of claim 2375, wherein further processing the partially processed one or more output signals comprises determining the first, second, and third properties of the specimen.
2378. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
2379. The device of claim 2378, wherein the illumination system comprises a single energy source.
2380. The device of claim 2378, wherein the illumination system comprises more than one energy source.
2381. The device of claim 2378, wherein the detection system comprises a single energy sensitive device.
2382. The device of claim 2378, wherein the detection system comprises more than one energy sensitive devices.
2383. The device of claim 2378, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2384. The device of claim 2378, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2385. The device of claim 2378, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2386. The device of claim 2378, wherein the defects comprise micro defects and macro defects.
2387. The device of claim 2378, wherein the defects comprises micro defects or macro defects.
2388. The device of claim 2378, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
2389. The device of claim 2378, wherein the defects comprise copper contamination on a back side of the specimen.
2390. The device of claim 2378, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2391. The device of claim 2390, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2392. The device of claim 2378, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2393. The device of claim 2392, wherein the defects comprise macro defects.
2394. The device of claim 2378, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
2395. The device of claim 2378, wherein the stage and the measurement device are coupled to a process tool.
2396. The device of claim 2378, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
2397. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals in response to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
2398. The method of claim 2397, wherein the illumination system comprises a single energy source.
2399. The method of claim 2397, wherein the illumination system comprises more than one energy source.
2400. The method of claim 2397, wherein the detection system comprises a single energy sensitive device.
2401. The method of claim 2397, wherein the detection system comprises more than one energy sensitive devices.
2402. The method of claim 2397, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2403. The method of claim 2397, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2404. The method of claim 2397, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2405. The method of claim 2397, wherein the defects comprise micro defects and macro defects.
2406. The method of claim 2397, wherein the defects comprises micro defects or macro defects.
2407. The method of claim 2397, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
2408. The method of claim 2397, wherein the defects comprise copper contamination on a back side of the specimen.
2409. The method of claim 2397, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2410. The method of claim 2409, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2411. The method of claim 2397, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2412. The method of claim 2411, wherein the defects comprise macro defects.
2413. The method of claim 2397, wherein the measurement device comprises nonoptical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
2414. The method of claim 2397, wherein the stage and the measurement device are coupled to a process tool.
2415. The method of claim 2397, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
2416. A system configured to determine at least three properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals in response to the detected energy;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property, a second property, and a third property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen.
2417. The system of claim 2416, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2418. The system of claim 2416, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2419. The system of claim 2416, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2420. The system of claim 2416, wherein the defects comprise micro defects and macro defects.
2421. The system of claim 2416, wherein the defects comprises micro defects or macro defects.
2422. The system of claim 2416, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
2423. The system of claim 2416, wherein the defects comprise copper contamination on a back side of the specimen.
2424. The system of claim 2416, wherein the remote controller computer is further configured to determine a fourth property of the specimen from the at least partially processed one or more output signals during use, and wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2425. The system of claim 2424, wherein the system is coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2426. The system of claim 2416, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2427. The system of claim 2426, wherein the defects comprise macro defects.
2428. The system of claim 2416, wherein the illumination system and the detection system comprise non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the specimen.
2429. The system of claim 2416, wherein the remote controller computer is coupled to a process tool.
2430. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from a group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
2431. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
2432. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
2433. The system of claim 2416, wherein the remote controller computer is coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
2434. The system of claim 2433, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
2435. The system of claim 2434, wherein the remote controller computer is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
2436. The system of claim 2416, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during the process step.
2437. The system of claim 2436, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
2438. The system of claim 2436, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
2439. The system of claim 2416, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
2440. The system of claim 2439, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first, second, and third properties of the specimen during said moving.
2441. The system of claim 2416, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
2442. The system of claim 2416, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
2443. The system of claim 2442, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
2444. The system of claim 2416, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
2445. The system of claim 2416, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
2446. The system of claim 2416, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
2447. The system of claim 2416, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
2448. The system of claim 2416, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
2449. The system of claim 2416, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first, second, and third properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
2450. The system of claim 2449, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
2451. The system of claim 2449, wherein the first, second, and third properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
2452. The system of claim 2416, wherein the remote controller computer is further coupled to a plurality of measurement devices, wherein each of the plurality of measurement devices is coupled to one of a plurality of process tools.
2453. The system of claim 2416, wherein the remote controller computer is coupled to at least one of a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
2454. A method for determining at least three properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property, a second property, and a third property of the specimen, wherein the first property comprises a flatness measurement of the specimen, wherein the second property comprises a presence of defects on the specimen, and wherein the third property comprises a thin film characteristic of the specimen, comprising:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2455. The method of claim 2454, wherein the measurement device is selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2456. The method of claim 2454, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of an optical profilometer, an interferometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, and a beam profile ellipsometer a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a bright field imaging device, a dark field imaging device, a bright field and dark field imaging device, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, and a double dark field device.
2457. The method of claim 2454, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2458. The method of claim 2454, wherein the defects comprise micro defects and macro defects.
2459. The method of claim 2454, wherein the defects comprises micro defects or macro defects.
2460. The method of claim 2454, wherein the thin film characteristic comprises a thickness of a copper film, and wherein the defects comprise voids in the copper film.
2461. The method of claim 2454, wherein the defects comprise copper contamination on a back side of the specimen.
2462. The method of claim 2454, further comprising processing the one or more output signals to determine a fourth property of the specimen, wherein the fourth property is selected from the group consisting of a roughness of the specimen, a roughness of a layer on the specimen, and a roughness of a feature of the specimen.
2463. The method of claim 2462, wherein the stage and the measurement device are coupled to a process tool selected from the group consisting of a lithography tool, an atomic layer deposition tool, a cleaning tool, and an etch tool.
2464. The method of claim 2454, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2465. The method of claim 2464, wherein the defects comprise macro defects.
2466. The method of claim 2454, wherein the measurement device comprises non-optical components, and wherein detecting energy comprises measuring a non-optical characteristic of the specimen.
2467. The method of claim 2454, wherein the remote controller computer is coupled to a process tool.
2468. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, and wherein the process tool is selected from the group consisting of a lithography tool, an etch tool, a chemical-mechanical polishing tool, and a thermal tool.
2469. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen comprises using a feedback control technique.
2470. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen comprises using a feedforward control technique.
2471. The method of claim 2454, wherein the remote controller computer is coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
2472. The method of claim 2471, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
2473. The method of claim 2472, further comprising altering a parameter of at least one of the instruments in response to the relationship using the remote controller computer.
2474. The method of claim 2454, wherein the illumination system and the detection system are coupled to a process chamber of a process tool, the method further comprising performing said directing and said detecting during a process step.
2475. The method of claim 2474, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
2476. The method of claim 2474, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
2477. The method of claim 2454, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage; and
performing said directing and said detecting during said moving the specimen.
2478. The method of claim 2454, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
2479. The method of claim 2454, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
2480. The method of claim 2479, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2481. The method of claim 2454, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a sampling frequency of the measurement device using the remote controller computer in response to at least one of the determined properties of the specimen.
2482. The method of claim 2454, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
2483. The method of claim 2454, wherein the remote controller computer is coupled to the measurement device, the method further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
2484. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen.
2485. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer.
2486. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen, the method further comprising monitoring output signals generated by the measurement device using the remote controller computer.
2487. The method of claim 2454, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first, second and third properties of the specimen, and wherein the database further comprises first, second, and third properties of a plurality of specimens.
2488. The method of claim 2487, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer.
2489. The method of claim 2487, wherein the first, second, and third properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals generated by the plurality of measurement devices using the remote controller computer.
2490. The method of claim 2454, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
2491. The method of claim 2490, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of measurement devices using the remote controller computer in response to at least one of the determined properties of the specimen.
2492. The method of claim 2490, wherein at least one of the plurality of measurement devices is coupled to one of a plurality of process tools.
2493. The method of claim 2492, further comprising altering a parameter of one or more instruments coupled to at least one of the plurality of process tools using the remote controller computer in response to at least one of the determined properties of the specimen.
2494. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
2495. The system of claim 2494, wherein the stage is further configured to move laterally during use.
2496. The system of claim 2494, wherein the stage is further configured to move rotatably during use.
2497. The system of claim 2494, wherein the stage is further configured to move laterally and rotatably during use.
2498. The system of claim 2494, wherein the illumination system comprises a single energy source.
2499. The system of claim 2494, wherein the illumination system comprises more than one energy source.
2500. The system of claim 2494, wherein the detection system comprises a single energy sensitive device.
2501. The system of claim 2494, wherein the detection system comprises more than one energy sensitive devices.
2502. The system of claim 2494, wherein the measurement device further comprises a coherence probe microscope.
2503. The system of claim 2494, wherein the measurement device further comprises an interferometer.
2504. The system of claim 2494, wherein the measurement device further comprises an optical profilometer.
2505. The system of claim 2494, wherein the measurement device further comprises a spectroscopic reflectometer.
2506. The system of claim 2494, wherein the measurement device further comprises a spectroscopic ellipsometer.
2507. The system of claim 2494, wherein the measurement device further comprises a dual beam spectrophotometer.
2508. The system of claim 2494, wherein the measurement device further comprises a beam profile ellipsometer.
2509. The system of claim 2494, wherein the measurement device further comprises a non-imaging scatterometer.
2510. The system of claim 2494, wherein the measurement device further comprises a scatterometer.
2511. The system of claim 2494, wherein the measurement device further comprises a spectroscopic scatterometer.
2512. The system of claim 2494, wherein the measurement device further comprises a reflectometer.
2513. The system of claim 2494, wherein the measurement device further comprises a bright field imaging device.
2514. The system of claim 2494, wherein the measurement device further comprises a dark field imaging device.
2515. The system of claim 2494, wherein the measurement device further comprises a bright field and dark field imaging device.
2516. The system of claim 2494, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2517. The system of claim 2494, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2518. The system of claim 2494, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
2519. The system of claim 2494, wherein the system is coupled to a process tool.
2520. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
2521. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
2522. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
2523. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
2524. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
2525. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
2526. The system of claim 2494, wherein the system is coupled to a lithography tool, wherein the system is configured to determine the flatness measurement of the specimen prior to an exposure step of the lithography process, and wherein the system is configured to determine the overlay misregistration subsequent to the exposure step of the lithography process.
2527. The system of claim 2494, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2528. The system of claim 2494, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2529. The system of claim 2494, wherein the system is coupled to a process tool, and wherein the process tool comprises a lithography tool.
2530. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2531. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2532. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2533. The system of claim 2494, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2534. The system of claim 2494, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
2535. The system of claim 2534, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
2536. The system of claim 2535, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
2537. The system of claim 2535, wherein the processor is further coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
2538. The system of claim 2494, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
2539. The system of claim 2538, wherein the system is further configured to determine at least one of the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
2540. The system of claim 2494, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
2541. The system of claim 2494, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
2542. The system of claim 2541, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
2543. The system of claim 2494, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
2544. The system of claim 2494, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
2545. The system of claim 2494, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
2546. The system of claim 2494, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
2547. The system of claim 2494, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
2548. The system of claim 2494, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens determined using a plurality of measurement devices.
2549. The system of claim 2548, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
2550. The system of claim 2548, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
2551. The system of claim 2494, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
2552. The system of claim 2494, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
2553. The system of claim 2494, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2554. The system of claim 2494, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
2555. The system of claim 2494, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
2556. The system of claim 2494, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
2557. The system of claim 2556, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
2558. The system of claim 2557, wherein the processor is further configured to alter a parameter of at least one of the instruments in response to the relationship during use.
2559. The system of claim 2494, wherein the processor is further coupled to a plurality of measurement devices, and wherein the plurality of measurement devices is coupled to a plurality of process tools.
2560. The system of claim 2494, wherein the processor is further coupled to a plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
2561. The system of claim 2494, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
2562. The system of claim 2561, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
2563. The system of claim 2561, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
2564. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
2565. The method of claim 2564, further comprising laterally moving the stage during said directing energy and said detecting energy.
2566. The method of claim 2564, further comprising rotatably moving the stage during said directing energy and said detecting energy.
2567. The method of claim 2564, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
2568. The method of claim 2564, wherein the illumination system comprises a single energy source.
2569. The method of claim 2564, wherein the illumination system comprises more than one energy source.
2570. The method of claim 2564, wherein the detection system comprises a single energy sensitive device.
2571. The method of claim 2564, wherein the detection system comprises more than one energy sensitive devices.
2572. The method of claim 2564, wherein the measurement device further comprises a coherence probe microscope.
2573. The method of claim 2564, wherein the measurement device further comprises an interferometer.
2574. The method of claim 2564, wherein the measurement device further comprises an optical profilometer.
2575. The method of claim 2564, wherein the measurement device further comprises a spectroscopic reflectometer.
2576. The method of claim 2564, wherein the measurement device further comprises a spectroscopic ellipsometer.
2577. The method of claim 2564, wherein the measurement device further comprises a dual beam spectrophotometer.
2578. The method of claim 2564, wherein the measurement device further comprises a beam profile ellipsometer.
2579. The method of claim 2564, wherein the measurement device further comprises a non-imaging scatterometer.
2580. The method of claim 2564, wherein the measurement device further comprises a scatterometer.
2581. The method of claim 2564, wherein the measurement device further comprises a spectroscopic scatterometer.
2582. The method of claim 2564, wherein the measurement device further comprises a reflectometer.
2583. The method of claim 2564, wherein the measurement device further comprises a bright field imaging device.
2584. The method of claim 2564, wherein the measurement device further comprises a dark field imaging device.
2585. The method of claim 2564, wherein the measurement device further comprises a bright field and dark field imaging device.
2586. The method of claim 2564, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2587. The method of claim 2564, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2588. The method of claim 2564, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
2589. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool.
2590. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
2591. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
2592. The method of claim 2564, wherein the stage and the measurement device are coupled to a lithography tool.
2593. The method of claim 2564, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
2594. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
2595. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
2596. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
2597. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2598. The method of claim 2564, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2599. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2600. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2601. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2602. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2603. The method of claim 2564, wherein the stage and the measurement device are disposed within a measurement chamber, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
2604. The method of claim 2603, further comprising performing said directing and said detecting during the process step.
2605. The method of claim 2604, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
2606. The method of claim 2604, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
2607. The method of claim 2564, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
2608. The method of claim 2607, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
2609. The method of claim 2564, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
2610. The method of claim 2564, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
2611. The method of claim 2610, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2612. The method of claim 2564, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
2613. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
2614. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
2615. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen.
2616. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
2617. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
2618. The method of claim 2564, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2619. The method of claim 2618, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
2620. The method of claim 2618, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
2621. The method of claim 2564, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
2622. The method of claim 2564, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
2623. The method of claim 2564, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2624. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
2625. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
2626. The method of claim 2564, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
2627. The method of claim 2626, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
2628. The method of claim 2627, further comprising altering a parameter of at least one of the instruments in response to the relationship.
2629. The method of claim 2564, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
2630. The method of claim 2564, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2631. The method of claim 2630, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
2632. The method of claim 2630, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
2633. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, the method comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
2634. The method of claim 2633, further comprising controlling the stage, wherein the stage is configured to support the specimen.
2635. The method of claim 2633, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
2636. The method of claim 2633, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
2637. The method of claim 2633, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
2638. The method of claim 2633, wherein the illumination system comprises a single energy source.
2639. The method of claim 2633, wherein the illumination system comprises more than one energy source.
2640. The method of claim 2633, wherein the detection system comprises a single energy sensitive device.
2641. The method of claim 2633, wherein the detection system comprises more than one energy sensitive devices.
2642. The method of claim 2633, wherein the measurement device further comprises a coherence probe microscope.
2643. The method of claim 2633, wherein the measurement device further comprises an interferometer.
2644. The method of claim 2633, wherein the measurement device further comprises an optical profilometer.
2645. The method of claim 2633, wherein the measurement device further comprises a spectroscopic reflectometer.
2646. The method of claim 2633, wherein the measurement device further comprises a spectroscopic ellipsometer.
2647. The method of claim 2633, wherein the measurement device further comprises a dual beam spectrophotometer.
2648. The method of claim 2633, wherein the measurement device further comprises a beam profile ellipsometer.
2649. The method of claim 2633, wherein the measurement device further comprises a non-imaging scatterometer.
2650. The method of claim 2633, wherein the measurement device further comprises a scatterometer.
2651. The method of claim 2633, wherein the measurement device further comprises a spectroscopic scatterometer.
2652. The method of claim 2633, wherein the measurement device further comprises a reflectometer.
2653. The method of claim 2633, wherein the measurement device further comprises a bright field imaging device.
2654. The method of claim 2633, wherein the measurement device further comprises a dark field imaging device.
2655. The method of claim 2633, wherein the measurement device further comprises a bright field and dark field imaging device.
2656. The method of claim 2633, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2657. The method of claim 2633, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2658. The method of claim 2633, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
2659. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool.
2660. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
2661. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
2662. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
2663. The method of claim 2633, wherein the system is coupled to a lithography tool, the method further comprising controlling the system to determine the flatness measurement of the specimen prior to an exposure step of the lithography process and controlling the system to determine the overlay misregistration subsequent to the exposure step of the lithography process.
2664. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
2665. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
2666. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
2667. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2668. The method of claim 2633, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2669. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2670. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2671. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2672. The method of claim 2633, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2673. The method of claim 2633, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
2674. The method of claim 2673, further comprising controlling the illumination system and controlling the detection system during the process step to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
2675. The method of claim 2673, further comprising controlling the illumination system and controlling the detection system during the process step to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
2676. The method of claim 2633, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
2677. The method of claim 2676, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
2678. The method of claim 2633, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
2679. The method of claim 2633, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
2680. The method of claim 2679, further comprising generating an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2681. The method of claim 2633, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
2682. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
2683. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
2684. The method of claim 2633, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
2685. The method of claim 2633, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
2686. The method of claim 2633, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises determined first and second properties of a plurality of specimens.
2687. The method of claim 2686, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
2688. The method of claim 2686, wherein the determined first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
2689. The method of claim 2633, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
2690. The method of claim 2633, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
2691. The method of claim 2633, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2692. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
2693. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
2694. The method of claim 2633, further comprising monitoring a parameter of one or more instruments coupled to a process tool.
2695. The method of claim 2694, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
2696. The method of claim 2695, further comprising altering a parameter of at least one of the instruments in response to the relationship.
2697. The method of claim 2633, further comprising altering a parameter of one or more instruments coupled to a plurality of process tools in response to at least one of the determined properties of the specimen.
2698. The method of claim 2633, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2699. The method of claim 2698, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
2700. The method of claim 2698, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
2701. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
2702. The device of claim 2701, wherein the illumination system comprises a single energy source.
2703. The device of claim 2701, wherein the illumination system comprises more than one energy source.
2704. The device of claim 2701, wherein the detection system comprises a single energy sensitive device.
2705. The device of claim 2701, wherein the detection system comprises more than one energy sensitive devices.
2706. The device of claim 2701, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2707. The device of claim 2701, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2708. The device of claim 2701, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2709. The device of claim 2701, wherein the stage and the measurement device are coupled to a process tool.
2710. The device of claim 2701, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
2711. The device of claim 2701, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
2712. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
2713. The method of claim 2712, wherein the illumination system comprises a single energy source.
2714. The method of claim 2712, wherein the illumination system comprises more than one energy source.
2715. The method of claim 2712, wherein the detection system comprises a single energy sensitive device.
2716. The method of claim 2712, wherein the detection system comprises more than one energy sensitive devices.
2717. The method of claim 2712, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2718. The method of claim 2712, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2719. The method of claim 2712, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2720. The method of claim 2712, wherein the stage and the measurement device are coupled to a process tool.
2721. The method of claim 2712, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool comprises a lithography tool.
2722. The method of claim 2712, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
2723. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use, wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use;
a local processor coupled to the measurement device and configured to at least partially process the one or more output signals during use; and
a remote controller computer coupled to the local processor, wherein the remote controller computer is configured to receive the at least partially processed one or more output signals and to determine a first property and a second property of the specimen from the at least partially processed one or more output signals during use, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen.
2724. The system of claim 2723, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2725. The system of claim 2723, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2726. The system of claim 2723, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2727. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool.
2728. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool comprises a lithography tool.
2729. The system of claim 2723, wherein the system is coupled to a lithography tool, wherein the system is configured to determine the flatness measurement of the specimen prior to an exposure step of the lithography process, and wherein the system is configured to determine the overlay misregistration subsequent to the exposure step of the lithography process.
2730. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
2731. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
2732. The system of claim 2723, wherein the remote controller computer is further coupled to a process tool, and wherein the remote controller computer is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
2733. The system of claim 2732, wherein the remote controller computer is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
2734. The system of claim 2733, wherein the remote controller computer is further configured to alter a parameter of one or more instruments in response to the relationship during use.
2735. The system of claim 2723, wherein the illumination system is further configured to direct energy toward the surface of the specimen during a process step, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during the process step, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during the process step.
2736. The system of claim 2735, wherein the remote controller computer is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
2737. The system of claim 2735, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
2738. The system of claim 2723, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
2739. The system of claim 2723, wherein the illumination system is further configured to direct energy toward the surface of the specimen during said moving, wherein the detection system is further configured to detect energy propagating from the surface of the specimen during said moving, and wherein the remote controller computer is further configured to determine the first and second properties of the specimen during said moving.
2740. The system of claim 2723, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
2741. The system of claim 2723, wherein the remote controller computer is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
2742. The system of claim 2741, wherein the remote controller computer is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
2743. The system of claim 2723, wherein the remote controller computer is further configured to alter a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen during use.
2744. The system of claim 2723, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
2745. The system of claim 2723, wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
2746. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, and wherein the database comprises the determined first and second properties of the specimen.
2747. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to calibrate the measurement device using the database during use.
2748. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the remote controller computer is further configured to monitor output signals generated by measurement device using the database during use.
2749. The system of claim 2723, wherein the remote controller computer is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2750. The system of claim 2749, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
2751. The system of claim 2749, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the remote controller computer is further coupled to the plurality of measurement devices, and wherein the remote controller computer is further configured to calibrate the plurality of measurement devices using the database during use.
2752. The system of claim 2723, wherein the remote controller computer is further coupled to a plurality of measurement devices, and wherein the plurality of measurement devices is coupled to at least one of a plurality of process tools.
2753. The system of claim 2723, wherein the remote controller computer is further coupled to a plurality of process tools, and wherein the remote controller computer is further configured to alter a parameter of one or more instruments coupled to the plurality of process tools during use.
2754. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises overlay misregistration of the specimen, and wherein the second property comprises a flatness measurement of the specimen, comprising:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2755. The method of claim 2754, wherein the measurement device is selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2756. The method of claim 2754, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a coherence probe microscope, an interferometer, an optical profilometer, a spectroscopic reflectometer, a spectroscopic ellipsometer, a dual beam spectrophotometer, a beam profile ellipsometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2757. The method of claim 2754, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2758. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool.
2759. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, and wherein the process tool is comprises a lithography tool.
2760. The method of claim 2754, wherein the stage and the measurement device are coupled to a lithography tool, the method further comprising determining the flatness measurement of the specimen prior to an exposure step of the lithography process and determining the overlay misregistration subsequent to the exposure step of the lithography process.
2761. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedback control technique.
2762. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, the method further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen using a feedforward control technique.
2763. The method of claim 2754, wherein the remote controller computer is further coupled to a process tool, the method further comprising monitoring a parameter of one or more instruments coupled to the process tool using the remote controller computer.
2764. The method of claim 2763, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters using the remote controller computer.
2765. The method of claim 2764, further comprising altering a parameter of one or more instruments coupled to the process tool in response to the relationship using the remote controller computer.
2766. The method of claim 2754, wherein the illumination system and the detection system are coupled to a process chamber of a process tool, the method further comprising performing said directing and said detecting during a process step.
2767. The method of claim 2766, further comprising obtaining a signature characterizing the process step using the remote controller computer, wherein the signature comprises at least one singularity representative of an end of the process step.
2768. The method of claim 2766, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties using an in situ control technique.
2769. The method of claim 2754, further comprising:
moving the specimen from a first process chamber to a second process chamber using the stage; and
performing said directing and said detecting during said moving the specimen.
2770. The method of claim 2754, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens using the remote controller computer.
2771. The method of claim 2754, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property using the remote controller computer.
2772. The method of claim 2771, further comprising generating an output signal using the remote controller computer if at least one of the determined properties of the specimen is outside of the predetermined range for the property.
2773. The method of claim 2754, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
2774. The method of claim 2754, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedback control technique.
2775. The method of claim 2754, further comprising altering a parameter of one or more instruments coupled to the measurement device using the remote controller computer in response to at least one of the determined properties using a feedforward control technique.
2776. The method of claim 2754, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the remote controller computer and the database.
2777. The method of claim 2754, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring the measurement device using the remote controller computer and the database.
2778. The method of claim 2754, further comprising generating a database using the remote controller computer, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2779. The method of claim 2778, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the remote controller computer and the database.
2780. The method of claim 2778, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the remote controller computer and the database.
2781. The method of claim 2754, further comprising sending the at least partially processed one or more output signals from a plurality of local processors to the remote controller computer, wherein each of the plurality of local processors is coupled to one of a plurality of measurement devices.
2782. The method of claim 2781, wherein at least one of the plurality of measurement devices is coupled to a process tool.
2783. The method of claim 2782, further comprising altering a parameter of one or more instruments coupled to the process tool using the remote controller computer in response to at least one of the determined properties of the specimen.
2784. A system configured to determine at least two properties of a specimen during use, comprising:
a stage configured to support the specimen during use;
a measurement device coupled to the stage, comprising:
an illumination system configured to direct energy toward a surface of the specimen during use; and
a detection system coupled to the illumination system and configured to detect energy propagating from the surface of the specimen during use,
wherein the measurement device is configured to generate one or more output signals responsive to the detected energy during use; and
a processor coupled to the measurement device and configured to determine a first property and a second property of the specimen from the one or more output signals during use, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
2785. The system of claim 2784, wherein the stage is further configured to move laterally during use.
2786. The system of claim 2784, wherein the stage is further configured to move rotatably during use.
2787. The system of claim 2784, wherein the stage is further configured to move laterally and rotatably during use.
2788. The system of claim 2784, wherein the illumination system comprises a single energy source.
2789. The system of claim 2784, wherein the illumination system comprises more than one energy source.
2790. The system of claim 2784, wherein the detection system comprises a single energy sensitive device.
2791. The system of claim 2784, wherein the detection system comprises more than one energy sensitive devices.
2792. The system of claim 2784, wherein the measurement device further comprises a modulated optical reflectometer.
2793. The system of claim 2784, wherein the measurement device further comprises an X-ray reflectance device.
2794. The system of claim 2784, wherein the measurement device further comprises an eddy current device.
2795. The system of claim 2784, wherein the measurement device further comprises a photo-acoustic device.
2796. The system of claim 2784, wherein the measurement device further comprises a spectroscopic ellipsometer.
2797. The system of claim 2784, wherein the measurement device further comprises a spectroscopic reflectometer.
2798. The system of claim 2784, wherein the measurement device further comprises a dual beam spectrophotometer.
2799. The system of claim 2784, wherein the measurement device further comprises a non-imaging scatterometer.
2800. The system of claim 2784, wherein the measurement device further comprises a scatterometer.
2801. The system of claim 2784, wherein the measurement device further comprises a spectroscopic scatterometer.
2802. The system of claim 2784, wherein the measurement device further comprises a reflectometer.
2803. The system of claim 2784, wherein the measurement device further comprises an ellipsometer.
2804. The system of claim 2784, wherein the measurement device further comprises a non-imaging bright field device.
2805. The system of claim 2784, wherein the measurement device further comprises a non-imaging dark field device.
2806. The system of claim 2784, wherein the measurement device further comprises a non-imaging bright field and dark field device.
2807. The system of claim 2784, wherein the measurement device further comprises a bright field imaging device.
2808. The system of claim 2784, wherein the measurement device further comprises a dark field imaging device.
2809. The system of claim 2784, wherein the measurement device further comprises a bright field and dark field imaging device.
2810. The system of claim 2784, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2811. The system of claim 2784, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2812. The system of claim 2784, wherein the measurement device further comprises non-optical components, and wherein the detected energy is responsive to a non-optical characteristic of the specimen.
2813. The system of claim 2784, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
2814. The system of claim 2784, wherein the defects comprise micro defects and macro defects.
2815. The system of claim 2784, wherein the defects comprises micro defects or macro defects.
2816. The system of claim 2784, wherein the illumination system is further configured to direct energy toward a bottom surface of the specimen during use, wherein the detection system is further configured to detect energy propagating from the bottom surface of the specimen during use, and wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2817. The system of claim 2816, wherein the defects comprise macro defects.
2818. The system of claim 2784, wherein the system is further configured to determine at least the two properties of the specimen substantially simultaneously during use.
2819. The system of claim 2784, wherein the illumination system is further configured to direct energy to multiple locations on the surface of the specimen substantially simultaneously, and wherein the detection system is further configured to detect energy propagating from the multiple locations on the surface of the specimen substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
2820. The system of claim 2784, wherein the system is coupled to a process tool.
2821. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the system is disposed within the process tool.
2822. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the system is arranged laterally proximate to the process tool.
2823. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the process tool comprises a wafer handler configured to move the specimen to the stage during use.
2824. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the stage is configured to move the specimen from the system to the process tool during use.
2825. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the stage is further configured to move the specimen to a process chamber of the process tool during use.
2826. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the system is further configured to determine at least the two properties of the specimen while the specimen is waiting between process steps.
2827. The system of claim 2784, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2828. The system of claim 2784, wherein the system is coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2829. The system of claim 2784, wherein the system is coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
2830. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2831. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2832. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2833. The system of claim 2784, wherein the system further comprises a measurement chamber, wherein the stage and the measurement device are disposed within the measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2834. The system of claim 2784, wherein a process tool comprises a process chamber, wherein the stage is disposed within the process chamber, and wherein the stage is further configured to support the specimen during a process step.
2835. The system of claim 2834, wherein the processor is further configured to determine at least the two properties of the specimen during the process step.
2836. The system of claim 2835, wherein the processor is further configured to obtain a signature characterizing the process step during use, and wherein the signature comprises at least one singularity representative of an end of the process step.
2837. The system of claim 2835, wherein the processor is further coupled to the process tool and is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique during use.
2838. The system of claim 2784, wherein a process tool comprises a first process chamber and a second process chamber, and wherein the stage is further configured to move the specimen from the first process chamber to the second process chamber during use.
2839. The system of claim 2838, wherein the system is further configured to determine at least the two properties of the specimen as the stage is moving the specimen from the first process chamber to the second process chamber.
2840. The system of claim 2784, wherein the processor is further configured to compare at least one of the determined properties of the specimen and properties of a plurality of specimens during use.
2841. The system of claim 2784, wherein the processor is further configured to compare at least one of the determined properties of the specimen to a predetermined range for the property during use.
2842. The system of claim 2841, wherein the processor is further configured to generate an output signal if at least one of the determined properties of the specimen is outside of the predetermined range for the property during use.
2843. The system of claim 2784, wherein the processor is further configured to alter a sampling frequency of the measurement device in response to t at least one of the determined properties of the specimen during use.
2844. The system of claim 2784, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique during use.
2845. The system of claim 2784, wherein the processor is further configured to alter a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique during use.
2846. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen.
2847. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to calibrate the measurement device using the database during use.
2848. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the processor is further configured to monitor output signals generated by measurement device using the database during use.
2849. The system of claim 2784, wherein the processor is further configured to generate a database during use, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2850. The system of claim 2849, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to calibrate the plurality of measurement devices using the database during use.
2851. The system of claim 2849, wherein the first and second properties of the plurality of specimens are determined using a plurality of measurement devices, wherein the processor is further coupled to the plurality of measurement devices, and wherein the processor is further configured to monitor output signals generated by the plurality of measurement devices using the database during use.
2852. The system of claim 2784, further comprising a stand alone system coupled to the system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system during use.
2853. The system of claim 2784, further comprising a stand alone system coupled the system and at least one additional system, wherein the stand alone system is configured to be calibrated with a calibration standard during use, and wherein the stand alone system is further configured to calibrate the system and at least the one additional system during use.
2854. The system of claim 2784, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, and wherein the processor is configured to alter at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2855. The system of claim 2784, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedback control technique during use.
2856. The system of claim 2784, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using a feedforward control technique during use.
2857. The system of claim 2784, wherein the processor is further coupled to a process tool, and wherein the processor is further configured to monitor a parameter of one or more instruments coupled to the process tool during use.
2858. The system of claim 2857, wherein the processor is further configured to determine a relationship between at least one of the determined properties and at least one of the monitored parameters during use.
2859. The system of claim 2858, wherein the processor is further configured to alter a parameter of one or more instruments in response to the relationship during use.
2860. The system of claim 2784, wherein the processor is further coupled to a plurality of measurement devices, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of measurement devices during use.
2861. The system of claim 2784, wherein the processor is further coupled to a plurality of measurement devices, and wherein at least one of the plurality of measurement devices is coupled to at least one of a plurality of process tools.
2862. The system of claim 2861, wherein the processor is further coupled to at least one of the plurality of process tools, and wherein the processor is further configured to alter a parameter of one or more instruments coupled to at least one of the plurality of process tools during use.
2863. The system of claim 2784, wherein the processor comprises a local processor coupled to the measurement device and a remote controller computer coupled to the local processor, wherein the local processor is configured to at least partially process the one or more output signals during use, and wherein the remote controller computer is configured to further process the at least partially processed one or more output signals during use.
2864. The system of claim 2863, wherein the local processor is further configured to determine the first property and the second property of the specimen during use.
2865. The system of claim 2863, wherein the remote controller computer is further configured to determine the first property and the second property of the specimen during use.
2866. A method for determining at least two properties of a specimen, comprising:
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
2867. The method of claim 2866, further comprising laterally moving the stage during said directing energy and said detecting energy.
2868. The method of claim 2866, further comprising rotatably moving the stage during said directing energy and said detecting energy.
2869. The method of claim 2866, further comprising laterally and rotatably moving the stage during said directing energy and said detecting energy.
2870. The method of claim 2866, wherein the illumination system comprises a single energy source.
2871. The method of claim 2866, wherein the illumination system comprises more than one energy source.
2872. The method of claim 2866, wherein the detection system comprises a single energy sensitive device.
2873. The method of claim 2866, wherein the detection system comprises more than one energy sensitive devices.
2874. The method of claim 2866, wherein the measurement device further comprises a modulated optical reflectometer.
2875. The method of claim 2866, wherein the measurement device further comprises an X-ray reflectance device.
2876. The method of claim 2866, wherein the measurement device further comprises an eddy current device.
2877. The method of claim 2866, wherein the measurement device further comprises a photo-acoustic device.
2878. The method of claim 2866, wherein the measurement device further comprises a spectroscopic ellipsometer.
2879. The method of claim 2866, wherein the measurement device further comprises a spectroscopic reflectometer.
2880. The method of claim 2866, wherein the measurement device further comprises a dual beam spectrophotometer.
2881. The method of claim 2866, wherein the measurement device further comprises a non-imaging scatterometer.
2882. The method of claim 2866, wherein the measurement device further comprises a scatterometer.
2883. The method of claim 2866, wherein the measurement device further comprises a spectroscopic scatterometer.
2884. The method of claim 2866, wherein the measurement device further comprises a reflectometer.
2885. The method of claim 2866, wherein the measurement device further comprises an ellipsometer.
2886. The method of claim 2866, wherein the measurement device further comprises a non-imaging bright field device.
2887. The method of claim 2866, wherein the measurement device further comprises a non-imaging dark field device.
2888. The method of claim 2866, wherein the measurement device further comprises a non-imaging bright field and dark field device.
2889. The method of claim 2866, wherein the measurement device further comprises a bright field imaging device.
2890. The method of claim 2866, wherein the measurement device further comprises a dark field imaging device.
2891. The method of claim 2866, wherein the measurement device further comprises a bright field and dark field imaging device.
2892. The method of claim 2866, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2893. The method of claim 2866, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2894. The method of claim 2866, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the specimen.
2895. The method of claim 2866, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of ions in the implanted region, and a distribution profile of the implanted region.
2896. The method of claim 2866, wherein the defects comprise micro defects and macro defects.
2897. The method of claim 2866, wherein the defects comprises micro defects or macro defects.
2898. The method of claim 2866, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2899. The method of claim 2898, wherein the defects comprise macro defects.
2900. The method of claim 2866, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
2901. The method of claim 2866, further comprising directing energy toward multiple locations on the surface of the specimen substantially simultaneously and detecting energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
2902. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool.
2903. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
2904. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
2905. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
2906. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a wafer handler, and wherein disposing the specimen upon the stage comprises moving the specimen from the process tool to the stage using the wafer handler.
2907. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, the method further comprising moving the specimen to the process tool subsequent to said directing and said detecting using the stage.
2908. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, the method further comprising determining at least the two properties of the specimen while the specimen is waiting between process steps.
2909. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2910. The method of claim 2866, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2911. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2912. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2913. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2914. The method of claim 2866, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2915. The method of claim 2866, wherein disposing the specimen upon the stage comprises disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
2916. The method of claim 2915, further comprising performing said directing and said detecting during the process step.
2917. The method of claim 2916, further comprising obtaining a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
2918. The method of claim 2916, further comprising altering a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
2919. The method of claim 2866, further comprising moving the specimen from a first process chamber to a second process chamber using the stage, wherein the first process chamber and the second process chamber are disposed within a process tool.
2920. The method of claim 2919, further comprising performing said directing and said detecting during said moving the specimen from the first process chamber to the second process chamber.
2921. The method of claim 2866, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
2922. The method of claim 2866, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
2923. The method of claim 2922, further comprising generating an output signal if at least one of the determined properties of the specimen are outside of the predetermined range for the property.
2924. The method of claim 2866, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
2925. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
2926. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
2927. The method of claim 2866, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
2928. The method of claim 2866, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
2929. The method of claim 2866, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
2930. The method of claim 2929, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
2931. The method of claim 2929, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
2932. The method of claim 2866, wherein a stand alone system is coupled to the measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device with the stand alone system.
2933. The method of claim 2866, wherein a stand alone system is coupled to the measurement device and at least one additional measurement device, the method further comprising calibrating the stand alone system with a calibration standard and calibrating the measurement device an at least the one additional measurement device with the stand alone system.
2934. The method of claim 2866, further comprising determining at least the two properties of the specimen at more than one position on the specimen, wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
2935. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
2936. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
2937. The method of claim 2866, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
2938. The method of claim 2937, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
2939. The method of claim 2938, further comprising altering the parameter of the instrument in response to the relationship.
2940. The method of claim 2866, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties.
2941. The method of claim 2866, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
2942. The method of claim 2941, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
2943. The method of claim 2941, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
2944. A computer-implemented method for controlling a system configured to determine at least two properties of a specimen during use, wherein the system comprises a measurement device, comprising:
controlling the measurement device, wherein the measurement device comprises an illumination system and a detection system, and wherein the measurement device is coupled to a stage, comprising:
controlling the illumination system to direct energy toward a surface of the specimen;
controlling the detection system to detect energy propagating from the surface of the specimen; and
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
2945. The method of claim 2944, further comprising controlling the stage, wherein the stage is configured to support the specimen.
2946. The method of claim 2944, further comprising controlling the stage to laterally move the stage during said directing energy and said detecting energy.
2947. The method of claim 2944, further comprising controlling the stage to rotatably move the stage during said directing energy and said detecting energy.
2948. The method of claim 2944, further comprising controlling the stage to laterally and rotatably move the stage during said directing energy and said detecting energy.
2949. The method of claim 2944, wherein the illumination system comprises a single energy source.
2950. The method of claim 2944, wherein the illumination system comprises more than one energy source.
2951. The method of claim 2944, wherein the detection system comprises a single energy sensitive device.
2952. The method of claim 2944, wherein the detection system comprises more than one energy sensitive devices.
2953. The method of claim 2944, wherein the measurement device further comprises a modulated optical reflectometer.
2954. The method of claim 2944, wherein the measurement device further comprises an X-ray reflectance device.
2955. The method of claim 2944, wherein the measurement device further comprises an eddy current device.
2956. The method of claim 2944, wherein the measurement device further comprises a photo-acoustic device.
2957. The method of claim 2944, wherein the measurement device further comprises a spectroscopic ellipsometer.
2958. The method of claim 2944, wherein the measurement device further comprises a spectroscopic reflectometer.
2959. The method of claim 2944, wherein the measurement device further comprises a dual beam spectrophotometer.
2960. The method of claim 2944, wherein the measurement device further comprises a non-imaging scatterometer.
2961. The method of claim 2944, wherein the measurement device further comprises a scatterometer.
2962. The method of claim 2944, wherein the measurement device further comprises a spectroscopic scatterometer.
2963. The method of claim 2944, wherein the measurement device further comprises a reflectometer.
2964. The method of claim 2944, wherein the measurement device further comprises an ellipsometer.
2965. The method of claim 2944, wherein the measurement device further comprises a non-imaging bright field device.
2966. The method of claim 2944, wherein the measurement device further comprises a non-imaging dark field device.
2967. The method of claim 2944, wherein the measurement device further comprises a non-imaging bright field and dark field device.
2968. The method of claim 2944, wherein the measurement device further comprises a bright field imaging device.
2969. The method of claim 2944, wherein the measurement device further comprises a dark field imaging device.
2970. The method of claim 2944, wherein the measurement device further comprises a bright field and dark field imaging device.
2971. The method of claim 2944, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
2972. The method of claim 2944, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
2973. The method of claim 2944, wherein the measurement device further comprises non-optical components, and wherein controlling the detection system to detect energy comprises controlling the non-optical components to measure a non-optical characteristic of the specimen.
2974. The method of claim 2944, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
2975. The method of claim 2944, wherein the defects comprise micro defects and macro defects.
2976. The method of claim 2944, wherein the defects comprises micro defects or macro defects.
2977. The method of claim 2944, further comprising:
controlling the illumination system to direct energy toward a bottom surface of the specimen; and
controlling the detection system to detect energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
2978. The method of claim 2977, wherein the defects comprise macro defects.
2979. The method of claim 2944, wherein processing the one or more output signals to determine the first and second properties of the specimen comprises substantially simultaneously determining the first and second properties of the specimen.
2980. The method of claim 2944, further comprising controlling the illumination system to direct energy toward multiple locations on the surface of the specimen substantially simultaneously and controlling the detection system to detect energy propagating from the multiple locations substantially simultaneously such that one or more of the at least two properties of the specimen can be determined at the multiple locations substantially simultaneously.
2981. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool.
2982. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are arranged laterally proximate to the process tool.
2983. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, and wherein the stage and the measurement device are disposed within the process tool.
2984. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
2985. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage, and wherein the wafer handler is coupled to the process tool.
2986. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling the stage to move the specimen from the system to the process tool.
2987. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, the method further comprising controlling a wafer handler to move the specimen from the process tool to the stage such that at least the two properties of the specimen can be determined while the specimen is waiting between process steps.
2988. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the support device is substantially parallel to an upper surface of the stage.
2989. The method of claim 2944, wherein the stage and the measurement device are coupled to a process tool, wherein the process tool comprises a support device configured to support the specimen during a process step, and wherein an upper surface of the stage is angled with respect to an upper surface of the support device.
2990. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is coupled to a process tool.
2991. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is disposed within a process tool.
2992. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged laterally proximate to a process chamber of a process tool.
2993. The method of claim 2944, wherein the stage and the measurement device are disposed within a measurement chamber, and wherein the measurement chamber is arranged vertically proximate to a process chamber of a process tool.
2994. The method of claim 2944, further comprising disposing the specimen upon a support device disposed within a process chamber of a process tool, and wherein the support device is configured to support the specimen during a process step.
2995. The method of claim 2994, further comprising controlling the illumination system and controlling the detection system during the process step.
2996. The method of claim 2994, further comprising controlling the system to obtain a signature characterizing the process step, wherein the signature comprises at least one singularity representative of an end of the process step.
2997. The method of claim 2994, further comprising controlling the system to alter a parameter of one or more instruments coupled to the process tool in response to at least one of the determined properties using an in situ control technique.
2998. The method of claim 2944, further comprising controlling the stage to move the specimen from a first process chamber to a second process chamber, wherein the first process chamber and the second process chamber are disposed within a process tool.
2999. The method of claim 2998, further comprising controlling the illumination system and controlling the detection system during said moving the specimen from the first process chamber to the second process chamber.
3000. The method of claim 2944, further comprising comparing at least one of the determined properties of the specimen and determined properties of a plurality of specimens.
3001. The method of claim 2944, further comprising comparing at least one of the determined properties of the specimen to a predetermined range for the property.
3002. The method of claim 3001, further comprising generating an output signal if at least one of the determined properties of the specimen are outside of the predetermined range for the property.
3003. The method of claim 2944, further comprising altering a sampling frequency of the measurement device in response to at least one of the determined properties of the specimen.
3004. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedback control technique.
3005. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to the measurement device in response to at least one of the determined properties using a feedforward control technique.
3006. The method of claim 2944, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising calibrating the measurement device using the database.
3007. The method of claim 2944, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, the method further comprising monitoring output signals of the measurement device using the database.
3008. The method of claim 2944, further comprising generating a database, wherein the database comprises the determined first and second properties of the specimen, and wherein the database further comprises first and second properties of a plurality of specimens.
3009. The method of claim 3008, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising calibrating the plurality of measurement devices using the database.
3010. The method of claim 3008, wherein the first and second properties of the plurality of specimens are generated using a plurality of measurement devices, the method further comprising monitoring output signals of the plurality of measurement devices using the database.
3011. The method of claim 2944, wherein a stand alone system is coupled to the system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system.
3012. The method of claim 2944, wherein a stand alone system is coupled to the system and at least one additional system, the method further comprising controlling the stand alone system to calibrate the stand alone system with a calibration standard and further controlling the stand alone system to calibrate the system and at least the one additional system.
3013. The method of claim 2944, wherein the system is further configured to determine at least the two properties of the specimen at more than one position on the specimen, and wherein the specimen comprises a wafer, the method further comprising altering at least one parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties of the specimen at the more than one position on the specimen to reduce within wafer variation of at least one of the determined properties.
3014. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedback control technique.
3015. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to a process tool in response to at least one of the determined properties using a feedforward control technique.
3016. The method of claim 2944, further comprising monitoring a parameter of one or more instruments coupled to the process tool.
3017. The method of claim 3016, further comprising determining a relationship between at least one of the determined properties and at least one of the monitored parameters.
3018. The method of claim 3017, further comprising altering a parameter of at least one of the instruments in response to the relationship.
3019. The method of claim 2944, further comprising altering a parameter of one or more instruments coupled to each of a plurality of process tools in response to at least one of the determined properties of the specimen.
3020. The method of claim 2944, wherein processing the one or more output signals comprises:
at least partially processing the one or more output signals using a local processor, wherein the local processor is coupled to the measurement device;
sending the partially processed one or more output signals from the local processor to a remote controller computer; and
further processing the partially processed one or more output signals using the remote controller computer.
3021. The method of claim 3020, wherein at least partially processing the one or more output signals comprises determining the first and second properties of the specimen.
3022. The method of claim 3020, wherein further processing the partially processed one or more output signals comprises determining the first and second properties of the specimen.
3023. A semiconductor device fabricated by a method, the method comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
3024. The device of claim 3023, wherein the illumination system comprises a single energy source.
3025. The device of claim 3023, wherein the illumination system comprises more than one energy source.
3026. The device of claim 3023, wherein the detection system comprises a single energy sensitive device.
3027. The device of claim 3023, wherein the detection system comprises more than one energy sensitive devices.
3028. The device of claim 3023, wherein the measurement device further comprises a modulated optical reflectometer.
3029. The device of claim 3023, wherein the measurement device further comprises an X-ray reflectance device.
3030. The device of claim 3023, wherein the measurement device further comprises an eddy current device.
3031. The device of claim 3023, wherein the measurement device further comprises a photo-acoustic device.
3032. The device of claim 3023, wherein the measurement device further comprises a spectroscopic ellipsometer.
3033. The device of claim 3023, wherein the measurement device further comprises a spectroscopic reflectometer.
3034. The device of claim 3023, wherein the measurement device further comprises a dual beam spectrophotometer.
3035. The device of claim 3023, wherein the measurement device further comprises a non-imaging scatterometer.
3036. The device of claim 3023, wherein the measurement device further comprises a scatterometer.
3037. The device of claim 3023, wherein the measurement device further comprises a spectroscopic scatterometer.
3038. The device of claim 3023, wherein the measurement device further comprises a reflectometer.
3039. The device of claim 3023, wherein the measurement device further comprises an ellipsometer.
3040. The device of claim 3023, wherein the measurement device further comprises a non-imaging bright field device.
3041. The device of claim 3023, wherein the measurement device further comprises a non-imaging dark field device.
3042. The device of claim 3023, wherein the measurement device further comprises a non-imaging bright field and dark field device.
3043. The device of claim 3023, wherein the measurement device further comprises a bright field imaging device.
3044. The device of claim 3023, wherein the measurement device further comprises a dark field imaging device.
3045. The device of claim 3023, wherein the measurement device further comprises a bright field and dark field imaging device.
3046. The device of claim 3023, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
3047. The device of claim 3023, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
3048. The device of claim 3023, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the specimen.
3049. The device of claim 3023, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
3050. The device of claim 3023, wherein the defects comprise micro defects and macro defects.
3051. The device of claim 3023, wherein the defects comprises micro defects or macro defects.
3052. The device of claim 3023, further comprising:
directing energy toward a bottom surface of the specimen; and
detecting energy propagating from the bottom surface of the specimen, wherein the second property further comprises a presence of defects on the bottom surface of the specimen.
3053. The device of claim 3052, wherein the defects comprise macro defects.
3054. The device of claim 3023, wherein the stage and the measurement device are coupled to a process tool.
3055. The device of claim 3023, wherein the stage and the measurement device are coupled to a process tool, and wherein the process tool is selected from the group consisting of an ion implanter and a thermal tool.
3056. A method for fabricating a semiconductor device, comprising:
forming a portion of the semiconductor device upon a specimen;
disposing the specimen upon a stage, wherein the stage is coupled to a measurement device, and wherein the measurement device comprises an illumination system and a detection system;
directing energy toward a surface of the specimen using the illumination system;
detecting energy propagating from the surface of the specimen using the detection system;
generating one or more output signals responsive to the detected energy; and
processing the one or more output signals to determine a first property and a second property of the specimen, wherein the first property comprises a characteristic of an implanted region of the specimen, and wherein the second property comprises a presence of defects on the specimen.
3057. The method of claim 3056, wherein the illumination system comprises a single energy source.
3058. The method of claim 3056, wherein the illumination system comprises more than one energy source.
3059. The method of claim 3056, wherein the detection system comprises a single energy sensitive device.
3060. The method of claim 3056, wherein the detection system comprises more than one energy sensitive devices.
3061. The method of claim 3056, wherein the measurement device is selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
3062. The method of claim 3056, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein the first and second measurement devices are selected from the group consisting of a modulated optical reflectometer, an X-ray reflectance device, an eddy current device, a photo-acoustic device, a spectroscopic ellipsometer, a spectroscopic reflectometer, dual beam spectrophotometer, a non-imaging scatterometer, a scatterometer, a spectroscopic scatterometer, a reflectometer, an ellipsometer, a non-imaging bright field device, a non-imaging dark field device, a non-imaging bright field and dark field device, a bright field imaging device, a dark field imaging device, and a bright field and dark field imaging device.
3063. The method of claim 3056, wherein the measurement device further comprises at least a first measurement device and a second measurement device, and wherein optical elements of the first measurement device comprise optical elements of the second measurement device.
3064. The method of claim 3056, wherein the measurement device further comprises non-optical components, and wherein detecting energy comprises measuring a nonoptical characteristic of the specimen.
3065. The method of claim 3056, wherein the characteristic of the implanted region is selected from the group consisting of a presence of ions in the implanted region, a concentration of ions in the implanted region, a depth of the implanted region, and a distribution profile of the implanted region.
3066. The method of claim 3056, wherein the defects comprise micro defects and macro defects.
3067. The method of claim 3056, wherein the defects comprises micro defects or macro defects.
3068. The method of claim 3056, further comprising:
directing energy toward a bottom surface of the specimen; and
US10/670,183 2000-09-20 2003-09-24 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen Abandoned US20040073398A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/670,183 US20040073398A1 (en) 2000-09-20 2003-09-24 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US23432300P 2000-09-20 2000-09-20
US09/956,852 US6633831B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US10/670,183 US20040073398A1 (en) 2000-09-20 2003-09-24 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/956,852 Continuation US6633831B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen

Publications (1)

Publication Number Publication Date
US20040073398A1 true US20040073398A1 (en) 2004-04-15

Family

ID=22880893

Family Applications (14)

Application Number Title Priority Date Filing Date
US09/956,834 Expired - Fee Related US7139083B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a composition and a thickness of a specimen
US09/956,841 Expired - Lifetime US6950196B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US09/956,843 Abandoned US20020190207A1 (en) 2000-09-20 2001-09-20 Methods and systems for determining a characteristic of micro defects on a specimen
US09/956,835 Expired - Lifetime US7006235B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining overlay and flatness of a specimen
US09/956,852 Expired - Lifetime US6633831B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US09/956,846 Expired - Lifetime US6806951B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US09/956,840 Expired - Lifetime US6946394B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a characteristic of a layer formed on a specimen by a deposition process
US09/956,844 Expired - Fee Related US7196782B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US09/956,837 Expired - Lifetime US6917419B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US09/956,836 Expired - Fee Related US6891610B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US09/957,450 Expired - Fee Related US6829559B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a presence of macro and micro defects on a specimen
US09/956,847 Expired - Fee Related US6917433B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a property of a specimen prior to, during, or subsequent to an etch process
US10/670,183 Abandoned US20040073398A1 (en) 2000-09-20 2003-09-24 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US10/974,050 Expired - Fee Related US7460981B2 (en) 2000-09-20 2004-10-26 Methods and systems for determining a presence of macro and micro defects on a specimen

Family Applications Before (12)

Application Number Title Priority Date Filing Date
US09/956,834 Expired - Fee Related US7139083B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a composition and a thickness of a specimen
US09/956,841 Expired - Lifetime US6950196B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US09/956,843 Abandoned US20020190207A1 (en) 2000-09-20 2001-09-20 Methods and systems for determining a characteristic of micro defects on a specimen
US09/956,835 Expired - Lifetime US7006235B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining overlay and flatness of a specimen
US09/956,852 Expired - Lifetime US6633831B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US09/956,846 Expired - Lifetime US6806951B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US09/956,840 Expired - Lifetime US6946394B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a characteristic of a layer formed on a specimen by a deposition process
US09/956,844 Expired - Fee Related US7196782B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US09/956,837 Expired - Lifetime US6917419B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US09/956,836 Expired - Fee Related US6891610B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US09/957,450 Expired - Fee Related US6829559B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a presence of macro and micro defects on a specimen
US09/956,847 Expired - Fee Related US6917433B2 (en) 2000-09-20 2001-09-20 Methods and systems for determining a property of a specimen prior to, during, or subsequent to an etch process

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/974,050 Expired - Fee Related US7460981B2 (en) 2000-09-20 2004-10-26 Methods and systems for determining a presence of macro and micro defects on a specimen

Country Status (5)

Country Link
US (14) US7139083B2 (en)
EP (1) EP1319244A1 (en)
JP (3) JP5789353B2 (en)
AU (1) AU2001295060A1 (en)
WO (1) WO2002025708A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US7083327B1 (en) * 1999-04-06 2006-08-01 Thermal Wave Imaging, Inc. Method and apparatus for detecting kissing unbond defects
US20070082582A1 (en) * 2005-10-06 2007-04-12 Industrial Technology Research Institute Apparatus for endpoint detection during polishing
US20090053021A1 (en) * 2005-03-29 2009-02-26 Norichika Yamagishi Semiconductor manufacturing apparatus
US7751046B2 (en) 2000-09-20 2010-07-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US20110073982A1 (en) * 2007-05-25 2011-03-31 Armstrong J Joseph Inspection system using back side illuminated linear sensor
US20110232734A1 (en) * 2009-05-06 2011-09-29 UltraSolar Technology, Inc. Pyroelectric solar technology apparatus and method
US20130017762A1 (en) * 2011-07-15 2013-01-17 Infineon Technologies Ag Method and Apparatus for Determining a Measure of a Thickness of a Polishing Pad of a Polishing Machine
US20130171744A1 (en) * 2011-12-29 2013-07-04 Samsung Electronics Co., Ltd. Methods of thermally treating a semiconductor wafer
KR101336946B1 (en) 2012-11-27 2013-12-04 한국기초과학지원연구원 Failure analysis appratus and method using measurement of heat generation distribution
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US8982362B2 (en) 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
WO2017042064A1 (en) * 2015-09-07 2017-03-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Assembly for determining the achievable adhesive strength before forming an integral connection to a surface of a join partner
US9857291B2 (en) 2013-05-16 2018-01-02 Kla-Tencor Corporation Metrology system calibration refinement
US10732129B2 (en) 2018-06-08 2020-08-04 Samasung Electronics Co., Ltd. Apparatus for and method of performing inspection and metrology process
US10883924B2 (en) 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof

Families Citing this family (621)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9618897D0 (en) 1996-09-10 1996-10-23 Bio Rad Micromeasurements Ltd Micro defects in silicon wafers
US7688435B2 (en) * 1997-09-22 2010-03-30 Kla-Tencor Corporation Detecting and classifying surface features or defects by controlling the angle of the illumination plane of incidence with respect to the feature or defect
US7630086B2 (en) * 1997-09-22 2009-12-08 Kla-Tencor Corporation Surface finish roughness measurement
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring patterned structures
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
CN100392835C (en) * 1999-09-28 2008-06-04 松下电器产业株式会社 Electronic component and method for manufacturing the same
FR2810108B1 (en) * 2000-06-09 2004-04-02 France Telecom LOW NOISE SPECTROSCOPIC ELLIPSOMETER
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US7130029B2 (en) * 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6365422B1 (en) * 2001-01-22 2002-04-02 Advanced Micro Devices, Inc. Automated variation of stepper exposure dose based upon across wafer variations in device characteristics, and system for accomplishing same
KR100403862B1 (en) * 2001-01-26 2003-11-01 어플라이드비전텍(주) Apparatus for inspecting semiconductor wafer and the methods thereof
US6597446B2 (en) * 2001-03-22 2003-07-22 Sentec Corporation Holographic scatterometer for detection and analysis of wafer surface deposits
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6999164B2 (en) * 2001-04-26 2006-02-14 Tokyo Electron Limited Measurement system cluster
US7089075B2 (en) * 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
DE10124609B4 (en) * 2001-05-17 2012-12-27 Aixtron Se Method for depositing active layers on substrates
US6781120B2 (en) * 2001-06-08 2004-08-24 University Of Maine Fabrication of chopper for particle beam instrument
US7006221B2 (en) * 2001-07-13 2006-02-28 Rudolph Technologies, Inc. Metrology system with spectroscopic ellipsometer and photoacoustic measurements
US6847464B1 (en) * 2001-08-02 2005-01-25 Zygo Corporation Measurement of photolithographic features
US6900888B2 (en) * 2001-09-13 2005-05-31 Hitachi High-Technologies Corporation Method and apparatus for inspecting a pattern formed on a substrate
US6940592B2 (en) * 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
WO2003038858A2 (en) * 2001-11-02 2003-05-08 Ebara Corporation A semiconductor manufacturing apparatus having a built-in inspection apparatus and method therefor
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US7035877B2 (en) * 2001-12-28 2006-04-25 Kimberly-Clark Worldwide, Inc. Quality management and intelligent manufacturing with labels and smart tags in event-based product manufacturing
US8799113B2 (en) * 2001-12-28 2014-08-05 Binforma Group Limited Liability Company Quality management by validating a bill of materials in event-based product manufacturing
US7032816B2 (en) 2001-12-28 2006-04-25 Kimberly-Clark Worldwide, Inc. Communication between machines and feed-forward control in event-based product manufacturing
US20040032581A1 (en) * 2002-01-15 2004-02-19 Mehrdad Nikoonahad Systems and methods for inspection of specimen surfaces
US7236847B2 (en) * 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6847443B1 (en) * 2002-01-17 2005-01-25 Rudolph Technologies, Inc. System and method for multi-wavelength, narrow-bandwidth detection of surface defects
US7175503B2 (en) 2002-02-04 2007-02-13 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of polishing within a zone on a specimen from combined output signals of an eddy current device
US6721939B2 (en) * 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
US7049586B2 (en) * 2002-02-21 2006-05-23 Applied Material Israel, Ltd. Multi beam scanning with bright/dark field imaging
US7169685B2 (en) * 2002-02-25 2007-01-30 Micron Technology, Inc. Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
JP4030787B2 (en) * 2002-03-04 2008-01-09 東京エレクトロン株式会社 Substrate heating method, substrate heating apparatus, coating and developing apparatus
TWI252516B (en) * 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US6886423B2 (en) * 2002-03-27 2005-05-03 Rudolph Technologies, Inc. Scalable, automated metrology system and method of making the system
US6792328B2 (en) * 2002-03-29 2004-09-14 Timbre Technologies, Inc. Metrology diffraction signal adaptation for tool-to-tool matching
TW531822B (en) * 2002-03-29 2003-05-11 Taiwan Semiconductor Mfg Method for controlling inter-field critical dimensions of wafer
JP4106948B2 (en) * 2002-03-29 2008-06-25 東京エレクトロン株式会社 Processed object jump detection device, process object jump detection method, plasma processing apparatus, and plasma processing method
US7322250B1 (en) * 2002-04-09 2008-01-29 Rockwell Automation Technologies, Inc. System and method for sensing torque on a rotating shaft
US20030197872A1 (en) * 2002-04-17 2003-10-23 Littau Michael E. Scatterometric measurement of undercut multi-layer diffracting signatures
KR101010492B1 (en) * 2002-04-18 2011-01-21 어플라이드 머티어리얼스, 인코포레이티드 Thermal flux processing by scanning electromagnetic radiation
US20070258085A1 (en) * 2006-05-02 2007-11-08 Robbins Michael D Substrate illumination and inspection system
US6978189B1 (en) * 2002-05-28 2005-12-20 Advanced Micro Devices, Inc. Matching data related to multiple metrology tools
US6775015B2 (en) * 2002-06-18 2004-08-10 Timbre Technologies, Inc. Optical metrology of single features
US6819844B2 (en) * 2002-06-20 2004-11-16 The Boeing Company Fiber-optic based surface spectroscopy
US7167766B2 (en) * 2002-06-28 2007-01-23 Tokyo Electron Limited Controlling a material processing tool and performance data
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
SG102718A1 (en) * 2002-07-29 2004-03-26 Asml Holding Nv Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US6826451B2 (en) * 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
FR2843486B1 (en) * 2002-08-12 2005-09-23 Soitec Silicon On Insulator PROCESS FOR PRODUCING SEMICONDUCTOR THIN FILMS COMPRISING A FINISHING STEP
US6908774B2 (en) 2002-08-12 2005-06-21 S.O. I. Tec Silicon On Insulator Technologies S.A. Method and apparatus for adjusting the thickness of a thin layer of semiconductor material
AU2003263391A1 (en) 2002-08-12 2004-02-25 S.O.I.Tec Silicon On Insulator Technologies A method of preparing a thin layer, the method including a step of correcting thickness by sacrificial oxidation, and an associated machine
US20040087042A1 (en) * 2002-08-12 2004-05-06 Bruno Ghyselen Method and apparatus for adjusting the thickness of a layer of semiconductor material
FR2843487B1 (en) * 2002-08-12 2005-10-14 THIN LAYER ENABLING PROCESS COMPRISING SACRIFICIAL OXIDATION THICKNESS CORRECTION STEP AND ASSOCIATED MACHINE
DE10239548A1 (en) * 2002-08-23 2004-03-04 Leica Microsystems Semiconductor Gmbh Device and method for inspecting an object
JP2004146782A (en) * 2002-08-29 2004-05-20 Advanced Lcd Technologies Development Center Co Ltd In-situ monitoring method in crystallization state
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6963393B2 (en) * 2002-09-23 2005-11-08 Applied Materials, Inc. Measurement of lateral diffusion of diffused layers
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
US6954678B1 (en) * 2002-09-30 2005-10-11 Advanced Micro Devices, Inc. Artificial intelligence system for track defect problem solving
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
US6724476B1 (en) * 2002-10-01 2004-04-20 Advanced Micro Devices, Inc. Low defect metrology approach on clean track using integrated metrology
US7968354B1 (en) * 2002-10-04 2011-06-28 Kla-Tencor Technologies Corp. Methods for correlating backside and frontside defects detected on a specimen and classification of backside defects
TW577135B (en) * 2002-10-21 2004-02-21 Au Optronics Corp Die size control for polysilicon film and the inspection method thereof
TW564513B (en) * 2002-10-21 2003-12-01 Au Optronics Corp Testing apparatus for polysilicon thin film crystal quality, test and control method thereof
KR100492159B1 (en) * 2002-10-30 2005-06-02 삼성전자주식회사 Apparatus for inspecting a substrate
US6875622B1 (en) * 2002-11-01 2005-04-05 Advanced Micro Devices, Inc. Method and apparatus for determining electromagnetic properties of a process layer using scatterometry measurements
US7248062B1 (en) 2002-11-04 2007-07-24 Kla-Tencor Technologies Corp. Contactless charge measurement of product wafers and control of corona generation and deposition
KR100540865B1 (en) * 2002-11-06 2006-01-11 삼성전자주식회사 Concentration measurement and method of dopant concentration measurement of semiconductor device
JP3878107B2 (en) * 2002-11-06 2007-02-07 株式会社日立ハイテクノロジーズ Defect inspection method and apparatus
US7931028B2 (en) * 2003-08-26 2011-04-26 Jay Harvey H Skin injury or damage prevention method using optical radiation
US20040090629A1 (en) * 2002-11-08 2004-05-13 Emmanuel Drege Diffraction order selection for optical metrology simulation
US20040148048A1 (en) * 2002-11-11 2004-07-29 Farnworth Warren M. Methods for recognizing features as one or more objects are being fabricated by programmed material consolidation techniques
WO2004046655A2 (en) * 2002-11-20 2004-06-03 Mehrdad Nikoohahad System and method for characterizing three-dimensional structures
JP4746987B2 (en) 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error using scatterometry
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7112961B2 (en) * 2002-12-13 2006-09-26 Applied Materials, Inc. Method and apparatus for dynamically measuring the thickness of an object
US6895360B2 (en) * 2002-12-17 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method to measure oxide thickness by FTIR to improve an in-line CMP endpoint determination
US6800852B2 (en) * 2002-12-27 2004-10-05 Revera Incorporated Nondestructive characterization of thin films using measured basis spectra
US7006224B2 (en) * 2002-12-30 2006-02-28 Applied Materials, Israel, Ltd. Method and system for optical inspection of an object
JP3867048B2 (en) * 2003-01-08 2007-01-10 株式会社日立ハイテクノロジーズ Monochromator and scanning electron microscope using the same
US6950190B2 (en) * 2003-01-09 2005-09-27 Therma-Wave, Inc. Scatterometry for junction metrology
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US7126131B2 (en) 2003-01-16 2006-10-24 Metrosol, Inc. Broad band referencing reflectometer
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7116429B1 (en) * 2003-01-18 2006-10-03 Walecki Wojciech J Determining thickness of slabs of materials by inventors
JP4652667B2 (en) * 2003-02-13 2011-03-16 キヤノン株式会社 Surface position measuring method and scanning exposure apparatus
EP1601939A2 (en) * 2003-02-26 2005-12-07 Raymond J. Castonguay Spherical light-scatter and far-field phase measurement
KR100538092B1 (en) * 2003-02-27 2005-12-21 삼성전자주식회사 Method of monitoring a depth profile of impurities density
US7081369B2 (en) * 2003-02-28 2006-07-25 Intel Corporation Forming a semiconductor device feature using acquired parameters
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
DE10311855B4 (en) * 2003-03-17 2005-04-28 Infineon Technologies Ag Arrangement for transferring information / structures to wafers using a stamp
US20060082762A1 (en) * 2003-03-26 2006-04-20 Chad Leverette Automated polarized light microscope combined with a spectroscopy/spectral imaging apparatus
JP4220287B2 (en) * 2003-03-31 2009-02-04 株式会社東芝 Pattern defect inspection system
US7916308B2 (en) * 2003-04-01 2011-03-29 Seagate Technology Llc Method and optical profiler
US7352456B2 (en) 2003-04-08 2008-04-01 Kla-Tencor Technologies Corp. Method and apparatus for inspecting a substrate using a plurality of inspection wavelength regimes
GB0308182D0 (en) * 2003-04-09 2003-05-14 Aoti Operating Co Inc Detection method and apparatus
JP4408025B2 (en) * 2003-04-24 2010-02-03 株式会社リコー Misregistration pattern detection device, optical writing device, image forming device, and copying machine
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
TW200506375A (en) * 2003-05-16 2005-02-16 Tokyo Electron Ltd Inspection apparatus
TWI283817B (en) * 2003-05-30 2007-07-11 Tokyo Electron Ltd Method of operating a process control system and method of operating an advanced process control system
US7365834B2 (en) * 2003-06-24 2008-04-29 Kla-Tencor Technologies Corporation Optical system for detecting anomalies and/or features of surfaces
JP2005015885A (en) * 2003-06-27 2005-01-20 Ebara Corp Substrate processing method and apparatus
US6822472B1 (en) 2003-06-27 2004-11-23 International Business Machines Corporation Detection of hard mask remaining on a surface of an insulating layer
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7280200B2 (en) * 2003-07-18 2007-10-09 Ade Corporation Detection of a wafer edge using collimated light
US7106434B1 (en) 2003-07-28 2006-09-12 Kla-Tencor Technologies, Inc. Inspection tool
US7153185B1 (en) * 2003-08-18 2006-12-26 Applied Materials, Inc. Substrate edge detection
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
DE10339227B4 (en) * 2003-08-26 2014-05-28 Byk Gardner Gmbh Method and device for characterizing surfaces
JP4607517B2 (en) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 Plasma processing equipment
US7430898B1 (en) * 2003-09-04 2008-10-07 Kla-Tencor Technologies Corp. Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
JP5006040B2 (en) * 2003-09-04 2012-08-22 ケーエルエー−テンカー コーポレイション Method and system for inspection of specimens using different inspection parameters
JP4880888B2 (en) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 Manufacturing method of semiconductor device
JP4761431B2 (en) * 2003-09-09 2011-08-31 セイコーインスツル株式会社 Manufacturing method of semiconductor device
JP4880889B2 (en) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 Manufacturing method of semiconductor device
TWI334921B (en) 2003-09-15 2010-12-21 Zygo Corp Surface profiling using an interference pattern matching template
CN100465788C (en) * 2003-10-27 2009-03-04 三星电子株式会社 Lithography equipment
US7304310B1 (en) * 2003-11-21 2007-12-04 Kla-Tencor Technologies Corp. Methods and systems for inspecting a specimen using light scattered in different wavelength ranges
US7822236B2 (en) * 2004-01-07 2010-10-26 Identification International, Inc. Low power fingerprint capture system, apparatus, and method
US20050157308A1 (en) * 2004-01-15 2005-07-21 Andrei Brunfeld Apparatus and method for measuring thickness variation of wax film
KR100568254B1 (en) * 2004-02-06 2006-04-07 삼성전자주식회사 Electronic device fabrication method being capable of controlling threshold voltage, and ion implanter controller and ion implanting system used therein
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7415317B2 (en) * 2004-02-25 2008-08-19 Micron Technology, Inc. Method and system for correlating and combining production and non-production data for analysis
US7395130B2 (en) * 2004-02-27 2008-07-01 Micron Technology, Inc. Method and system for aggregating and combining manufacturing data for analysis
US7523076B2 (en) * 2004-03-01 2009-04-21 Tokyo Electron Limited Selecting a profile model for use in optical metrology using a machine learning system
US7078712B2 (en) * 2004-03-18 2006-07-18 Axcelis Technologies, Inc. In-situ monitoring on an ion implanter
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
JP4931799B2 (en) * 2004-03-22 2012-05-16 ケーエルエー−テンカー コーポレイション Method and system for measuring substrate characteristics or preparing a substrate for analysis
US20050211896A1 (en) * 2004-03-23 2005-09-29 International Business Machines Corporation Pt coating initiated by indirect electron beam for resist contact hole metrology
TWI249615B (en) * 2004-03-26 2006-02-21 Optimax Tech Corp Method and device for testing polarization sheet
DE102004015326A1 (en) * 2004-03-30 2005-10-20 Leica Microsystems Apparatus and method for inspecting a semiconductor device
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
TW200540939A (en) * 2004-04-22 2005-12-16 Olympus Corp Defect inspection device and substrate manufacturing system using the same
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US7301149B2 (en) * 2004-05-06 2007-11-27 The Board Of Trustees Of The University Of Illinois Apparatus and method for determining a thickness of a deposited material
JP4357355B2 (en) * 2004-05-07 2009-11-04 株式会社日立ハイテクノロジーズ Pattern inspection method and apparatus
US7254290B1 (en) * 2004-05-10 2007-08-07 Lockheed Martin Corporation Enhanced waveguide metrology gauge collimator
WO2005111728A2 (en) * 2004-05-10 2005-11-24 Matsushita Electric Industrial Co., Ltd. Composite sheet material selection method for use in ultra-fast laser patterning
US7564552B2 (en) * 2004-05-14 2009-07-21 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7359052B2 (en) * 2004-05-14 2008-04-15 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7349079B2 (en) * 2004-05-14 2008-03-25 Kla-Tencor Technologies Corp. Methods for measurement or analysis of a nitrogen concentration of a specimen
US7067819B2 (en) * 2004-05-14 2006-06-27 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
WO2005114148A2 (en) * 2004-05-14 2005-12-01 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen
JP4714427B2 (en) * 2004-05-14 2011-06-29 株式会社荏原製作所 Method for polishing thin film formed on substrate
US7142311B2 (en) * 2004-05-18 2006-11-28 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
KR100541820B1 (en) * 2004-05-28 2006-01-11 삼성전자주식회사 Particle detector for use in fabricating semiconductor device
IL162290A (en) * 2004-06-01 2013-06-27 Nova Measuring Instr Ltd Optical measurement device
US7397596B2 (en) * 2004-07-28 2008-07-08 Ler Technologies, Inc. Surface and subsurface detection sensor
US7327448B2 (en) * 2004-07-29 2008-02-05 Optech Ventures Llc Laser-ultrasonic detection of flip chip attachment defects
US7239389B2 (en) * 2004-07-29 2007-07-03 Applied Materials, Israel, Ltd. Determination of irradiation parameters for inspection of a surface
KR100568703B1 (en) * 2004-08-10 2006-04-07 삼성전자주식회사 Thickness measurement method of metal layer and thickness measurement apparatus using the same
US7511265B2 (en) * 2004-08-11 2009-03-31 Metrosol, Inc. Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US7804059B2 (en) * 2004-08-11 2010-09-28 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US7282703B2 (en) * 2004-08-11 2007-10-16 Metrosol, Inc. Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US7399975B2 (en) 2004-08-11 2008-07-15 Metrosol, Inc. Method and apparatus for performing highly accurate thin film measurements
US7663097B2 (en) * 2004-08-11 2010-02-16 Metrosol, Inc. Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
US7052921B1 (en) * 2004-09-03 2006-05-30 Advanced Micro Devices, Inc. System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process
JP2006093634A (en) * 2004-09-27 2006-04-06 Advanced Lcd Technologies Development Center Co Ltd Semiconductor device, intensity distribution measuring method laser annealing device and crystallization method
US20060077403A1 (en) * 2004-10-13 2006-04-13 Zaidi Shoaib H Optical system and method for measuring small dimensions
JP4537834B2 (en) * 2004-11-16 2010-09-08 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US8084260B2 (en) * 2004-11-24 2011-12-27 Applied Biosystems, Llc Spectral calibration method and system for multiple instruments
US20060114478A1 (en) * 2004-11-26 2006-06-01 Applied Materials, Inc. Evaluating effects of tilt angle in ion implantation
US7280229B2 (en) * 2004-12-03 2007-10-09 Timbre Technologies, Inc. Examining a structure formed on a semiconductor wafer using machine learning systems
US20070091325A1 (en) * 2005-01-07 2007-04-26 Mehrdad Nikoonahad Multi-channel optical metrology
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
KR101006423B1 (en) 2005-01-20 2011-01-06 지고 코포레이션 Interferometer for determining characteristics of an object surface
US20060164649A1 (en) * 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
US7593100B2 (en) * 2005-01-24 2009-09-22 Nikon Corporation Measuring method, measuring system, inspecting method, inspecting system, exposure method and exposure system, in which information as to the degree of the flatness of an object is pre-obtained
WO2006078025A1 (en) 2005-01-24 2006-07-27 Nikon Corporation Measurement method, measurement system, inspection method, inspection system, exposure method, and exposure system
US7478019B2 (en) * 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US6955931B1 (en) * 2005-02-10 2005-10-18 Advanced Micro Devices, Inc. Method for detecting silicide encroachment of a gate electrode in a semiconductor arrangement
US7408641B1 (en) 2005-02-14 2008-08-05 Kla-Tencor Technologies Corp. Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system
US7179665B1 (en) 2005-02-17 2007-02-20 Midwest Research Institute Optical method for determining the doping depth profile in silicon
US20060186406A1 (en) * 2005-02-18 2006-08-24 Texas Instruments Inc. Method and system for qualifying a semiconductor etch process
US20060187466A1 (en) * 2005-02-18 2006-08-24 Timbre Technologies, Inc. Selecting unit cell configuration for repeating structures in optical metrology
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US8547522B2 (en) * 2005-03-03 2013-10-01 Asml Netherlands B.V. Dedicated metrology stage for lithography applications
US20060219947A1 (en) * 2005-03-03 2006-10-05 Asml Netherlands B.V. Dedicated metrology stage for lithography applications
US7918293B1 (en) 2005-03-09 2011-04-05 Us Synthetic Corporation Method and system for perceiving a boundary between a first region and a second region of a superabrasive volume
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
US7351980B2 (en) * 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
US7421414B2 (en) * 2005-03-31 2008-09-02 Timbre Technologies, Inc. Split machine learning systems
US7115866B1 (en) * 2005-04-28 2006-10-03 Kla-Tencor Technologies, Inc. Site stepping for electron beam micro analysis
US7420163B2 (en) * 2005-04-29 2008-09-02 Revera Incorporated Determining layer thickness using photoelectron spectroscopy
US7161669B2 (en) 2005-05-06 2007-01-09 Kla- Tencor Technologies Corporation Wafer edge inspection
JP5059297B2 (en) * 2005-05-09 2012-10-24 株式会社日立ハイテクノロジーズ Electron beam observation device
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
DE102005025291B4 (en) * 2005-06-02 2019-07-25 Byk-Gardner Gmbh Method and device for determining surface properties
US7358199B2 (en) * 2005-06-09 2008-04-15 United Microelectronics Corp. Method of fabricating semiconductor integrated circuits
US7279657B2 (en) * 2005-06-13 2007-10-09 Applied Materials, Inc. Scanned rapid thermal processing with feed forward control
US7433034B1 (en) 2005-06-17 2008-10-07 Nanometrics Incorporated Darkfield defect inspection with spectral contents
US20070009010A1 (en) * 2005-06-23 2007-01-11 Koji Shio Wafer temperature measuring method and apparatus
US20070000434A1 (en) * 2005-06-30 2007-01-04 Accent Optical Technologies, Inc. Apparatuses and methods for detecting defects in semiconductor workpieces
TWI391645B (en) * 2005-07-06 2013-04-01 Nanometrics Inc Differential wavelength photoluminescence for non-contact measuring of contaminants and defects located below the surface of a wafer or other workpiece
TWI439684B (en) * 2005-07-06 2014-06-01 Nanometrics Inc Photoluminescence imaging with preferential detection of photoluminescence signals emitted from a specified material layer of a wafer or other workpiece
US20070008526A1 (en) * 2005-07-08 2007-01-11 Andrzej Buczkowski Apparatus and method for non-contact assessment of a constituent in semiconductor workpieces
US7433056B1 (en) * 2005-07-15 2008-10-07 Kla-Tencor Technologies Corporation Scatterometry metrology using inelastic scattering
JP2007027478A (en) * 2005-07-19 2007-02-01 Sharp Corp Etching method and etching device
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
DE102005038034B3 (en) * 2005-08-09 2007-05-10 Leica Microsystems Semiconductor Gmbh Apparatus and method for inspecting the surface of a wafer
US7763845B2 (en) * 2005-08-15 2010-07-27 Baker Hughes Incorporated Downhole navigation and detection system
US8039792B2 (en) * 2005-08-15 2011-10-18 Baker Hughes Incorporated Wide band gap semiconductor photodetector based gamma ray detectors for well logging applications
US20070109003A1 (en) * 2005-08-19 2007-05-17 Kla-Tencor Technologies Corp. Test Pads, Methods and Systems for Measuring Properties of a Wafer
US7410815B2 (en) * 2005-08-25 2008-08-12 Nanometrics Incorporated Apparatus and method for non-contact assessment of a constituent in semiconductor substrates
US7538371B2 (en) * 2005-09-01 2009-05-26 United Microelectronics Corp. CMOS image sensor integrated with 1-T SRAM and fabrication method thereof
CN101297192B (en) * 2005-09-09 2012-05-30 萨克米伊莫拉机械合作社合作公司 Method and device for directly monitoring object
US20070059849A1 (en) * 2005-09-12 2007-03-15 Interuniversitair Microelktronica Centrum (Imec) Method and system for BARC optimization for high numerical aperture applications
US7781349B2 (en) * 2005-09-12 2010-08-24 Imec Method and system for optimizing a BARC stack
US7289200B1 (en) 2005-10-04 2007-10-30 Itt Manufacturing Enterprises, Inc. Confocal reflectommeter/ellipsometer to inspect low-temperature fusion seals
US7636168B2 (en) 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7864919B1 (en) 2005-10-18 2011-01-04 Smith International, Inc. Nondestructive method of measuring a region within an ultra-hard polycrystalline construction
US7397553B1 (en) 2005-10-24 2008-07-08 Kla-Tencor Technologies Corporation Surface scanning
US7277819B2 (en) * 2005-10-31 2007-10-02 Eastman Kodak Company Measuring layer thickness or composition changes
EP2278269B1 (en) * 2005-11-07 2016-07-27 Cardinal CG Company Method for identifying photocatalytic coatings
US7371590B2 (en) * 2005-11-21 2008-05-13 General Electric Company Integrated inspection system and defect correction method
US20070114693A1 (en) * 2005-11-21 2007-05-24 Buckley Paul W Methods for improving mold quality for use in the manufacture of liquid crystal display components
US20070116350A1 (en) * 2005-11-21 2007-05-24 Cheverton Mark A Method for detecting the alignment of films for automated defect detection
US20070115464A1 (en) * 2005-11-21 2007-05-24 Harding Kevin G System and method for inspection of films
US20070122920A1 (en) * 2005-11-29 2007-05-31 Bornstein William B Method for improved control of critical dimensions of etched structures on semiconductor wafers
JP4762702B2 (en) * 2005-12-08 2011-08-31 富士フイルム株式会社 Plating thickness monitor device and plating stop device
US7522263B2 (en) * 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
KR100650888B1 (en) * 2005-12-29 2006-11-28 동부일렉트로닉스 주식회사 Method for mornitering edge bead removal process of copper metallization layer
KR100735613B1 (en) * 2006-01-11 2007-07-04 삼성전자주식회사 Disk assembly at the implanter
US20070164205A1 (en) * 2006-01-17 2007-07-19 Truche Jean L Method and apparatus for mass spectrometer diagnostics
US8148900B1 (en) 2006-01-17 2012-04-03 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for inspection
US20070176119A1 (en) * 2006-01-30 2007-08-02 Accent Optical Technologies, Inc. Apparatuses and methods for analyzing semiconductor workpieces
WO2007090537A2 (en) * 2006-02-03 2007-08-16 Carl Zeiss Nts Gmbh Focusing and positioning auxiliary device for a particle-optical scanning microscope
EP1982160A4 (en) * 2006-02-09 2016-02-17 Kla Tencor Tech Corp Methods and systems for determining a characteristic of a wafer
JP2007240432A (en) * 2006-03-10 2007-09-20 Omron Corp Defect inspection device and defect inspection method
US9068917B1 (en) * 2006-03-14 2015-06-30 Kla-Tencor Technologies Corp. Systems and methods for inspection of a specimen
JP5185506B2 (en) * 2006-03-23 2013-04-17 株式会社日立ハイテクノロジーズ Charged particle beam pattern measurement system
US7623978B2 (en) * 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
US7576851B2 (en) * 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7619731B2 (en) * 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7436505B2 (en) * 2006-04-04 2008-10-14 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining a configuration for a light scattering inspection system
US7999949B2 (en) * 2006-04-24 2011-08-16 Raintree Scientific Instruments (Shanghai) Corporation Spectroscopic ellipsometers
US7508504B2 (en) * 2006-05-02 2009-03-24 Accretech Usa, Inc. Automatic wafer edge inspection and review system
US20090116727A1 (en) * 2006-05-02 2009-05-07 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Defects Detection
US20090122304A1 (en) * 2006-05-02 2009-05-14 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Exclusion Measurement
US7558369B1 (en) 2006-05-09 2009-07-07 Smith International, Inc. Nondestructive method of measuring a region within an ultra-hard polycrystalline construction
US7616734B1 (en) * 2006-05-09 2009-11-10 Smith International, Inc. Multi-step method of nondestructively measuring a region within an ultra-hard polycrystalline construction
US7567344B2 (en) * 2006-05-12 2009-07-28 Corning Incorporated Apparatus and method for characterizing defects in a transparent substrate
WO2007137261A2 (en) * 2006-05-22 2007-11-29 Kla-Tencor Technologies Corporation Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool
US7469164B2 (en) * 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
WO2008001922A1 (en) * 2006-06-27 2008-01-03 Nec Corporation Method for analyzing warp of board or electronic component, system for analyzing warp of board or electronic component and program for analyzing warp of board or electronic component
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7526354B2 (en) 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US20080013107A1 (en) * 2006-07-11 2008-01-17 Tokyo Electron Limited Generating a profile model to characterize a structure to be examined using optical metrology
US7522288B2 (en) 2006-07-21 2009-04-21 Zygo Corporation Compensation of systematic effects in low coherence interferometry
JP4307470B2 (en) * 2006-08-08 2009-08-05 株式会社日立ハイテクノロジーズ Charged particle beam apparatus, sample processing method, and semiconductor inspection apparatus
US7935942B2 (en) * 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
US7856939B2 (en) 2006-08-28 2010-12-28 Transitions Optical, Inc. Recirculation spin coater with optical controls
US7667835B2 (en) * 2006-08-28 2010-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for preventing copper peeling in ECP
US20080055597A1 (en) * 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
WO2008039758A2 (en) * 2006-09-25 2008-04-03 Cambridge Research & Instrumentation, Inc. Sample imaging and classification
JP4981410B2 (en) * 2006-10-31 2012-07-18 株式会社日立ハイテクノロジーズ Scanning electron microscope, combined pattern inspection method using scanning electron microscope, and control apparatus for scanning electron microscope
US7417750B2 (en) * 2006-11-07 2008-08-26 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using an angle-resolved spectroscopic scatterometer
US8520194B2 (en) * 2006-11-29 2013-08-27 Macronix International Co., Ltd. Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process
US8184288B2 (en) * 2006-11-29 2012-05-22 Macronix International Co., Ltd. Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
WO2008070635A2 (en) * 2006-12-01 2008-06-12 Coherix, Inc. Method and system for determining a critical dimension of an object
US7728969B2 (en) * 2006-12-05 2010-06-01 Kla-Tencor Technologies Corp. Methods and systems for identifying defect types on a wafer
US7904845B2 (en) * 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US7700488B2 (en) * 2007-01-16 2010-04-20 International Business Machines Corporation Recycling of ion implantation monitor wafers
US8034718B2 (en) * 2006-12-12 2011-10-11 International Business Machines Corporation Method to recover patterned semiconductor wafers for rework
KR101519932B1 (en) 2006-12-22 2015-05-13 지고 코포레이션 Apparatus and method for measuring characteristics of surface features
JP2008171911A (en) * 2007-01-10 2008-07-24 Tokyo Electron Ltd Method and system for evaluating roughness
US7554654B2 (en) * 2007-01-26 2009-06-30 Kla-Tencor Corporation Surface characteristic analysis
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
WO2008103994A2 (en) * 2007-02-23 2008-08-28 Rudolph Technologies, Inc. Wafer fabrication monitoring systems and methods, including edge bead removal processing
US7764387B2 (en) * 2007-03-02 2010-07-27 Applied Kinetics, Inc. Apparatus and method for measuring suspension and head assemblies in a stack
US7724375B1 (en) * 2007-03-15 2010-05-25 Kla-Tencor Corporation Method and apparatus for increasing metrology or inspection tool throughput
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7949618B2 (en) * 2007-03-28 2011-05-24 Tokyo Electron Limited Training a machine learning system to determine photoresist parameters
US7772016B2 (en) * 2007-04-04 2010-08-10 International Business Machines Corporation Method for composition control of a metal compound film
US7812936B2 (en) * 2007-04-09 2010-10-12 Identification International, Inc. Fingerprint imaging system
US7372583B1 (en) * 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US7483809B2 (en) * 2007-04-12 2009-01-27 Tokyo Electron Limited Optical metrology using support vector machine with profile parameter inputs
US7511835B2 (en) * 2007-04-12 2009-03-31 Tokyo Electron Limited Optical metrology using a support vector machine with simulated diffraction signal inputs
US7671978B2 (en) 2007-04-24 2010-03-02 Xyratex Technology Limited Scatterometer-interferometer and method for detecting and distinguishing characteristics of surface artifacts
DE102007020624A1 (en) * 2007-04-30 2008-11-06 Surface Systems + Technology Gmbh + Co. Kg Device for determining a mechanical property of a sample to be examined
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US20080318345A1 (en) * 2007-06-22 2008-12-25 Persing Harold M Plasma ion implantation process control using reflectometry
US8213021B2 (en) * 2007-06-29 2012-07-03 Veeco Metrology, Inc. Interferometric measurement of non-homogeneous multi-material surfaces
US7683299B2 (en) * 2007-07-09 2010-03-23 Bio-Rad Laboratories, Inc. Extended dynamic range system design using a photomultiplier tube and solid state detector
US7635843B1 (en) * 2007-07-13 2009-12-22 Xilinx, Inc. In-line reliability test using E-beam scan
US7619746B2 (en) 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8611639B2 (en) * 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
DE102007035833B3 (en) * 2007-07-31 2009-03-12 Advanced Micro Devices, Inc., Sunnyvale Advanced automatic deposition profile targeting and control through the use of advanced polishing endpoint feedback
DE102007036811B3 (en) * 2007-08-03 2008-12-18 Vistec Semiconductor Systems Gmbh Wafer surface area detecting device, has line scan camera with detector row whose length is smaller than diameter of wafer, and color scan camera with two-dimensional detector chip upstream to dispersive element
US7915570B2 (en) 2007-08-03 2011-03-29 National Instruments Corporation Smart camera with an integrated lighting controller
JP2009065146A (en) * 2007-08-15 2009-03-26 Sony Corp Method of forming semiconductor thin film, and inspection device for the semiconductor thin film
TW200919612A (en) * 2007-08-21 2009-05-01 Camtek Ltd Method and system for low cost inspection
US7567885B2 (en) * 2007-08-23 2009-07-28 Sokudo Co., Ltd. Method and system for determining object height
JP4950813B2 (en) * 2007-08-30 2012-06-13 大日本スクリーン製造株式会社 Spectral ellipsometer, film thickness measuring apparatus, and focus adjustment method of spectroscopic ellipsometer
US7782452B2 (en) * 2007-08-31 2010-08-24 Kla-Tencor Technologies Corp. Systems and method for simultaneously inspecting a specimen with two distinct channels
US8337278B2 (en) * 2007-09-24 2012-12-25 Applied Materials, Inc. Wafer edge characterization by successive radius measurements
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
JP5102580B2 (en) * 2007-10-18 2012-12-19 株式会社日立ハイテクノロジーズ Charged particle beam application equipment
US7978337B2 (en) 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning
US8264693B2 (en) 2007-12-06 2012-09-11 The Regents Of The University Of Michigan Method and system for measuring at least one property including a magnetic property of a material using pulsed laser sources
US8126677B2 (en) 2007-12-14 2012-02-28 Zygo Corporation Analyzing surface structure using scanning interferometry
US7678588B2 (en) * 2008-01-22 2010-03-16 United Microelectronics Corp. Method for constructing module for optical critical dimension (OCD) and measuring method of module for optical critical dimension using the module
US7732303B2 (en) 2008-01-31 2010-06-08 International Business Machines Corporation Method for recycling of ion implantation monitor wafers
FR2927175B1 (en) * 2008-02-05 2011-02-18 Altatech Semiconductor DEVICE FOR INSPECTING SEMICONDUCTOR WAFERS
US20090219537A1 (en) * 2008-02-28 2009-09-03 Phillip Walsh Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
US8134698B1 (en) 2008-03-14 2012-03-13 Kla-Tencor Corporation Dynamic range extension in surface inspection systems
US8239811B2 (en) * 2008-03-24 2012-08-07 International Business Machines Corporation System and method for wireless and dynamic intra-process measurement of integrated circuit parameters
US8097474B2 (en) * 2008-03-24 2012-01-17 International Business Machines Corporation Integrated circuit chip design flow methodology including insertion of on-chip or scribe line wireless process monitoring and feedback circuitry
DE102008001812B4 (en) * 2008-05-15 2013-05-29 Carl Zeiss Microscopy Gmbh Positioning device for a particle beam device
US7912658B2 (en) * 2008-05-28 2011-03-22 Kla-Tencor Corp. Systems and methods for determining two or more characteristics of a wafer
US8094926B2 (en) * 2008-06-06 2012-01-10 Kabushiki Kaisha Toshiba Ultrafine pattern discrimination using transmitted/reflected workpiece images for use in lithography inspection system
US9710903B2 (en) 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
US8494802B2 (en) * 2008-06-19 2013-07-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer
KR101443058B1 (en) * 2008-06-25 2014-09-24 삼성전자주식회사 equipment for manufacturing semiconductor device analyzed layered media dimension and used the same
JP2010027743A (en) * 2008-07-16 2010-02-04 Ebara Corp Glass substrate for imprint, resist pattern forming method, and method and apparatus for inspecting glass substrate for imprint
JP5352144B2 (en) * 2008-07-22 2013-11-27 株式会社荏原製作所 Charged particle beam inspection method and apparatus
US8269960B2 (en) 2008-07-24 2012-09-18 Kla-Tencor Corp. Computer-implemented methods for inspecting and/or classifying a wafer
US20100041220A1 (en) * 2008-08-12 2010-02-18 Advanced Micro Devices, Inc. Methods for uniformly optically annealing regions of a semiconductor substrate
CN101666626B (en) * 2008-09-03 2012-02-29 睿励科学仪器(上海)有限公司 Method for ellipsometry and device thereof
US8495919B1 (en) 2008-09-19 2013-07-30 Fort Wayne Metals Research Products Corporation Test apparatus and method for determining at least one characteristic of a plurality of test specimens
JP5233012B2 (en) * 2008-10-03 2013-07-10 日新イオン機器株式会社 Ion implanter
US7972552B1 (en) * 2008-11-12 2011-07-05 Hrl Laboratories, Llc Method to locate and eliminate manufacturing defects in a quartz resonator gyro
JP5289006B2 (en) * 2008-11-19 2013-09-11 株式会社東芝 Pattern forming method and program
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
JP5492405B2 (en) * 2008-12-02 2014-05-14 株式会社日立ハイテクノロジーズ Charged particle beam equipment
DE102008044375A1 (en) * 2008-12-05 2010-06-10 Robert Bosch Gmbh Optical measuring device
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US8600703B1 (en) * 2008-12-12 2013-12-03 J.A. Woollam Co., Inc Method of evaluating data quality
US8983787B1 (en) * 2008-12-12 2015-03-17 Martin M. Liphardt Method of evaluating data quality
DE112009003724B4 (en) * 2008-12-16 2017-07-13 Hitachi High-Technologies Corporation Use of an electron beam device
US8809779B2 (en) * 2008-12-19 2014-08-19 Hermes Microvision, Inc. Method and system for heating substrate in vacuum environment and method and system for identifying defects on substrate
SG164293A1 (en) * 2009-01-13 2010-09-29 Semiconductor Technologies & Instruments Pte System and method for inspecting a wafer
SG164292A1 (en) * 2009-01-13 2010-09-29 Semiconductor Technologies & Instruments Pte System and method for inspecting a wafer
SG163442A1 (en) * 2009-01-13 2010-08-30 Semiconductor Technologies & Instruments System and method for inspecting a wafer
EP2389459B1 (en) * 2009-01-21 2014-03-26 George Atanasoff Methods and systems for control of a surface modification process
EP2251453B1 (en) 2009-05-13 2013-12-11 SiO2 Medical Products, Inc. Vessel holder
US8135560B2 (en) * 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
DE102009008063A1 (en) 2009-02-09 2010-08-19 Carl Zeiss Nts Gmbh particle beam
KR101493048B1 (en) * 2009-02-27 2015-02-13 삼성전자주식회사 Apparatus for measuring semiconductor device and method for measuring semiconductor device
US20100279435A1 (en) * 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
US20100279438A1 (en) * 2009-05-01 2010-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of in-situ identification for contamination control in semiconductor fabrication
US8269980B1 (en) 2009-05-11 2012-09-18 Engineering Synthesis Design, Inc. White light scanning interferometer with simultaneous phase-shifting module
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
US8153987B2 (en) * 2009-05-22 2012-04-10 Jordan Valley Semiconductors Ltd. Automated calibration methodology for VUV metrology system
US10768611B2 (en) * 2009-06-16 2020-09-08 Applied Materials, Inc. Counter and timer constraints
JP5486219B2 (en) * 2009-06-18 2014-05-07 パナソニック液晶ディスプレイ株式会社 Photoresist patterning inspection method
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
FR2948192B1 (en) * 2009-07-20 2011-07-22 Commissariat Energie Atomique OPTICAL CHARACTERIZATION METHOD
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
EP2293051A1 (en) * 2009-09-05 2011-03-09 Umicore AG & Co. KG Method and device for identifying autocatalysts
CN102549374B (en) * 2009-09-30 2015-05-13 Og技术公司 A method and apparatus of a portable imaging-based measurement with self calibration
US20110195636A1 (en) * 2010-02-11 2011-08-11 United Microelectronics Corporation Method for Controlling Polishing Wafer
US8334986B2 (en) * 2010-02-25 2012-12-18 Corning Incorporated Methods and apparatus for the measurement of film thickness
DE102010003112A1 (en) * 2010-03-22 2011-09-22 Osram Opto Semiconductors Gmbh Method for controlling formation of boundary face between metal film and semiconductor layer of thin film semiconductor component, involves comparing reflectance image of reflected infrared radiation with expected reflectance image
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
JP2011209090A (en) * 2010-03-30 2011-10-20 Hitachi Ltd Smooth surface inspection apparatus
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
WO2011151530A1 (en) * 2010-05-31 2011-12-08 Arcelormittal Investigacion Y Desarrollo, S.L. Method and device for measuring the thickness of a coating layer on a running strip
DE102010031227A1 (en) * 2010-07-12 2012-01-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Device for testing microstructuring quality
US8603839B2 (en) 2010-07-23 2013-12-10 First Solar, Inc. In-line metrology system
CN103080731B (en) * 2010-07-30 2016-08-17 第一太阳能有限公司 Photoluminescence measurement tool and correlation technique
US8873028B2 (en) * 2010-08-26 2014-10-28 Apple Inc. Non-destructive stress profile determination in chemically tempered glass
JP5725781B2 (en) * 2010-09-28 2015-05-27 キヤノン株式会社 Subject information acquisition device
US8175452B1 (en) * 2010-10-26 2012-05-08 Complete Genomics, Inc. Method and system for imaging high density biochemical arrays with sub-pixel alignment
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US20120323506A1 (en) * 2010-11-23 2012-12-20 Andrew Payshin King Semiconductor Defect Signal Capturing and Statistical System and Method
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
JP5752454B2 (en) * 2011-03-23 2015-07-22 東京エレクトロン株式会社 Plasma processing apparatus and temperature measuring method
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8384405B2 (en) * 2011-04-20 2013-02-26 Tdk Corporation Method for performing burn-in test
WO2012143840A1 (en) * 2011-04-20 2012-10-26 Koninklijke Philips Electronics N.V. Measurement device and method for vapour deposition applications
US8942842B2 (en) * 2011-04-28 2015-01-27 Applied Materials, Inc. Varying optical coefficients to generate spectra for polishing control
US9030551B2 (en) 2011-05-24 2015-05-12 Discovery Metals, Llc Ambient reflectivity absorption system for identifying precious or semi-precious materials and associated methods
DE102011077567B4 (en) * 2011-06-15 2013-05-29 Leibniz-Institut Für Polymerforschung Dresden E.V. METHOD AND DEVICE FOR DETERMINING THE SURFACE OPOGRAPHY OF COATED, REFLECTIVE SURFACES
JP2013042114A (en) * 2011-07-19 2013-02-28 Canon Inc Drawing device, and article manufacturing method
JP5834584B2 (en) * 2011-07-25 2015-12-24 ソニー株式会社 Information processing apparatus, information processing method, program, and fluorescence spectrum intensity correction method
CN103733020A (en) * 2011-07-25 2014-04-16 伊雷克托科学工业股份有限公司 Method and apparatus for characterizing objects and monitoring manufacturing processes
WO2013066446A1 (en) 2011-08-01 2013-05-10 The Trustees Of Columbia University In The City Of New York Conjugates of nano-diamond and magnetic or metallic particles
WO2013022713A2 (en) * 2011-08-11 2013-02-14 Kla-Tencor Corporation Air flow management in a system with high speed spinning chuck
JP5721586B2 (en) * 2011-08-12 2015-05-20 大塚電子株式会社 Optical characteristic measuring apparatus and optical characteristic measuring method
US20140212020A1 (en) * 2011-08-12 2014-07-31 Bt Imaging Pty Ltd Photoluminescence imaging of doping variations in semiconductor wafers
JP2013061185A (en) * 2011-09-12 2013-04-04 Toshiba Corp Pattern inspection device and pattern inspection method
WO2013040446A1 (en) * 2011-09-16 2013-03-21 The Trustees Of Columbia University In The City Of New York High-precision ghz clock generation using spin states in diamond
US9117149B2 (en) 2011-10-07 2015-08-25 Industrial Technology Research Institute Optical registration carrier
US9632045B2 (en) 2011-10-19 2017-04-25 The Trustees Of Columbia University In The City Of New York Systems and methods for deterministic emitter switch microscopy
US9090854B2 (en) 2011-10-25 2015-07-28 Lam Research Ag Method and apparatus for processing wafer-shaped articles
JP5933222B2 (en) * 2011-11-08 2016-06-08 東京エレクトロン株式会社 Temperature control method, control device, and plasma processing apparatus
GB201119352D0 (en) * 2011-11-09 2011-12-21 Advanced Sensors Ltd Apparatus and method for determining the amounts of two or more substances present in a liquid
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
WO2013071138A1 (en) 2011-11-11 2013-05-16 Sio2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US9534883B1 (en) 2011-11-22 2017-01-03 Engineering Synthesis Design, Inc. Methods for determining error in an interferometry system
US8969833B1 (en) 2011-12-16 2015-03-03 Us Synthetic Corporation Method and system for perceiving a boundary between a first region and a second region of a superabrasive volume
WO2013096734A1 (en) * 2011-12-22 2013-06-27 University Of Pittsburgh - Of The Commonwealth System Of Higher Education Method and apparatus to enhance light illuminating intensity and diffusivity
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
CN105549341A (en) 2012-02-21 2016-05-04 Asml荷兰有限公司 Inspection apparatus and method
US9377428B2 (en) 2012-02-21 2016-06-28 Varel International Ind., L.P. Non-destructive leaching depth measurement using capacitance spectroscopy
US9423436B2 (en) 2012-02-21 2016-08-23 Varel International Ind., L.P. Method and apparatus to assess the thermal damage caused to a PCD cutter using capacitance spectroscopy
US20130214768A1 (en) * 2012-02-21 2013-08-22 Varel International Ind., L.P. Use of Eddy Currents to Analyze Polycrystalline Diamond
US9128031B2 (en) 2012-02-21 2015-09-08 Varel International Ind., L.P. Method to improve the leaching process
US9423370B2 (en) 2012-02-21 2016-08-23 Varel International Ind., L.P Use of capacitance to analyze polycrystalline diamond
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US8848191B2 (en) 2012-03-14 2014-09-30 Honeywell International Inc. Photoacoustic sensor with mirror
US9410890B2 (en) * 2012-03-19 2016-08-09 Kla-Tencor Corporation Methods and apparatus for spectral luminescence measurement
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
CN103453395A (en) * 2012-05-30 2013-12-18 财团法人工业技术研究院 Light source device
DE102012104874B4 (en) * 2012-06-05 2016-05-19 Technische Universität München Optical measuring system with polarization compensation and corresponding method
DE102012104844B4 (en) * 2012-06-05 2017-06-29 Heliatek Gmbh Optical system and method for monitoring and controlling photoactive components in the production process
US9628676B2 (en) 2012-06-07 2017-04-18 Complete Genomics, Inc. Imaging systems with movable scan mirrors
US9488823B2 (en) 2012-06-07 2016-11-08 Complete Genomics, Inc. Techniques for scanned illumination
WO2013188602A1 (en) * 2012-06-13 2013-12-19 Kla-Tencor Corporation Optical surface scanning systems and methods
EP2865003A1 (en) 2012-06-26 2015-04-29 Kla-Tencor Corporation Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
NL2009367C2 (en) * 2012-08-27 2014-03-03 Stichting Vu Vumc Microscopic imaging apparatus and method to detect a microscopic image.
US8860937B1 (en) * 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
KR101241007B1 (en) * 2012-10-26 2013-03-11 나노씨엠에스(주) Method and apparatus for measuring thickness of thin film using x-ray
EP2914762B1 (en) 2012-11-01 2020-05-13 SiO2 Medical Products, Inc. Coating inspection method
US8830464B2 (en) * 2012-11-06 2014-09-09 Kla-Tencor Corporation Film thickness, refractive index, and extinction coefficient determination for film curve creation and defect sizing in real time
US9244028B2 (en) * 2012-11-07 2016-01-26 Tribogenics, Inc. Electron excited x-ray fluorescence device
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
JP6382830B2 (en) 2012-11-30 2018-08-29 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド Uniformity control of PECVD deposition on medical syringes, cartridges, etc.
US9718164B2 (en) * 2012-12-06 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing system and polishing method
US9354185B2 (en) * 2012-12-21 2016-05-31 Advanced Micro Devices, Inc. 3D imaging with multiple irradiation frequencies
US9630927B2 (en) * 2014-01-17 2017-04-25 Taiwan Semiconductor Manufacturing Company Limited Method and manufacturing system
US11885738B1 (en) * 2013-01-22 2024-01-30 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer or polarimeter system including sample imaging system that simultaneously meet the scheimpflug condition and overcomes keystone error
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
US20140242881A1 (en) * 2013-02-27 2014-08-28 Applied Materials, Inc. Feed forward parameter values for use in theoretically generating spectra
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9305753B2 (en) * 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9052190B2 (en) * 2013-03-12 2015-06-09 Kla-Tencor Corporation Bright-field differential interference contrast system with scanning beams of round and elliptical cross-sections
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
TWI683382B (en) * 2013-03-15 2020-01-21 應用材料股份有限公司 Carousel gas distribution assembly with optical measurements
DE102013207243B4 (en) * 2013-04-22 2019-10-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. DEVICE AND METHOD FOR PRODUCING A STRUCTURE OF CURABLE MATERIAL BY IMPREGNATION
US20140356986A1 (en) * 2013-05-31 2014-12-04 International Business Machines Corporation Precision controlled collapse chip connection mapping
US9995850B2 (en) * 2013-06-06 2018-06-12 Kla-Tencor Corporation System, method and apparatus for polarization control
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US9281253B2 (en) 2013-10-29 2016-03-08 Applied Materials, Inc. Determination of gain for eddy current sensor
JP5680731B2 (en) * 2013-11-06 2015-03-04 株式会社Screenセミコンダクターソリューションズ Substrate processing apparatus and inspection peripheral exposure system
US9293298B2 (en) * 2013-12-23 2016-03-22 Kla-Tencor Corp. Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
TWI504886B (en) * 2013-12-26 2015-10-21 Machvision Inc Inspection method of crack defects and heterochromatic of printed circuit board and inspection apparatus of the same
KR20150085956A (en) * 2014-01-17 2015-07-27 삼성전자주식회사 A method of measuring a semiconductor device, a semiconductor measurement system, and a method of a semiconductor device using the same
US9588066B2 (en) 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
DE102014003145A1 (en) 2014-03-04 2015-09-10 Carl Zeiss Microscopy Gmbh Method for correcting spherical aberration in microscopic applications
WO2015148471A1 (en) 2014-03-28 2015-10-01 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US20150316468A1 (en) * 2014-04-30 2015-11-05 Nova Measuring Instruments Ltd. Method and system for optical characterization of patterned samples
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10012606B1 (en) 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
KR101563165B1 (en) * 2014-06-26 2015-10-26 주식회사 이오테크닉스 Marking method of wafer dies
WO2016003575A2 (en) * 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
JP6219251B2 (en) * 2014-09-17 2017-10-25 東芝メモリ株式会社 Semiconductor manufacturing equipment
FR3026484B1 (en) * 2014-09-29 2018-06-15 Altatech Semiconductor METHOD AND SYSTEM FOR INSPECTING TRANSPARENT PLATES FOR ELECTRONICS, OPTICS OR OPTOELECTRONICS
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
KR101683548B1 (en) * 2014-10-14 2016-12-08 (주)오로스 테크놀로지 A Measurement Device and Method of Overlay Measurement using an Electron Beam
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10139352B2 (en) * 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US9710728B2 (en) 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US20160139032A1 (en) * 2014-11-19 2016-05-19 Kla-Tencor Corporation Inspection system and method using an off-axis unobscured objective lens
US10345095B1 (en) 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
KR20160066448A (en) 2014-12-02 2016-06-10 삼성전자주식회사 Method for inspecting surface
US9543219B2 (en) 2014-12-02 2017-01-10 Globalfoundries Inc. Void monitoring device for measurement of wafer temperature variations
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
MX2017008140A (en) * 2014-12-19 2018-01-30 Univ Utah Res Found Interferometry system and associated methods.
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US9709437B2 (en) * 2015-02-18 2017-07-18 City University Of Hong Kong System and method for detecting a defect in a structure member
US10185303B2 (en) 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US9970863B2 (en) 2015-02-22 2018-05-15 Kla-Tencor Corporation Optical metrology with reduced focus error sensitivity
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10502549B2 (en) 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
KR20160121206A (en) 2015-04-10 2016-10-19 삼성전자주식회사 Method for detecting an overlay error and method for manufacturing semiconductor using the same
EP3081901A1 (en) 2015-04-17 2016-10-19 Hennecke Systems GmbH Inspection method and device for inspecting a surface pattern
KR102512180B1 (en) 2015-04-28 2023-03-20 케이엘에이 코포레이션 Computationally efficient X-ray based overlay measurements
US10030965B2 (en) 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
JP6194922B2 (en) * 2015-05-13 2017-09-13 トヨタ自動車株式会社 Method for measuring layer thickness of opaque laminate
US10094774B2 (en) 2015-08-12 2018-10-09 Industrial Technology Research Institute Scattering measurement system and method
CA2995225C (en) 2015-08-18 2023-08-29 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US10620420B2 (en) * 2015-09-23 2020-04-14 Filmetrics, Inc. Optical system for use with microscope
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US9679822B1 (en) 2016-02-22 2017-06-13 Alpha And Omega Semiconductor Incorporated Method for monitoring epitaxial growth geometry shift
TWI579396B (en) * 2016-03-18 2017-04-21 萬國半導體股份有限公司 Method of monitoring epitaxial growth geometry shift
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US11313809B1 (en) 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9728470B1 (en) * 2016-05-10 2017-08-08 Infineon Technologies Austria Ag Semiconductor structure and methods
JP6279013B2 (en) * 2016-05-26 2018-02-14 Ckd株式会社 3D measuring device
WO2017207269A1 (en) * 2016-06-03 2017-12-07 Asml Holding N.V. Alignment system wafer stack beam analyzer
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
JP6547695B2 (en) 2016-06-21 2019-07-24 株式会社デンソー Refrigeration cycle device
US11162781B2 (en) 2016-06-23 2021-11-02 University Of Utah Research Foundation Interferometry systems and methods
US10514250B2 (en) 2016-06-23 2019-12-24 University Of Utah Research Foundation Interferometry system and associated methods
KR102595300B1 (en) * 2016-07-04 2023-10-31 삼성전자주식회사 Inspection method and system, and method of forming semiconductor package using the same
KR101806114B1 (en) 2016-08-01 2017-12-07 주식회사 트윔 Superhigh Speed Panel Align System
GB201613988D0 (en) 2016-08-16 2016-09-28 Micromass Uk Ltd And Leco Corp Mass analyser having extended flight path
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
JP7164289B2 (en) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 Position-Specific Tuning of Bow-Controlling Stress to Control Overlay During Semiconductor Processing
US10215693B2 (en) 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
CN109844917B (en) 2016-10-13 2023-07-04 科磊股份有限公司 Metering system and method for process control
US10712145B2 (en) 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
WO2018081144A1 (en) * 2016-10-24 2018-05-03 Kla-Tencor Corporation Process module(s) integrated into a metrology and/or inspection tool
US11086240B2 (en) 2016-12-19 2021-08-10 Asml Netherlands B.V. Metrology sensor, lithographic apparatus and method for manufacturing devices
US11199605B2 (en) 2017-01-13 2021-12-14 Applied Materials, Inc. Resistivity-based adjustment of measurements from in-situ monitoring
KR101922973B1 (en) 2017-01-25 2018-11-28 (주)엘립소테크놀러지 Microspot spectroscopic ellipsometer with 4-reflectors
CN106647147B (en) * 2017-02-15 2022-05-17 苏州德创测控科技有限公司 Non-coplanar image acquisition device
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
GB2560951B (en) * 2017-03-29 2020-06-17 Redlux Ltd Inspection of components for imperfections
US9940074B1 (en) * 2017-03-31 2018-04-10 Konica Minolta Laboratory U.S.A., Inc. Method to determine the best printing device amongst a group of printing devices using an in-line spectrophotometer
US10042592B1 (en) 2017-03-31 2018-08-07 Konica Minolta Laboratory U.S.A., Inc. Method to determine the best printing device amongst a group of printing devices using an in-line spectrophotometer
US10444162B2 (en) 2017-04-03 2019-10-15 Samsung Electronics Co., Ltd. Method of testing an object and apparatus for performing the same
GB2567794B (en) 2017-05-05 2023-03-08 Micromass Ltd Multi-reflecting time-of-flight mass spectrometers
GB2563571B (en) 2017-05-26 2023-05-24 Micromass Ltd Time of flight mass analyser with spatial focussing
WO2019030475A1 (en) 2017-08-06 2019-02-14 Anatoly Verenchikov Multi-pass mass spectrometer
US11295944B2 (en) 2017-08-06 2022-04-05 Micromass Uk Limited Printed circuit ion mirror with compensation
US11081332B2 (en) 2017-08-06 2021-08-03 Micromass Uk Limited Ion guide within pulsed converters
CN111164731B (en) 2017-08-06 2022-11-18 英国质谱公司 Ion implantation into a multichannel mass spectrometer
WO2019030473A1 (en) 2017-08-06 2019-02-14 Anatoly Verenchikov Fields for multi-reflecting tof ms
EP3662501A1 (en) 2017-08-06 2020-06-10 Micromass UK Limited Ion mirror for multi-reflecting mass spectrometers
WO2019030477A1 (en) 2017-08-06 2019-02-14 Anatoly Verenchikov Accelerator for multi-pass mass spectrometers
US10699969B2 (en) * 2017-08-30 2020-06-30 Kla-Tencor Corporation Quick adjustment of metrology measurement parameters according to process variation
US10898986B2 (en) 2017-09-15 2021-01-26 Applied Materials, Inc. Chattering correction for accurate sensor position determination on wafer
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10551166B2 (en) * 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
EP3474074A1 (en) 2017-10-17 2019-04-24 ASML Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
KR102450776B1 (en) * 2017-10-27 2022-10-05 삼성전자주식회사 Laser processing method, substrate dicing method, and substrate processing apparatus for performing the same
KR102369936B1 (en) 2017-12-08 2022-03-03 삼성전자주식회사 Optical measuring method
JP7012538B2 (en) * 2018-01-11 2022-01-28 株式会社ディスコ Wafer evaluation method
DE112019000022T5 (en) * 2018-01-31 2019-10-24 Asml Netherlands B.V. Method for marking substrates on the basis of process parameters
WO2019164452A1 (en) * 2018-02-22 2019-08-29 Agency For Science, Technology And Research Methods and apparatus for fluorescence microscopy
US11036898B2 (en) 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
CN108461412A (en) * 2018-03-22 2018-08-28 北京北方华创微电子装备有限公司 On-line monitoring system and semiconductor processing equipment
US11056366B2 (en) * 2018-03-23 2021-07-06 Kla Corporation Sample transport device with integrated metrology
CN111246970B (en) 2018-04-03 2022-05-24 应用材料公司 Polishing system, method of polishing substrate, and computer storage medium
GB201806507D0 (en) 2018-04-20 2018-06-06 Verenchikov Anatoly Gridless ion mirrors with smooth fields
US11441893B2 (en) 2018-04-27 2022-09-13 Kla Corporation Multi-spot analysis system with multiple optical probes
GB201807626D0 (en) 2018-05-10 2018-06-27 Micromass Ltd Multi-reflecting time of flight mass analyser
GB201807605D0 (en) 2018-05-10 2018-06-27 Micromass Ltd Multi-reflecting time of flight mass analyser
GB201808530D0 (en) 2018-05-24 2018-07-11 Verenchikov Anatoly TOF MS detection system with improved dynamic range
WO2019229871A1 (en) * 2018-05-30 2019-12-05 株式会社日立ハイテクノロジーズ Wafer inspection device and wafer inspection method
US11069583B2 (en) 2018-06-20 2021-07-20 Veeco Instruments Inc. Apparatus and method for the minimization of undercut during a UBM etch process
TW202000993A (en) * 2018-06-20 2020-01-01 美商維克精密表面處理股份有限公司 An apparatus and method for the minimization of undercut during a UBM etch process
US11340057B2 (en) 2018-06-22 2022-05-24 Northwestern University Systems and methods for interferometric multifocus microscopy
KR20210014205A (en) 2018-06-27 2021-02-08 어플라이드 머티어리얼스, 인코포레이티드 Temperature control of chemical mechanical polishing
GB201810573D0 (en) 2018-06-28 2018-08-15 Verenchikov Anatoly Multi-pass mass spectrometer with improved duty cycle
US10761398B2 (en) * 2018-06-29 2020-09-01 Mitutoyo Corporation Imaging ellipsometer system utilizing a tunable acoustic gradient lens
US11532454B2 (en) * 2018-11-12 2022-12-20 Hitachi High-Tech Corporation Imaging method and imaging system
US10942135B2 (en) 2018-11-14 2021-03-09 Kla Corporation Radial polarizer for particle detection
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US10801953B2 (en) 2019-01-11 2020-10-13 Kla-Tencor Corporation Semiconductor metrology based on hyperspectral imaging
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
US11635344B2 (en) 2019-02-01 2023-04-25 Optikos Corporation Portable optic metrology thermal chamber module and method therefor
GB201901411D0 (en) 2019-02-01 2019-03-20 Micromass Ltd Electrode assembly for mass spectrometer
US10948423B2 (en) 2019-02-17 2021-03-16 Kla Corporation Sensitive particle detection with spatially-varying polarization rotator and polarizer
US20220121129A1 (en) * 2019-02-19 2022-04-21 Asml Netherlands B.V. Metrology system, lithographic apparatus, and method
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
JP7299728B2 (en) * 2019-03-22 2023-06-28 ファスフォードテクノロジ株式会社 Semiconductor manufacturing equipment and semiconductor device manufacturing method
KR102180113B1 (en) * 2019-04-30 2020-11-18 한양대학교 산학협력단 Thickness measuring device
US11633833B2 (en) 2019-05-29 2023-04-25 Applied Materials, Inc. Use of steam for pre-heating of CMP components
TW202110575A (en) 2019-05-29 2021-03-16 美商應用材料股份有限公司 Steam treatment stations for chemical mechanical polishing system
US11628478B2 (en) 2019-05-29 2023-04-18 Applied Materials, Inc. Steam cleaning of CMP components
TW202113331A (en) * 2019-06-10 2021-04-01 日商東京威力科創股份有限公司 Substrate processing device, substrate inspection method, and storage medium
CN114008534A (en) 2019-07-02 2022-02-01 Asml荷兰有限公司 Metrology method and associated metrology and lithographic apparatus
US11897079B2 (en) 2019-08-13 2024-02-13 Applied Materials, Inc. Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
CN110473798B (en) 2019-08-19 2021-10-19 上海华力微电子有限公司 Method for detecting ultra-small-size defects on wafer surface
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
CN110567985B (en) * 2019-10-14 2021-10-08 重庆大学 Self-adaptive gear pitting quantitative evaluation and detection device based on deep learning
US10895727B1 (en) 2019-10-19 2021-01-19 SequLITE Genomics US, Inc. Microscope for locating structures on the inner surface of a fluidic channel
JP7176131B2 (en) * 2019-10-30 2022-11-21 長江存儲科技有限責任公司 Method for calibrating particle beam perpendicularity and system applied to semiconductor manufacturing process
CN110927170B (en) * 2019-12-04 2022-03-08 中国工程物理研究院激光聚变研究中心 Defect determination method, device and system
TWI721720B (en) * 2019-12-19 2021-03-11 由田新技股份有限公司 Light source device and optical inspection system
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN111398175B (en) * 2020-03-05 2021-09-07 南京大学 Acoustic velocity self-adaptive photoacoustic-ultrasonic bimodal microscope imaging method
KR20220142476A (en) * 2020-03-13 2022-10-21 에이에스엠엘 네델란즈 비.브이. Leveling sensors in multi-charged particle beam inspection
WO2021231427A1 (en) 2020-05-14 2021-11-18 Applied Materials, Inc. Technique for training neural network for use in in-situ monitoring during polishing and polishing system
WO2021239516A1 (en) * 2020-05-26 2021-12-02 Saint-Gobain Glass France Method for estimating a quality function of a mono- or multi-layered coated transparent substrate
KR20220114089A (en) 2020-06-24 2022-08-17 어플라이드 머티어리얼스, 인코포레이티드 Determination of Substrate Layer Thickness Using Polishing Pad Wear Compensation
US11826872B2 (en) 2020-06-29 2023-11-28 Applied Materials, Inc. Temperature and slurry flow rate control in CMP
US11833637B2 (en) 2020-06-29 2023-12-05 Applied Materials, Inc. Control of steam generation for chemical mechanical polishing
US11577358B2 (en) 2020-06-30 2023-02-14 Applied Materials, Inc. Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing
EP3970898A1 (en) * 2020-09-18 2022-03-23 Laser Systems & Solutions of Europe System and method to minimize irradiation non uniformity
JPWO2022059202A1 (en) 2020-09-18 2022-03-24
US20230273253A1 (en) * 2020-09-29 2023-08-31 Hitachi High-Tech Corporation Semiconductor inspection device and method for inspecting semiconductor sample
TWI759913B (en) * 2020-10-16 2022-04-01 天虹科技股份有限公司 Detection system and method of film thickness of atomic layer deposition
US11487848B2 (en) 2021-01-29 2022-11-01 Applied Materials, Inc. Process abnormality identification using measurement violation analysis
DE102021206564A1 (en) * 2021-06-24 2022-12-29 Carl Zeiss Smt Gmbh ENDPOINT DETERMINATION BY INDUCED DESORPTION OF GASES AND RECOVERING ANALYSIS
CN113777048B (en) * 2021-08-11 2023-07-25 华中科技大学 Coaxial ultrafast spectrum ellipsometer and measurement method
US11747269B2 (en) 2021-11-09 2023-09-05 Warsaw Orthopedic, Inc. Systems and methods for identifying a coating on an implant
US20230195060A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Substrate support characterization to build a digital twin

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3946484A (en) * 1973-02-05 1976-03-30 International Business Machines Corporation Continuous processing system
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) * 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4511800A (en) * 1983-03-28 1985-04-16 Rca Corporation Optical reflectance method for determining the surface roughness of materials in semiconductor processing
US4571685A (en) * 1982-06-23 1986-02-18 Nec Corporation Production system for manufacturing semiconductor devices
US4578589A (en) * 1983-08-15 1986-03-25 Applied Materials, Inc. Apparatus and methods for ion implantation
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4579463A (en) * 1984-05-21 1986-04-01 Therma-Wave Partners Detecting thermal waves to evaluate thermal parameters
US4587432A (en) * 1984-08-03 1986-05-06 Applied Materials, Inc. Apparatus for ion implantation
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4644172A (en) * 1984-02-22 1987-02-17 Kla Instruments Corporation Electronic control of an automatic wafer inspection system
US4645929A (en) * 1984-01-31 1987-02-24 Siemens Aktiengesellschaft Method and apparatus for the compensation of charges in secondary ion mass spectrometry (SIMS) of specimens exhibiting poor electrical conductivity
US4733091A (en) * 1984-09-19 1988-03-22 Applied Materials, Inc. Systems and methods for ion implantation of semiconductor wafers
US4743767A (en) * 1985-09-09 1988-05-10 Applied Materials, Inc. Systems and methods for ion implantation
US4805123A (en) * 1986-07-14 1989-02-14 Kla Instruments Corporation Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4807994A (en) * 1987-11-19 1989-02-28 Varian Associates, Inc. Method of mapping ion implant dose uniformity
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4898471A (en) * 1987-06-18 1990-02-06 Tencor Instruments Particle detection on patterned wafers and the like
US4899055A (en) * 1988-05-12 1990-02-06 Tencor Instruments Thin film thickness measuring method
US4905170A (en) * 1987-11-12 1990-02-27 Forouhi Abdul R Method and apparatus of determining optical constants of amorphous semiconductors and dielectrics
US4912326A (en) * 1987-09-18 1990-03-27 Jeol Ltd. Direct imaging type SIMS instrument
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US4999578A (en) * 1988-01-20 1991-03-12 Nec Home Electronics Ltd. Function inspecting system
US5181080A (en) * 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5182455A (en) * 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
US5401316A (en) * 1992-10-15 1995-03-28 Tokyo Electron Limited Method and apparatus for hydrophobic treatment
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5596411A (en) * 1994-10-21 1997-01-21 Therma-Wave, Inc. Integrated spectroscopic ellipsometer
US5596406A (en) * 1993-07-16 1997-01-21 Therma-Wave, Inc. Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
US5604344A (en) * 1994-10-10 1997-02-18 Nova Measuring Instruments Ltd. Autofocussing microscope having a pattern imaging system
US5604585A (en) * 1995-03-31 1997-02-18 Tencor Instruments Particle detection system employing a subsystem for collecting scattered light from the particles
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5730642A (en) * 1993-08-25 1998-03-24 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical montoring
US5737072A (en) * 1991-08-22 1998-04-07 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5740226A (en) * 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5864394A (en) * 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
US5867590A (en) * 1995-01-11 1999-02-02 Nova Measuring Instruments, Ltd. Method and apparatus for determining a location on a surface of an object
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5883374A (en) * 1997-03-27 1999-03-16 Advanced Micro Devices, Inc. Scanning system for identifying wafers in semiconductor process tool chambers
US5883710A (en) * 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5887085A (en) * 1994-09-07 1999-03-23 Rohm Co., Ltd. Image processing device
US5886355A (en) * 1991-05-14 1999-03-23 Applied Materials, Inc. Ion implantation apparatus having increased source lifetime
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5896294A (en) * 1997-03-11 1999-04-20 Advanced Micro Devices, Inc. Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring
US6012966A (en) * 1996-05-10 2000-01-11 Canon Kabushiki Kaisha Precision polishing apparatus with detecting means
US6020214A (en) * 1997-07-18 2000-02-01 Nec Corporation Method for manufacturing thin film transistor array substrate
US6020957A (en) * 1998-04-30 2000-02-01 Kla-Tencor Corporation System and method for inspecting semiconductor wafers
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6025918A (en) * 1998-07-07 2000-02-15 Brown University Research Foundation Apparatus and method for measurement of the mechanical properties and electromigration of thin films
US6038029A (en) * 1998-03-05 2000-03-14 Nova Measuring Instruments, Ltd. Method and apparatus for alignment of a wafer
US6040198A (en) * 1995-11-30 2000-03-21 Fujitsu Limited Element concentration measuring method and apparatus, and semiconductor device fabrication method and apparatus
US6039848A (en) * 1995-07-10 2000-03-21 Cvc Products, Inc. Ultra-high vacuum apparatus and method for high productivity physical vapor deposition.
US6045433A (en) * 1995-05-23 2000-04-04 Nova Measuring Instruments, Ltd. Apparatus for optical inspection of wafers during polishing
US6046094A (en) * 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US6052478A (en) * 1991-08-22 2000-04-18 Kla-Tencor Corporation Automated photomask inspection apparatus
US6052188A (en) * 1998-07-08 2000-04-18 Verity Instruments, Inc. Spectroscopic ellipsometer
US6052185A (en) * 1997-06-30 2000-04-18 Active Impulse Systems Inc. Method and apparatus for measuring the concentration of ions implanted in semiconductor materials
US6172349B1 (en) * 1997-03-31 2001-01-09 Kla-Tencor Corporation Autofocusing apparatus and method for high resolution microscope system
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6175416B1 (en) * 1996-08-06 2001-01-16 Brown University Research Foundation Optical stress generator and detector
US6174743B1 (en) * 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6175421B1 (en) * 1997-06-30 2001-01-16 Active Impulse Systems Method and apparatus for measuring material properties using transient-grating spectroscopy
US6177330B1 (en) * 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US6178257B1 (en) * 1990-11-16 2001-01-23 Applied Materials, Inc. Substrate inspection method and apparatus
US6179709B1 (en) * 1999-02-04 2001-01-30 Applied Materials, Inc. In-situ monitoring of linear substrate polishing operations
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6188478B1 (en) * 1998-10-21 2001-02-13 Philips Electronics North America Corporation Method and apparatus for film-thickness measurements
US6191855B1 (en) * 1998-07-07 2001-02-20 Brown University Research Foundation Apparatus and method for the determination of grain size in thin films
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6191846B1 (en) * 1997-07-03 2001-02-20 Therma-Wave, Inc. Apparatus for evaluating metalized layers on semiconductors
US6199157B1 (en) * 1998-03-30 2001-03-06 Applied Materials, Inc. System, method and medium for managing information
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6201998B1 (en) * 1996-05-28 2001-03-13 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US6201601B1 (en) * 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US6204917B1 (en) * 1998-09-22 2001-03-20 Kla-Tencor Corporation Backside contamination inspection device
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6211961B1 (en) * 1995-08-25 2001-04-03 Brown University Research Foundation Optical method for the characterization of the electrical properties of semiconductors and insulating films
US6212691B1 (en) * 1999-08-04 2001-04-10 Michael S. Heberer Portable urinal for tree stand or other elevated platform
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US20020017619A1 (en) * 1997-02-12 2002-02-14 Hiroshi Hirose Processing/observing instrument

Family Cites Families (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US158193A (en) * 1874-12-29 Improvement in buckets for chain-pumps
US18217A (en) * 1857-09-15 Improvement in ball-cartridges
US192577A (en) * 1877-07-03 Improvement in wringer-rolls
US149782A (en) * 1874-04-14 Improvement in furniture-casters
US3957376A (en) 1974-01-25 1976-05-18 International Business Machines Corporation Measuring method and system using a diffraction pattern
US4015366A (en) 1975-04-11 1977-04-05 Advanced Decision Handling, Inc. Highly automated agricultural production system
DE2627609A1 (en) 1976-06-19 1977-12-29 Ibm Deutschland INTERFEROMETRIC PROCEDURE
US4147435A (en) * 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4255971A (en) * 1978-11-01 1981-03-17 Allan Rosencwaig Thermoacoustic microscopy
US4232063A (en) 1978-11-14 1980-11-04 Applied Materials, Inc. Chemical vapor deposition reactor and process
US4468120A (en) * 1981-02-04 1984-08-28 Nippon Kogaku K.K. Foreign substance inspecting apparatus
JPS58131557A (en) 1982-01-12 1983-08-05 Nippon Steel Corp Non-contact measuring method for ultrasonic wave
JPS58120155A (en) * 1982-01-12 1983-07-16 Hitachi Ltd Detecting device for extraneous substance on reticle
US4559450A (en) 1982-08-06 1985-12-17 Unisearch Limited Quantitative compositional analyser for use with scanning electron microscopes
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4538909A (en) * 1983-05-24 1985-09-03 Automation Engineering, Inc. Circuit board inspection apparatus and method
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4601576A (en) 1983-12-09 1986-07-22 Tencor Instruments Light collector for optical contaminant and flaw detector
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4631416A (en) 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4595289A (en) * 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
US4618938A (en) 1984-02-22 1986-10-21 Kla Instruments Corporation Method and apparatus for automatic wafer inspection
US4556317A (en) 1984-02-22 1985-12-03 Kla Instruments Corporation X-Y Stage for a patterned wafer automatic inspection system
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US6086737A (en) 1984-03-29 2000-07-11 Li-Cor, Inc. Sequencing near infrared and infrared fluorescence labeled DNA for detecting using laser diodes and suitable labels therefor
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
EP0200301A1 (en) 1985-03-01 1986-11-05 Therma-Wave Inc. Method and apparatus for evaluating surface and subsurface features in a semiconductor
US4656358A (en) 1985-03-12 1987-04-07 Optoscan Corporation Laser-based wafer measuring system
US4902131A (en) * 1985-03-28 1990-02-20 Kabushiki Kaisha Toshiba Surface inspection method and apparatus therefor
US4710030A (en) 1985-05-17 1987-12-01 Bw Brown University Research Foundation Optical generator and detector of stress pulses
US4886975A (en) 1986-02-14 1989-12-12 Canon Kabushiki Kaisha Surface examining apparatus for detecting the presence of foreign particles on two or more surfaces
US4750822A (en) 1986-03-28 1988-06-14 Therma-Wave, Inc. Method and apparatus for optically detecting surface states in materials
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4922308A (en) * 1986-06-27 1990-05-01 Hitachi, Ltd. Method of and apparatus for detecting foreign substance
JPS6367549A (en) * 1986-09-10 1988-03-26 Pioneer Electronic Corp Defect inspecting and film thickness measuring instrument for resist original disk
US4770536A (en) 1986-12-04 1988-09-13 Moshe Golberstein Reflective photometry instrument
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4889998A (en) * 1987-01-29 1989-12-26 Nikon Corporation Apparatus with four light detectors for checking surface of mask with pellicle
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US5327221A (en) 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US4875780A (en) 1988-02-25 1989-10-24 Eastman Kodak Company Method and apparatus for inspecting reticles
FR2640040B1 (en) 1988-12-05 1994-10-28 Micro Controle OPTICAL MEASUREMENT METHOD AND DEVICE
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
JP2704002B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection method
US5074669A (en) 1989-12-12 1991-12-24 Therma-Wave, Inc. Method and apparatus for evaluating ion implant dosage levels in semiconductors
US5053704A (en) * 1990-01-11 1991-10-01 Pri Instrumentation, Inc. Flow imager for conductive materials
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5023424A (en) 1990-01-22 1991-06-11 Tencor Instruments Shock wave particle removal method and apparatus
US5123743A (en) * 1990-02-28 1992-06-23 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Lithography mask inspection
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5047648A (en) 1990-04-20 1991-09-10 Applied Materials, Inc. Method and apparatus for detecting particles in ion implantation machines
US5043589A (en) 1990-05-18 1991-08-27 Trigon/Adcotech Semiconductor device inspection apparatus using a plurality of reflective elements
US5076692A (en) 1990-05-31 1991-12-31 Tencor Instruments Particle detection on a patterned or bare wafer surface
US5131752A (en) * 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5216257A (en) 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5293216A (en) * 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
US5226118A (en) * 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5317380A (en) * 1991-02-19 1994-05-31 Inspex, Inc. Particle detection method and apparatus
JP2933736B2 (en) * 1991-02-28 1999-08-16 キヤノン株式会社 Surface condition inspection device
JP3336436B2 (en) 1991-04-02 2002-10-21 株式会社ニコン Lithography system, information collecting apparatus, exposure apparatus, and semiconductor device manufacturing method
US5377006A (en) * 1991-05-20 1994-12-27 Hitachi, Ltd. Method and apparatus for detecting photoacoustic signal
US5216487A (en) * 1991-05-22 1993-06-01 Site Services, Inc. Transmissive system for characterizing materials containing photoreactive constituents
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5124640A (en) * 1991-09-05 1992-06-23 The United States Of Americas As Represented By The Administrator Of The National Aeronautics & Space Administration Method for advanced material characterization by laser induced eddy current imaging
US5298975A (en) * 1991-09-27 1994-03-29 International Business Machines Corporation Combined scanning force microscope and optical metrology tool
US5451859A (en) 1991-09-30 1995-09-19 Sgs-Thomson Microelectronics, Inc. Linear transconductors
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
JP2888001B2 (en) 1992-01-09 1999-05-10 日本電気株式会社 Metal plating equipment
US5264912A (en) 1992-02-07 1993-11-23 Tencor Instruments Speckle reduction track filter apparatus for optical inspection of patterned substrates
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5264826A (en) 1992-04-01 1993-11-23 Steven Henderson Motorcycle signaller
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US5812261A (en) * 1992-07-08 1998-09-22 Active Impulse Systems, Inc. Method and device for measuring the thickness of opaque and transparent films
JPH06174428A (en) * 1992-12-10 1994-06-24 Nikon Corp Size measuring device
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
US5316984A (en) 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
KR100324792B1 (en) 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP3082516B2 (en) 1993-05-31 2000-08-28 キヤノン株式会社 Optical displacement sensor and drive system using the optical displacement sensor
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
JP3253177B2 (en) * 1993-06-15 2002-02-04 キヤノン株式会社 Surface condition inspection device
US5479252A (en) * 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
US5355212A (en) 1993-07-19 1994-10-11 Tencor Instruments Process for inspecting patterned wafers
US5537669A (en) 1993-09-30 1996-07-16 Kla Instruments Corporation Inspection method and apparatus for the inspection of either random or repeating patterns
US5764365A (en) * 1993-11-09 1998-06-09 Nova Measuring Instruments, Ltd. Two-dimensional beam deflector
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
JP2856666B2 (en) * 1993-12-28 1999-02-10 大日本スクリーン製造株式会社 Method for measuring insulating film thickness of semiconductor wafer
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5516608A (en) 1994-02-28 1996-05-14 International Business Machines Corporation Method for controlling a line dimension arising in photolithographic processes
TW299559B (en) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3258821B2 (en) * 1994-06-02 2002-02-18 三菱電機株式会社 Method for positioning and analyzing minute foreign matter, analyzer used for the method, and method for manufacturing semiconductor element or liquid crystal display element using the same
US5529671A (en) * 1994-07-27 1996-06-25 Litton Systems, Inc. Apparatus and method for ion beam polishing and for in-situ ellipsometric deposition of ion beam films
US5565979A (en) 1994-11-04 1996-10-15 Tencor Instruments Surface scanning apparatus and method using crossed-cylinder optical elements
US5699156A (en) 1994-11-23 1997-12-16 Carver; David R. Spectrophotometer apparatus with dual light sources and optical paths, fiber optic pick-up and sample cell therefor
JPH08162383A (en) * 1994-11-30 1996-06-21 Sony Corp Pattern for evaluating registration accuracy and evaluation method by use thereof
US5520769A (en) 1994-12-07 1996-05-28 Advanced Micro Devices, Inc. Method for measuring concentration of dopant within a semiconductor substrate
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5633747A (en) 1994-12-21 1997-05-27 Tencor Instruments Variable spot-size scanning apparatus
US5948972A (en) * 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
JPH08233555A (en) 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd Method and apparatus for measuring resist pattern
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
IL112313A (en) 1995-01-11 1999-08-17 Nova Measuring Instr Ltd Method and apparatus for determining a location on a surface of an object
US6734967B1 (en) * 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US6118525A (en) * 1995-03-06 2000-09-12 Ade Optical Systems Corporation Wafer inspection system for distinguishing pits and particles
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US5574278A (en) 1995-05-23 1996-11-12 The United States Of America As Represented By The Secretary Of Commerce Atomic force microscope using piezoelectric detection
US5581350A (en) 1995-06-06 1996-12-03 Tencor Instruments Method and system for calibrating an ellipsometer
US6288780B1 (en) * 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
WO1996039619A1 (en) 1995-06-06 1996-12-12 Kla Instruments Corporation Optical inspection of a specimen using multi-channel responses from the specimen
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
GB9515090D0 (en) 1995-07-21 1995-09-20 Applied Materials Inc An ion beam apparatus
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5605760A (en) * 1995-08-21 1997-02-25 Rodel, Inc. Polishing pads
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
US5825482A (en) 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
US5790247A (en) * 1995-10-06 1998-08-04 Photon Dynamics, Inc. Technique for determining defect positions in three dimensions in a transparent structure
US5739909A (en) * 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5654903A (en) * 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5907764A (en) 1995-11-13 1999-05-25 Advanced Micro Devices, Inc. In-line detection and assessment of net charge in PECVD silicon dioxide (oxide) layers
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5748318A (en) * 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5872632A (en) * 1996-02-02 1999-02-16 Moore Epitaxial, Inc. Cluster tool layer thickness measurement apparatus
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
US5798829A (en) 1996-03-05 1998-08-25 Kla-Tencor Corporation Single laser bright field and dark field system for detecting anomalies of a sample
US5641969A (en) 1996-03-28 1997-06-24 Applied Materials, Inc. Ion implantation apparatus
US5844684A (en) 1997-02-28 1998-12-01 Brown University Research Foundation Optical method for determining the mechanical properties of a material
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
JP3329685B2 (en) * 1996-05-16 2002-09-30 株式会社東芝 Measuring device and measuring method
US5798529A (en) * 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
AU3376597A (en) 1996-06-04 1998-01-05 Tencor Instruments Optical scanning system for surface inspection
US5912732A (en) * 1996-07-05 1999-06-15 Kabushiki Kaisha Topcon Surface detecting apparatus
US6064517A (en) 1996-07-22 2000-05-16 Kla-Tencor Corporation High NA system for multiple mode imaging
JP3220383B2 (en) 1996-07-23 2001-10-22 東京エレクトロン株式会社 Plasma processing apparatus and method
US5652654A (en) 1996-08-12 1997-07-29 Asimopoulos; George Dual beam spectrophotometer
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6025962A (en) * 1996-09-12 2000-02-15 Nikon Corporation Zoom lens with an anti-vibration function
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
DE19641981C2 (en) 1996-10-11 2000-12-07 A Benninghoven Procedure for the determination of depth profiles in the thin film area
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6061180A (en) * 1996-10-29 2000-05-09 Canon Kabushiki Kaisha Zoom lens
US5917588A (en) 1996-11-04 1999-06-29 Kla-Tencor Corporation Automated specimen inspection system for and method of distinguishing features or anomalies under either bright field or dark field illumination
US6075883A (en) * 1996-11-12 2000-06-13 Robotic Vision Systems, Inc. Method and system for imaging an object or pattern
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5764353A (en) * 1996-11-29 1998-06-09 Seh America, Inc. Back side damage monitoring system
JPH10172792A (en) 1996-12-05 1998-06-26 Tokyo Electron Ltd Plasma processing device
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
JP3500264B2 (en) 1997-01-29 2004-02-23 株式会社日立製作所 Sample analyzer
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
SG71082A1 (en) 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
US6097205A (en) 1997-02-14 2000-08-01 Semitest, Inc. Method and apparatus for characterizing a specimen of semiconductor material
EP1016126B1 (en) * 1997-03-31 2018-12-26 Nanometrics Incorporated Optical inspection module and method for detecting particles and defects on substrates in integrated process tools
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
GB2325561B (en) 1997-05-20 2001-10-17 Applied Materials Inc Apparatus for and methods of implanting desired chemical species in semiconductor substrates
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
DE19724265A1 (en) 1997-06-09 1998-12-10 Atomika Instr Gmbh Secondary ion mass spectrometer with shadow mask
US5882465A (en) * 1997-06-18 1999-03-16 Caliper Technologies Corp. Method of manufacturing microfluidic devices
US6356097B1 (en) 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
US5954940A (en) * 1997-06-30 1999-09-21 American Air Liquide Inc. Method for measuring coating quality
US6083363A (en) 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US5798837A (en) 1997-07-11 1998-08-25 Therma-Wave, Inc. Thin film optical measurement system and method with calibrating ellipsometer
US5959812A (en) 1997-07-25 1999-09-28 Imation Corp. Fringe field compensation system for multi-track servo recording head
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US5982482A (en) 1997-07-31 1999-11-09 Massachusetts Institute Of Technology Determining the presence of defects in thin film structures
US6134011A (en) * 1997-09-22 2000-10-17 Hdi Instrumentation Optical measurement system using polarized light
US5914784A (en) 1997-09-30 1999-06-22 International Business Machines Corporation Measurement method for linewidth metrology
US5973864A (en) 1997-10-21 1999-10-26 Trustees Of Princeton University High-finesse optical resonator for cavity ring-down spectroscopy based upon Brewster's angle prism retroreflectors
US6060715A (en) 1997-10-31 2000-05-09 Applied Materials, Inc. Method and apparatus for ion beam scanning in an ion implanter
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5973323A (en) 1997-11-05 1999-10-26 Kla-Tencor Corporation Apparatus and method for secondary electron emission microscope
JP3274396B2 (en) * 1997-11-07 2002-04-15 株式会社東芝 Pattern measurement method
US6301011B1 (en) * 1997-11-07 2001-10-09 Xerox Corporation Dynamic plug and play interface for output device
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6256092B1 (en) * 1997-11-28 2001-07-03 Hitachi, Ltd. Defect inspection apparatus for silicon wafer
JPH11241908A (en) 1997-12-03 1999-09-07 Canon Inc Position detecting apparatus and manufacture of device employing the same
US5936734A (en) * 1997-12-23 1999-08-10 J.A. Woollam Co. Inc. Analysis of partially polarized electromagnetic radiation in ellipsometer and polarimeter systems
JP3744176B2 (en) * 1998-01-30 2006-02-08 株式会社Sumco Inspection method and apparatus for semiconductor wafer
US5985497A (en) 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6108087A (en) 1998-02-24 2000-08-22 Kla-Tencor Corporation Non-contact system for measuring film thickness
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
FR2776375B1 (en) * 1998-03-18 2000-05-12 Sgs Thomson Microelectronics CHARACTERIZATION METHOD OF AN ION IMPLANTATION PROCESS
US5917594A (en) 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US5935397A (en) 1998-04-30 1999-08-10 Rockwell Semiconductor Systems, Inc. Physical vapor deposition chamber
US6248988B1 (en) * 1998-05-05 2001-06-19 Kla-Tencor Corporation Conventional and confocal multi-spot scanning optical microscope
US6080287A (en) 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6049220A (en) * 1998-06-10 2000-04-11 Boxer Cross Incorporated Apparatus and method for evaluating a wafer of semiconductor material
US6054868A (en) * 1998-06-10 2000-04-25 Boxer Cross Incorporated Apparatus and method for measuring a property of a layer in a multilayered structure
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
US5943122A (en) 1998-07-10 1999-08-24 Nanometrics Incorporated Integrated optical measurement instruments
EP0973069A3 (en) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Monitoring apparatus and method particularly useful in photolithographically processing substrates
IL125337A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
US6193199B1 (en) * 1998-07-15 2001-02-27 Nanomotion, Inc. Sample stage including a slider assembly
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6404048B2 (en) * 1998-09-03 2002-06-11 Micron Technology, Inc. Heat dissipating microelectronic package
US6194718B1 (en) 1998-09-23 2001-02-27 Applied Materials, Inc. Method for reducing aliasing effects in scanning beam microscopy
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
JP2002528895A (en) * 1998-10-20 2002-09-03 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method of manufacturing a semiconductor device on a silicon substrate having on its surface a lattice and at least partially a recessed oxide pattern
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6157032A (en) * 1998-11-04 2000-12-05 Schlumberger Technologies, Inc. Sample shape determination by measurement of surface slope with a scanning electron microscope
US6031614A (en) * 1998-12-02 2000-02-29 Siemens Aktiengesellschaft Measurement system and method for measuring critical dimensions using ellipsometry
JP2000227326A (en) * 1998-12-02 2000-08-15 Nikon Corp Flatness measuring device
DE19859877A1 (en) 1998-12-23 2000-06-29 Robert Magerle Nanotomography
US6124924A (en) 1998-12-24 2000-09-26 Applied Materials, Inc. Focus error correction method and apparatus
US6256097B1 (en) * 1999-01-08 2001-07-03 Rudolph Technologies, Inc. Ellipsometer and ellipsometry method
US6190234B1 (en) 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US6791310B2 (en) * 1999-03-15 2004-09-14 Therma-Wave, Inc. Systems and methods for improved metrology using combined optical and electrical measurements
US6084679A (en) 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
US6198529B1 (en) * 1999-04-30 2001-03-06 International Business Machines Corporation Automated inspection system for metallic surfaces
US6268916B1 (en) * 1999-05-11 2001-07-31 Kla-Tencor Corporation System for non-destructive measurement of samples
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6381009B1 (en) * 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6258610B1 (en) * 1999-07-02 2001-07-10 Agere Systems Guardian Corp. Method analyzing a semiconductor surface using line width metrology with auto-correlation operation
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6250143B1 (en) * 1999-07-16 2001-06-26 Agere Systems Guardian Corp. Method of mapping a surface using a probe for stylus nanoprofilometry having a non-circular cross-section
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6478931B1 (en) * 1999-08-06 2002-11-12 University Of Virginia Patent Foundation Apparatus and method for intra-layer modulation of the material deposition and assist beam and the multilayer structure produced therefrom
US6266144B1 (en) 1999-08-26 2001-07-24 Taiwan Semiconductor Manufacturing Company Stepper and scanner new exposure sequence with intra-field correction
US6545275B1 (en) 1999-09-03 2003-04-08 Applied Materials, Inc. Beam evaluation
US6521891B1 (en) 1999-09-03 2003-02-18 Applied Materials, Inc. Focusing method and system
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US6707544B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6099705A (en) 1999-09-08 2000-08-08 United Microelectronics Corp. Physical vapor deposition device for forming a uniform metal layer on a semiconductor wafer
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
KR20010101550A (en) * 1999-11-25 2001-11-14 기시모토 마사도시 Defect inspection data processing system
US6433541B1 (en) * 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US6472238B1 (en) * 2000-02-09 2002-10-29 Therma-Wave, Inc. Evaluation of etching processes in semiconductors
US6262600B1 (en) * 2000-02-14 2001-07-17 Analog Devices, Inc. Isolator for transmitting logic signals across an isolation barrier
US6408048B2 (en) * 2000-03-14 2002-06-18 Therma-Wave, Inc. Apparatus for analyzing samples using combined thermal wave and X-ray reflectance measurements
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6759255B2 (en) * 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US6462817B1 (en) 2000-05-12 2002-10-08 Carlos Strocchia-Rivera Method of monitoring ion implants by examination of an overlying masking material
US6515287B2 (en) * 2000-06-15 2003-02-04 Kla-Tencor Technologies Corporation Sectored magnetic lens and method of use
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6532070B1 (en) * 2000-07-17 2003-03-11 Therma-Wave, Inc. Method for determining ion concentration and energy of shallow junction implants
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6694284B1 (en) * 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6304999B1 (en) * 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US7072034B2 (en) * 2001-06-08 2006-07-04 Kla-Tencor Corporation Systems and methods for inspection of specimen surfaces
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
KR100536646B1 (en) 2001-03-02 2005-12-14 액센트 옵티칼 테크놀로지스 인코포레이티드 Line profile asymmetry measurement using scatterometry
US6650422B2 (en) * 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US6383824B1 (en) * 2001-04-25 2002-05-07 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control deposition processes
DE10120701A1 (en) * 2001-04-27 2002-10-31 Infineon Technologies Ag Method for controlling a process device for the sequential processing of semiconductor wafers
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6458605B1 (en) * 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
US6597447B1 (en) * 2001-07-31 2003-07-22 Advanced Micro Devices, Inc. Method and apparatus for periodic correction of metrology data
US6670808B2 (en) * 2001-08-27 2003-12-30 General Electric Company Self reference eddy current probe, measurement system, and measurement method
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
US7236847B2 (en) * 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US7359045B2 (en) * 2002-05-06 2008-04-15 Applied Materials, Israel, Ltd. High speed laser scanning inspection system
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
US6950190B2 (en) * 2003-01-09 2005-09-27 Therma-Wave, Inc. Scatterometry for junction metrology
JP2005150124A (en) * 2003-11-11 2005-06-09 Matsushita Electric Ind Co Ltd Semiconductor manufacturing device
US7200498B2 (en) * 2004-05-26 2007-04-03 Texas Instruments Incorporated System for remediating cross contamination in semiconductor manufacturing processes

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3946484A (en) * 1973-02-05 1976-03-30 International Business Machines Corporation Continuous processing system
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) * 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4571685A (en) * 1982-06-23 1986-02-18 Nec Corporation Production system for manufacturing semiconductor devices
US4511800A (en) * 1983-03-28 1985-04-16 Rca Corporation Optical reflectance method for determining the surface roughness of materials in semiconductor processing
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4578589A (en) * 1983-08-15 1986-03-25 Applied Materials, Inc. Apparatus and methods for ion implantation
US4645929A (en) * 1984-01-31 1987-02-24 Siemens Aktiengesellschaft Method and apparatus for the compensation of charges in secondary ion mass spectrometry (SIMS) of specimens exhibiting poor electrical conductivity
US4644172A (en) * 1984-02-22 1987-02-17 Kla Instruments Corporation Electronic control of an automatic wafer inspection system
US4579463A (en) * 1984-05-21 1986-04-01 Therma-Wave Partners Detecting thermal waves to evaluate thermal parameters
US4587432A (en) * 1984-08-03 1986-05-06 Applied Materials, Inc. Apparatus for ion implantation
US4733091A (en) * 1984-09-19 1988-03-22 Applied Materials, Inc. Systems and methods for ion implantation of semiconductor wafers
US4743767A (en) * 1985-09-09 1988-05-10 Applied Materials, Inc. Systems and methods for ion implantation
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4805123A (en) * 1986-07-14 1989-02-14 Kla Instruments Corporation Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4805123B1 (en) * 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4898471A (en) * 1987-06-18 1990-02-06 Tencor Instruments Particle detection on patterned wafers and the like
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4912326A (en) * 1987-09-18 1990-03-27 Jeol Ltd. Direct imaging type SIMS instrument
US4905170A (en) * 1987-11-12 1990-02-27 Forouhi Abdul R Method and apparatus of determining optical constants of amorphous semiconductors and dielectrics
US4807994A (en) * 1987-11-19 1989-02-28 Varian Associates, Inc. Method of mapping ion implant dose uniformity
US4999578A (en) * 1988-01-20 1991-03-12 Nec Home Electronics Ltd. Function inspecting system
US4899055A (en) * 1988-05-12 1990-02-06 Tencor Instruments Thin film thickness measuring method
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5182455A (en) * 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US6178257B1 (en) * 1990-11-16 2001-01-23 Applied Materials, Inc. Substrate inspection method and apparatus
US5886355A (en) * 1991-05-14 1999-03-23 Applied Materials, Inc. Ion implantation apparatus having increased source lifetime
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US6052478A (en) * 1991-08-22 2000-04-18 Kla-Tencor Corporation Automated photomask inspection apparatus
US5737072A (en) * 1991-08-22 1998-04-07 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5181080A (en) * 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5401316A (en) * 1992-10-15 1995-03-28 Tokyo Electron Limited Method and apparatus for hydrophobic treatment
US5596406A (en) * 1993-07-16 1997-01-21 Therma-Wave, Inc. Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
US5730642A (en) * 1993-08-25 1998-03-24 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical montoring
US5864394A (en) * 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
US5887085A (en) * 1994-09-07 1999-03-23 Rohm Co., Ltd. Image processing device
US5604344A (en) * 1994-10-10 1997-02-18 Nova Measuring Instruments Ltd. Autofocussing microscope having a pattern imaging system
US5596411A (en) * 1994-10-21 1997-01-21 Therma-Wave, Inc. Integrated spectroscopic ellipsometer
US5883710A (en) * 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US6215551B1 (en) * 1994-12-08 2001-04-10 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5867590A (en) * 1995-01-11 1999-02-02 Nova Measuring Instruments, Ltd. Method and apparatus for determining a location on a surface of an object
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US5604585A (en) * 1995-03-31 1997-02-18 Tencor Instruments Particle detection system employing a subsystem for collecting scattered light from the particles
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US6045433A (en) * 1995-05-23 2000-04-04 Nova Measuring Instruments, Ltd. Apparatus for optical inspection of wafers during polishing
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US6039848A (en) * 1995-07-10 2000-03-21 Cvc Products, Inc. Ultra-high vacuum apparatus and method for high productivity physical vapor deposition.
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US6211961B1 (en) * 1995-08-25 2001-04-03 Brown University Research Foundation Optical method for the characterization of the electrical properties of semiconductors and insulating films
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5740226A (en) * 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
US6040198A (en) * 1995-11-30 2000-03-21 Fujitsu Limited Element concentration measuring method and apparatus, and semiconductor device fabrication method and apparatus
US6208421B1 (en) * 1996-01-23 2001-03-27 Brown University Research Foundation Optical stress generator and detector
US6012966A (en) * 1996-05-10 2000-01-11 Canon Kabushiki Kaisha Precision polishing apparatus with detecting means
US6201998B1 (en) * 1996-05-28 2001-03-13 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US6046094A (en) * 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US6175416B1 (en) * 1996-08-06 2001-01-16 Brown University Research Foundation Optical stress generator and detector
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US20020017619A1 (en) * 1997-02-12 2002-02-14 Hiroshi Hirose Processing/observing instrument
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5896294A (en) * 1997-03-11 1999-04-20 Advanced Micro Devices, Inc. Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring
US5883374A (en) * 1997-03-27 1999-03-16 Advanced Micro Devices, Inc. Scanning system for identifying wafers in semiconductor process tool chambers
US6172349B1 (en) * 1997-03-31 2001-01-09 Kla-Tencor Corporation Autofocusing apparatus and method for high resolution microscope system
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6052185A (en) * 1997-06-30 2000-04-18 Active Impulse Systems Inc. Method and apparatus for measuring the concentration of ions implanted in semiconductor materials
US6175421B1 (en) * 1997-06-30 2001-01-16 Active Impulse Systems Method and apparatus for measuring material properties using transient-grating spectroscopy
US6191846B1 (en) * 1997-07-03 2001-02-20 Therma-Wave, Inc. Apparatus for evaluating metalized layers on semiconductors
US6020214A (en) * 1997-07-18 2000-02-01 Nec Corporation Method for manufacturing thin film transistor array substrate
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6201601B1 (en) * 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US6177330B1 (en) * 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6038029A (en) * 1998-03-05 2000-03-14 Nova Measuring Instruments, Ltd. Method and apparatus for alignment of a wafer
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6199157B1 (en) * 1998-03-30 2001-03-06 Applied Materials, Inc. System, method and medium for managing information
US6020957A (en) * 1998-04-30 2000-02-01 Kla-Tencor Corporation System and method for inspecting semiconductor wafers
US6025918A (en) * 1998-07-07 2000-02-15 Brown University Research Foundation Apparatus and method for measurement of the mechanical properties and electromigration of thin films
US6191855B1 (en) * 1998-07-07 2001-02-20 Brown University Research Foundation Apparatus and method for the determination of grain size in thin films
US6208418B1 (en) * 1998-07-07 2001-03-27 Brown University Research Foundation Apparatus and method for measurement of the mechanical properties and electromigration of thin films
US6052188A (en) * 1998-07-08 2000-04-18 Verity Instruments, Inc. Spectroscopic ellipsometer
US6204917B1 (en) * 1998-09-22 2001-03-20 Kla-Tencor Corporation Backside contamination inspection device
US6188478B1 (en) * 1998-10-21 2001-02-13 Philips Electronics North America Corporation Method and apparatus for film-thickness measurements
US6174743B1 (en) * 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6179709B1 (en) * 1999-02-04 2001-01-30 Applied Materials, Inc. In-situ monitoring of linear substrate polishing operations
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6212691B1 (en) * 1999-08-04 2001-04-10 Michael S. Heberer Portable urinal for tree stand or other elevated platform
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7083327B1 (en) * 1999-04-06 2006-08-01 Thermal Wave Imaging, Inc. Method and apparatus for detecting kissing unbond defects
US8179530B2 (en) 2000-09-20 2012-05-15 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US7751046B2 (en) 2000-09-20 2010-07-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US8502979B2 (en) 2000-09-20 2013-08-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US20090301395A1 (en) * 2003-05-27 2009-12-10 Masahiko Sekimoto Plating apparatus and plating method
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US20090053021A1 (en) * 2005-03-29 2009-02-26 Norichika Yamagishi Semiconductor manufacturing apparatus
US20070082582A1 (en) * 2005-10-06 2007-04-12 Industrial Technology Research Institute Apparatus for endpoint detection during polishing
US20110073982A1 (en) * 2007-05-25 2011-03-31 Armstrong J Joseph Inspection system using back side illuminated linear sensor
US20110232734A1 (en) * 2009-05-06 2011-09-29 UltraSolar Technology, Inc. Pyroelectric solar technology apparatus and method
US8288646B2 (en) 2009-05-06 2012-10-16 UltraSolar Technology, Inc. Pyroelectric solar technology apparatus and method
US20130017762A1 (en) * 2011-07-15 2013-01-17 Infineon Technologies Ag Method and Apparatus for Determining a Measure of a Thickness of a Polishing Pad of a Polishing Machine
US8982362B2 (en) 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US20130171744A1 (en) * 2011-12-29 2013-07-04 Samsung Electronics Co., Ltd. Methods of thermally treating a semiconductor wafer
US8854614B2 (en) * 2011-12-29 2014-10-07 Samsung Electronics Co., Ltd. Methods of thermally treating a semiconductor wafer
KR101336946B1 (en) 2012-11-27 2013-12-04 한국기초과학지원연구원 Failure analysis appratus and method using measurement of heat generation distribution
US9857291B2 (en) 2013-05-16 2018-01-02 Kla-Tencor Corporation Metrology system calibration refinement
US10605722B2 (en) 2013-05-16 2020-03-31 Kla-Tencor Corporation Metrology system calibration refinement
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US10883924B2 (en) 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
WO2017042064A1 (en) * 2015-09-07 2017-03-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Assembly for determining the achievable adhesive strength before forming an integral connection to a surface of a join partner
US20180180528A1 (en) * 2015-09-07 2018-06-28 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Arrangement for determining the achievable adhesive strength before forming a connection having material continuity to a surface of a joining partner
US11041798B2 (en) 2015-09-07 2021-06-22 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Arrangement for determining the achievable adhesive strength before forming a connection having material continuity to a surface of a joining partner
US10732129B2 (en) 2018-06-08 2020-08-04 Samasung Electronics Co., Ltd. Apparatus for and method of performing inspection and metrology process

Also Published As

Publication number Publication date
US20020107660A1 (en) 2002-08-08
US20020179864A1 (en) 2002-12-05
US7006235B2 (en) 2006-02-28
EP1319244A1 (en) 2003-06-18
US6917419B2 (en) 2005-07-12
US6806951B2 (en) 2004-10-19
AU2001295060A1 (en) 2002-04-02
US20060072807A1 (en) 2006-04-06
JP2014146817A (en) 2014-08-14
US7196782B2 (en) 2007-03-27
US20020103564A1 (en) 2002-08-01
US7139083B2 (en) 2006-11-21
US6946394B2 (en) 2005-09-20
US20020190207A1 (en) 2002-12-19
JP5980828B2 (en) 2016-08-31
JP2004513509A (en) 2004-04-30
US6891610B2 (en) 2005-05-10
JP5789353B2 (en) 2015-10-07
US6633831B2 (en) 2003-10-14
US20030011786A1 (en) 2003-01-16
JP2016122860A (en) 2016-07-07
US20020179867A1 (en) 2002-12-05
US20040092045A1 (en) 2004-05-13
US20020188417A1 (en) 2002-12-12
US6950196B2 (en) 2005-09-27
US20020102749A1 (en) 2002-08-01
US6917433B2 (en) 2005-07-12
WO2002025708A2 (en) 2002-03-28
US7460981B2 (en) 2008-12-02
US20020180985A1 (en) 2002-12-05
US6829559B2 (en) 2004-12-07
US20020093648A1 (en) 2002-07-18
US20020097406A1 (en) 2002-07-25

Similar Documents

Publication Publication Date Title
US8502979B2 (en) Methods and systems for determining a critical dimension and overlay of a specimen
US6946394B2 (en) Methods and systems for determining a characteristic of a layer formed on a specimen by a deposition process
US6673637B2 (en) Methods and systems for determining a presence of macro defects and overlay of a specimen
US6919957B2 (en) Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6694284B1 (en) Methods and systems for determining at least four properties of a specimen
US6782337B2 (en) Methods and systems for determining a critical dimension an a presence of defects on a specimen
US7130029B2 (en) Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6812045B1 (en) Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US7106425B1 (en) Methods and systems for determining a presence of defects and a thin film characteristic of a specimen
US7349090B2 (en) Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography

Legal Events

Date Code Title Description
AS Assignment

Owner name: KLA-TENCOR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NIKOONAHAD, MEHRDAD;LEVY, ADY;BROWN, KYLE A.;AND OTHERS;REEL/FRAME:014550/0455

Effective date: 20020219

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION