US20040069227A1 - Processing chamber configured for uniform gas flow - Google Patents

Processing chamber configured for uniform gas flow Download PDF

Info

Publication number
US20040069227A1
US20040069227A1 US10/268,438 US26843802A US2004069227A1 US 20040069227 A1 US20040069227 A1 US 20040069227A1 US 26843802 A US26843802 A US 26843802A US 2004069227 A1 US2004069227 A1 US 2004069227A1
Authority
US
United States
Prior art keywords
lid
seal
substrate support
edge ring
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/268,438
Inventor
Vincent Ku
Ling Chen
Howard Grunes
Hua Chung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/268,438 priority Critical patent/US20040069227A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, LING, CHUNG, HUA, KU, VINCENT, GRUNES, HOWARD
Publication of US20040069227A1 publication Critical patent/US20040069227A1/en
Priority to US11/552,727 priority patent/US7422637B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds

Definitions

  • Embodiments of the present invention generally relate to an improved gas delivery apparatus for semiconductor processing.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • VLSI and ULSI ultra large scale integration
  • the multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.
  • Atomic layer deposition is one deposition technique being explored for the deposition of material layers over features having high aspect ratios.
  • One example of atomic layer deposition comprises the sequential introduction of pulses of gases.
  • one cycle for the sequential introduction of pulses of gases may comprise a pulse of a first reactant gas, followed by a pulse of a purge gas and/or a pump evacuation, followed by a pulse of a second reactant gas, and followed by a pulse of a purge gas and/or a pump evacuation.
  • gas as used herein is defined to include a single gas or a plurality gases.
  • Sequential introduction of separate pulses of the first reactant and the second reactant may result in the alternating self-limiting absorption of monolayers of the reactants on the surface of the substrate and, thus, forms a monolayer of material for each cycle.
  • the cycle may be repeated to a desired thickness of the deposited material.
  • a pulse of a purge gas and/or a pump evacuation between the pulses of the first reactant gas and the pulses of the second reactant gas serves to reduce the likelihood of gas phase reactions of the reactants due to excess amounts of the reactants remaining in the chamber.
  • an edge ring for a substrate support pedestal is provided that includes an annular body having an annular seal projecting therefrom. The seal is coupled to a side of the annular body opposite a side adapted to seat on the substrate support.
  • a processing system in another aspect of the invention, includes a chamber body, a lid, a substrate support and a plurality of flow control orifices.
  • the lid is disposed on the chamber body and defines an interior volume therewith.
  • the substrate support is disposed in the interior volume and at least partially defines a processing region with the lid.
  • the flow control orifices are disposed between the substrate support and the lid. The flow control orifices are adapted to control flow of gases exiting the processing region.
  • a method of flowing gases through a processing chamber includes the steps of flowing a process gas into a processing region defined between a substrate support and a lid of the chamber body, flowing gas from the processing region to a pumping region of the chamber body through a plurality of flow control orifices defined at a perimeter of the substrate support, and flowing process gas through an exhaust port formed in at least one of the chamber body or the lid.
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a processing chamber having a plurality of flow control orifices.
  • FIG. 2 is a top plan view of one embodiment of an edge ring.
  • FIG. 3 is a partial cross-sectional view of the edge ring of FIG. 2 taken along section line 3 - 3 .
  • FIG. 4 is a partial cross-sectional view of the edge ring of FIG. 2 taken along section line 4 - 4 .
  • FIG. 5 is a top plan view of another embodiment of an edge ring.
  • FIG. 6 is a partial cross-sectional view of the edge ring of FIG. 5 taken along section line 6 - 6 of FIG. 5.
  • FIG. 7 is a partial cross-sectional view of the edge ring of FIG. 5 taken along section line 7 - 7 of FIG. 5.
  • FIG. 8 is a partial sectional view of another embodiment of a processing chamber having a plurality of flow control orifices.
  • FIG. 9 is a bottom view of one embodiment of a chamber lid having flow control orifices.
  • FIG. 10 is a partial cross-sectional view of an alternative embodiment of a lid having a seal retaining feature.
  • FIG. 11 is another embodiment of a processing chamber having flow control orifices.
  • FIG. 12 is a perspective view of one embodiment of a seal.
  • FIG. 13 is a sectional view of the seal of FIG. 12 taken along section lines 13 - 13 .
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a processing chamber 100 adapted for atomic layer deposition having uniform gas flow across the diameter of a substrate 110 processed therein.
  • atomic layer deposition refers to a chemical vapor deposition process having sequential introduction of reactants to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer of a desired thickness.
  • the processing chamber 100 may also be adapted for other deposition or substrate processing techniques.
  • One example of a chamber that may be adapted to benefit from the invention is described in the previously incorporated U.S. patent application Ser. No. 10/032,284.
  • the processing chamber 100 includes a chamber body 102 coupled to a gas panel 126 and pumping system 178 .
  • the gas panel 126 provides one or more process gases to the processing chamber 100 .
  • the pumping system 178 generally includes a vacuum pump and/or other flow controls for exhausting gases from the chamber body 102 and controlling the pressure therein.
  • the chamber body 102 is typically fabricated from aluminum or stainless steel.
  • the chamber body 102 includes sidewalls 104 and a bottom 106 .
  • a substrate access port 108 is formed through the sidewalls 104 and provides access for a robot (not shown) to deliver and retrieve the substrate 110 from the processing chamber 100 .
  • a chamber lid assembly 132 is supported on the sidewalls 104 of the chamber body 102 and encloses a chamber volume 128 .
  • the chamber lid assembly 132 is coupled to the gas panel 126 to provide gases, such as one or more process gases and/or a purge gas, to the interior of the processing chamber 100 .
  • the chamber lid assembly 132 typically includes a mixing box 172 coupled to a lid 170 .
  • the lid 170 may be made of stainless steel, aluminum, nickel-plated aluminum, nickel, or other suitable materials compatible with processing chemistries.
  • a pumping channel 136 is formed in the lid 170 .
  • the pumping channel 136 is coupled to the pumping system 178 through an exhaust port 138 formed through the sidewalls 104 of the chamber body 102 to evacuate any desired gases from the processing chamber 100 and to help maintain a desired pressure or a desired pressure range inside the chamber volume 128 of the processing chamber 100 .
  • the mixing box 172 is fabricated from stainless steal and the lid 170 is fabricated from aluminum.
  • the mixing box 172 includes gas inlets 136 A, 136 B formed therethrough to allow gas supplied from the gas panel 126 to enter an expanding channel 134 defined through the lid assembly 132 .
  • the expanding channel 134 begins in the mixing box 172 and flares outwardly to exit the lid assembly 132 through a bottom surface 160 of the lid 170 thereby allowing gases supplied from the gas panel 126 to enter the chamber volume 128 defined within the processing chamber 100 .
  • the expanding channel 134 is typically shaped as a truncated cone. Whether a gas is provided toward the walls of the expanding channel 134 or directly downward toward the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 134 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of the substrate 110 during processing.
  • a substrate support 112 supported above the bottom 106 of the chamber body 102 by a shaft 140 .
  • the substrate support 112 bifurcates the chamber volume 128 into a pumping region 166 and a processing region 164 .
  • the pumping region is defined below a support surface 142 of the substrate support 112 .
  • the processing region 164 is defined between the support surface 142 of the substrate support 112 and the bottom surface 160 of the lid 170 .
  • the shaft 140 is coupled to a lift mechanism 114 that controls the elevation of the substrate support 112 .
  • the lift mechanism 114 typically raises the substrate support 112 and a substrate 110 disposed thereon to a processing position as shown in FIG. 1, and lowers the substrate support 112 to a position that facilitates substrate transfer.
  • Bellows 124 provide flexible seals between the substrate support 112 and lift plate 116 to allow motion without leakage or loss of vacuum from the chamber body 102 .
  • the substrate support 112 includes a plurality of lift pins 120 disposed therethrough.
  • the lift pins 120 may be selectively displaced by an actuator 118 that is coupled by a shaft 122 to a lift plate 116 disposed below the pins 120 .
  • the lift pins 120 are adapted to place the substrate 110 in a spaced-apart relation to the substrate support 112 to facilitate substrate transfer.
  • the substrate support 112 includes an aluminum or ceramic body 130 .
  • the body 130 of the substrate support 112 is defined by the first or support surface 142 and an opposing second surface 144 that is coupled to the shaft 140 .
  • the support surface 142 is adapted to support the substrate thereon during processing.
  • a flange 146 extends outward from the body 130 and is recessed below the support surface 142 .
  • a heating element 156 is coupled or embedded within the body 130 to control the temperature of the substrate support 112 and substrate 110 seated thereon.
  • the heating element 156 may be a resistive heater, a conduct for flowing a heat transfer fluid or a thermoelectric device.
  • the heating element 156 is coupled to a power source 158 and is adapted to maintain the substrate support 112 and substrate seated thereon at a predetermined temperature to facilitate substrate processing.
  • the substrate 110 is maintained between about 275 and about 300 degrees Celsius.
  • the substrate support 112 may include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 112 to the substrate support 112 during processing.
  • the support surface 142 of the substrate support 112 is coupled to a vacuum source 154 through the shaft 140 and body 130 .
  • the vacuum source 154 is adapted to apply a vacuum between the substrate 110 and support surface 142 of the body 130 to retain the substrate to the substrate support 112 .
  • An edge ring 150 is disposed on the flange 146 of the substrate support 112 .
  • the edge ring 150 is typically comprised at least partially of aluminum, stainless steel, ceramic, or other material compatible with the processing environment.
  • the edge ring 150 generally protects a portion of the substrate support 112 disposed outward of the substrate 110 from deposition or attach from process chemistries, and defines an annular channel 168 (described further below) with the substrate support 112 that directs purge gas, supplied from a purge gas source 152 , to the perimeter of the substrate 110 .
  • a seal 148 is disposed between the edge ring 150 and lid 170 .
  • the seal 148 generally separates the pumping region 166 from the processing region 164 .
  • the seal 148 is typically fabricated from a fluoropolymer or other material compatible with process chemistries suitable for use at elevated temperatures.
  • a plurality of gas flow control orifices are defined between the substrate support 112 and the lid assembly 132 .
  • the flow control orifices may be formed at least partially in the lid 170 , edge ring 150 , seal 148 or combinations thereof.
  • the flow control orifices allow gas passage uniform and repeatable flow between the processing region 164 and the pumping region 166 .
  • FIGS. 2, 3 and 4 are a top view and partial sectional views of one embodiment of an edge ring 150 having a plurality of flow control orifices 200 .
  • the edge ring 150 has a top surface 202 disposed between an outer diameter 204 and an inner diameter 206 .
  • the flow control orifices 200 are formed in the top surface 202 of the edge ring 150 and fluidly communicate with the outer diameter 204 of the edge ring 150 to allow gas to pass from the processing region 164 to the pumping region 166 during processing.
  • the edge ring 150 includes a seal retaining feature 302 that is configured to retain the seal 148 to the edge ring 150 .
  • the seal 148 may take different forms, for example, cup seals, lip seals, gaskets, o-rings and the like, the retaining feature 302 is generally configured to retain the particular type of seal 148 utilized.
  • the seal retaining feature 302 may be formed in the lid 170 .
  • the seal retaining feature 302 is configured to a capture seal 148 having a “U” shaped cross section.
  • the seal retaining feature 302 includes a first member 304 coupling the top surface 202 of the edge ring 150 to a second member 306 .
  • the second member 306 extends radially inward from the first member 304 to define a seal receiving pocket 308 with the top surface 202 of the edge ring 150 .
  • a first flange 310 of the seal 148 is disposed in the seal receiving pocket 308 .
  • the first flange 310 is coupled by an annular wall 314 to a second flange 312 .
  • An optional spring form 316 typically fabricated from spring steel or stainless steel is embedded in the seal 148 to urge the first flange 310 away from the second flange 312 .
  • the spring form 316 uniformly loads the flanges 310 , 312 respectively against the edge ring 150 and lid 170 to provide a barrier to gas flow therebetween that accommodates minor variations in parallelism and spacing between the lid 170 and edge ring 150 to ensure a flow barrier that directs substantially all of the flow through the flow orifices 200 , ensuring repeatable flow rates and uniformity during processing.
  • the edge ring 150 is configured to minimize heat transfer between the substrate support 112 and edge ring 150 .
  • a second surface 402 of the edge ring 150 includes an annular groove 404 that bifurcates the second surface 402 into an outer diameter portion 406 and an inner diameter portion 408 .
  • the edge ring 150 is configured so that only the inner diameter portion 408 of the edge ring 150 contacts an upper surface 410 of the flange 146 . As the edge ring 150 and substrate support 112 have minimal contact, the edge ring 150 maintains a cooler temperature than the substrate support 112 during processing, thus extending the service life of the seal 148 .
  • the edge ring 150 additionally includes an annular extension 412 that extends downward to an end 414 positioned below the second surface 402 of the edge ring 150 .
  • the extension 412 substantially covers the sides of the substrate support 112 thereby protecting the substrate support 112 from unwanted deposition or other contaminants during processing.
  • the extension 412 is configured to position the edge ring 150 on the substrate support 112 so that a small gap 416 is defined between the inner diameter 206 of the edge ring 150 and a wall 418 coupling the flange 146 and support surface 142 of the substrate support 112 .
  • the gap 416 allows purge gas, routed through a passage 420 formed through the substrate support 112 from the purge gas source 152 , to flow between the edge ring 150 and the substrate 110 to minimized deposition of the edge ring 150 and substrate's edge.
  • FIGS. 5 - 7 are a top plan view and partial sectional views of another embodiment of a seal ring 550 having a plurality of flow control orifices 500 .
  • the flow control orifices 500 are radially formed in the seal ring 550 in a spaced-apart relation to enhance process gas flow uniformity over a substrate processed within the processing chamber 500 .
  • the seal ring 550 typically includes a base 602 supporting a cover 604 .
  • the base 602 is typically fabricated from stainless steel to reduce heat flow between the edge ring 550 and the substrate support 112 .
  • the base 602 is supported on the upper surface 410 of the flange 146 while the cover 604 retains the seal 148 .
  • the base 602 is typically an annular disk that includes a first surface 606 that supports the cover 604 and a second surface 608 that faces the substrate support 112 .
  • the second surface 608 of the base 602 includes lip 610 that projects normally away from the second surface 608 .
  • the lip 610 contacts the upper surface 410 of the flange 146 , thus maintaining the second surface 608 spaced-apart from the flange 148 to minimize thermal transfer between the edge ring 550 and the substrate support 112 .
  • the cover 604 includes a seal retaining feature 614 to retain the seal 148 to the edge ring 550 .
  • the cover 604 is typically comprised of aluminum or other material having good heat transfer characteristics to draw heat away from the seal 148 .
  • the cover 602 has an annular body 616 coupled to a flange 612 .
  • the body 616 is typically oriented parallel to the base 602 .
  • the body 616 has a first surface 618 and a second surface 620 .
  • the seal retaining feature 614 extends from the first surface 618 .
  • the seal retaining feature 614 is typically similar to the seal retaining feature 302 described above.
  • the flow control orifices 500 are formed in the first surface 606 of the cover 602 .
  • the flow control orifices 500 allow gas to pass under the seal 148 to provide gas flow between the processing and pumping regions 164 , 166 of the processing chamber.
  • a lip 622 extends downwardly from an inner end 624 of the second surface 620 .
  • the lip 622 contacts the first surface 606 of the base 602 .
  • the lip 622 maintains the body 616 in a spaced-apart relation with the base 602 , defining a gap 626 therebetween.
  • the gap 626 and minimal contact area between the lip 622 and base 602 minimizes heat transfer between the base 602 and cover 604 , thereby preventing the substrate support 112 from excessively heating the seal 148 .
  • the flange 612 is typically coupled to the body 616 at an outer end 628 of the second surface 620 .
  • the flange 612 typically extends downward below the second surface 402 of the edge ring 550 .
  • the flange 612 substantially covers the sides of the substrate support 112 , thereby protecting the substrate support 112 from unwanted deposition or other contaminants during processing.
  • FIG. 8 is a partial sectional view of a processing chamber 800 having another embodiment of a plurality of flow restricting orifices 802 (one of which is shown in FIG. 8).
  • the processing chamber 800 is typically similar to the processing chamber 100 described above, except that the flow restricting orifices 802 are formed in a lid 804 of the processing chamber 800 .
  • a substrate support 112 is disposed in the processing chamber 800 and supports an edge ring 806 thereon.
  • the edge ring 806 is similar to the edge rings described above, and may optionally include a plurality of second flow restricting orifices (not shown) similar to those shown in rings 150 , 550 . In the embodiment depicted in FIG. 8, the edge ring 806 does not permit gas flow therethrough.
  • a seal 148 is disposed between the lid 804 of the processing chamber 800 and the edge ring 806 .
  • the seal 148 is typically coupled to the edge ring 806 as shown in FIG. 8.
  • the seal 148 is coupled a lid 1004 as shown in FIG. 10.
  • the seal 148 provides a flow barrier between the lid 1004 and an edge ring 806 , thus forcing gas flowing between the processing region 164 and the pumping region 166 to pass through the restricting orifices 802 formed in the lid 1004 .
  • the plurality of flow restricting orifices 802 are radially oriented grooves or slots formed in the lid 804 in a spaced-apart relationship.
  • the relative position between the flow restricting orifices 802 is typically defined to promote flow uniformity of process gases within the processing region 166 .
  • Each flow restricting orifice 802 has a first end 808 and a second end 810 .
  • the first end 808 is positioned radially inward of a point of contact 812 between the seal 148 and the lid 804 .
  • the second end 810 is positioned radially outwards of the point of contact 812 , thus allowing gases confined in the processing region 164 by the seal 148 to flow to the pumping region 166 .
  • the flow restricting orifices 802 are configured to have a predefined sectional area so that a designed flow rate and pressure drop is achieved for a predetermined process regime. For example, in a processing chamber configured for ALD on 300 mm substrates, about 12-24 flow restricting orifices 802 are utilized having a combined sectional area of about 0.2 to about 0.4 square inches.
  • FIG. 11 is a partial sectional view of a processing chamber 1100 having another embodiment of a plurality of flow restricting orifices 1102 (one of which is shown in FIG. 11).
  • the processing chamber 1100 is typically similar to the processing chamber 100 described above, except that the flow restricting orifices 1102 are formed in a seal 1110 of the processing chamber 1100 .
  • a substrate support 112 is disposed in the processing chamber 1100 and supports an edge ring 1106 thereon.
  • the edge ring 1106 is similar to the edge rings described above, and may optionally include a plurality of second flow restricting orifices (not shown) similar to those shown in rings 150 , 550 . In the embodiment depicted in FIG. 11, the edge ring 1106 does not permit gas to flow therethrough.
  • a lid 1104 of the processing chamber 1100 is disposed above the substrate support 112 .
  • the lid 1104 is similar to the lids described above, and may optionally include a plurality of flow restricting orifices (not shown) as described with reference to the lid 804 . In the embodiment depicted in FIG. 11, the lid 1104 does not permit gas flow therethrough.
  • the seal 1110 is disposed between the lid 1104 of the processing chamber 1100 and the edge ring 1106 .
  • the seal 1110 may be coupled to the edge ring 1106 as shown in FIG. 11 or coupled to the lid 1104 .
  • the seal 1110 may be take different forms, for example, cup seals, lip seals, gaskets, o-rings and the like.
  • the seal 1110 is a cup seal similar to the seal 148 .
  • the seal 1110 includes a first flange 1112 coupled by an annular wall 1114 to a second flange 1116 .
  • An optional spring form 1118 is embedded in the seal 1110 to urge the first flange 1112 away from the second flange 1116 to enhance sealing between the lid 1104 and the edge ring 1106 .
  • the first flange 1112 and/or the wall 1114 include a plurality of slots 1202 formed therethrough that define the flow control orifices 1102 .
  • the slots 1202 allow gas to pass through the seal 1110 between the lid 1104 and edge ring 1106 to provide gas flow between the processing and pumping regions 164 , 166 of the processing chamber.
  • a tantalum nitride layer is deposited by ALD in the processing chamber 100 of FIGS. 1 - 4 .
  • the process provides pulses of pentadimethylamino-tantalum (PDMAT) from the gas panel 126 at a flow rate between about 100 sccm and about 1000 sccm for a pulse time of about 0.5 due to the small volume of the processing region 164 .
  • Pulses of ammonia may be provided from gas panel 126 at a flow rate between about 100 sccm and about 1000 sccm for a pulse time of about 0.5 seconds or less.
  • An argon purge gas is provided continuously at a flow rate between about 100 sccm and about 1000 sccm from gas panel 126 .
  • the time between pulses of the tantalum containing compound and the nitrogen containing compound may be about 0.5 seconds or less.
  • the substrate support temperature is typically maintained between about 200 degrees Celsius and about 300 degrees Celsius.
  • a chamber pressure is maintained between about 1.0 and about 5.0 torr.
  • the flow control orifices disposed between the substrate support 112 and lid 170 of the processing chamber 100 provide uniform gas flow across the substrate, enhancing deposition uniformity and process repeatability.
  • This exemplary process provides a tantalum nitride layer in a thickness between about 0.5 ⁇ and about 1.0 ⁇ per cycle. The alternating sequence may be repeated until a desired thickness is achieved.

Abstract

An apparatus and method for performing uniform gas flow in a processing chamber is provided. In one embodiment, an apparatus is an edge ring that includes an annular body having an annular seal projecting therefrom is provided. The seal is coupled to a side of the annular body opposite a side adapted to seat on the substrate support. In another embodiment, a processing system is provided that includes a chamber body, a lid, a substrate support and a plurality of flow control orifices. The lid is disposed on the chamber body and defining an interior volume therewith. The substrate support is disposed in the interior volume and at least partially defines a processing region with the lid. The flow control orifices are disposed between the substrate support and the lid. The flow control orifices are adapted to control flow of gases exiting the processing region.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is related to of U.S. patent application Ser. No. 10/032,284, entitled “PROCESSING CHAMBER CONFIGURED FOR UNIFORM GAS FLOW” (Attorney Docket No. AMAT/6222/CPI/COPPER/PJS) filed Dec. 21, 2001, which is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • Embodiments of the present invention generally relate to an improved gas delivery apparatus for semiconductor processing. [0003]
  • 2. Description of the Related Art [0004]
  • Reliably producing sub-micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates. [0005]
  • As circuit densities increase, the widths of vias, contacts, and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions (e.g., less than 0.20 micrometers or less), whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increase. Many traditional deposition processes have difficulty filling sub-micron micron structures where the aspect ratio exceeds 4:1, and particularly where the aspect ratio exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free and seam-free sub-micron features having high aspect ratios. [0006]
  • Atomic layer deposition is one deposition technique being explored for the deposition of material layers over features having high aspect ratios. One example of atomic layer deposition comprises the sequential introduction of pulses of gases. For instance, one cycle for the sequential introduction of pulses of gases may comprise a pulse of a first reactant gas, followed by a pulse of a purge gas and/or a pump evacuation, followed by a pulse of a second reactant gas, and followed by a pulse of a purge gas and/or a pump evacuation. The term “gas” as used herein is defined to include a single gas or a plurality gases. Sequential introduction of separate pulses of the first reactant and the second reactant may result in the alternating self-limiting absorption of monolayers of the reactants on the surface of the substrate and, thus, forms a monolayer of material for each cycle. The cycle may be repeated to a desired thickness of the deposited material. A pulse of a purge gas and/or a pump evacuation between the pulses of the first reactant gas and the pulses of the second reactant gas serves to reduce the likelihood of gas phase reactions of the reactants due to excess amounts of the reactants remaining in the chamber. [0007]
  • As a single monolayer of material is deposited in each cycle, the ability to rapidly deliver and remove reactant and purge gases from the chamber has a substantial effect on substrate throughput. While using smaller volumes of gases reduces cycle times, flow uniformity becomes increasingly important in order to ensure complete and uniform substrate coverage during processing. [0008]
  • Therefore, there is a need for methods and processing apparatuses that improve flow uniformity within processing chambers to enhance uniform substrate processing. [0009]
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention relate to an apparatus and method for providing uniform gas flow in a processing chamber. In one aspect of the invention, an edge ring for a substrate support pedestal is provided that includes an annular body having an annular seal projecting therefrom. The seal is coupled to a side of the annular body opposite a side adapted to seat on the substrate support. [0010]
  • In another aspect of the invention, a processing system is provided that includes a chamber body, a lid, a substrate support and a plurality of flow control orifices. The lid is disposed on the chamber body and defines an interior volume therewith. The substrate support is disposed in the interior volume and at least partially defines a processing region with the lid. The flow control orifices are disposed between the substrate support and the lid. The flow control orifices are adapted to control flow of gases exiting the processing region. [0011]
  • In another aspect of the invention, a method of flowing gases through a processing chamber is provided. In one embodiment, the method of flowing gases through a processing chamber includes the steps of flowing a process gas into a processing region defined between a substrate support and a lid of the chamber body, flowing gas from the processing region to a pumping region of the chamber body through a plurality of flow control orifices defined at a perimeter of the substrate support, and flowing process gas through an exhaust port formed in at least one of the chamber body or the lid.[0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0013]
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a processing chamber having a plurality of flow control orifices. [0014]
  • FIG. 2 is a top plan view of one embodiment of an edge ring. [0015]
  • FIG. 3 is a partial cross-sectional view of the edge ring of FIG. 2 taken along section line [0016] 3-3.
  • FIG. 4 is a partial cross-sectional view of the edge ring of FIG. 2 taken along section line [0017] 4-4.
  • FIG. 5 is a top plan view of another embodiment of an edge ring. [0018]
  • FIG. 6 is a partial cross-sectional view of the edge ring of FIG. 5 taken along section line [0019] 6-6 of FIG. 5.
  • FIG. 7 is a partial cross-sectional view of the edge ring of FIG. 5 taken along section line [0020] 7-7 of FIG. 5.
  • FIG. 8 is a partial sectional view of another embodiment of a processing chamber having a plurality of flow control orifices. [0021]
  • FIG. 9 is a bottom view of one embodiment of a chamber lid having flow control orifices. [0022]
  • FIG. 10 is a partial cross-sectional view of an alternative embodiment of a lid having a seal retaining feature. [0023]
  • FIG. 11 is another embodiment of a processing chamber having flow control orifices. [0024]
  • FIG. 12 is a perspective view of one embodiment of a seal. [0025]
  • FIG. 13 is a sectional view of the seal of FIG. 12 taken along section lines [0026] 13-13.
  • To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. [0027]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a [0028] processing chamber 100 adapted for atomic layer deposition having uniform gas flow across the diameter of a substrate 110 processed therein. The term “atomic layer deposition” as used herein refers to a chemical vapor deposition process having sequential introduction of reactants to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer of a desired thickness. The processing chamber 100 may also be adapted for other deposition or substrate processing techniques. One example of a chamber that may be adapted to benefit from the invention is described in the previously incorporated U.S. patent application Ser. No. 10/032,284.
  • The [0029] processing chamber 100 includes a chamber body 102 coupled to a gas panel 126 and pumping system 178. The gas panel 126 provides one or more process gases to the processing chamber 100. The pumping system 178 generally includes a vacuum pump and/or other flow controls for exhausting gases from the chamber body 102 and controlling the pressure therein.
  • The [0030] chamber body 102 is typically fabricated from aluminum or stainless steel. The chamber body 102 includes sidewalls 104 and a bottom 106. A substrate access port 108 is formed through the sidewalls 104 and provides access for a robot (not shown) to deliver and retrieve the substrate 110 from the processing chamber 100. A chamber lid assembly 132 is supported on the sidewalls 104 of the chamber body 102 and encloses a chamber volume 128.
  • The [0031] chamber lid assembly 132 is coupled to the gas panel 126 to provide gases, such as one or more process gases and/or a purge gas, to the interior of the processing chamber 100. The chamber lid assembly 132 typically includes a mixing box 172 coupled to a lid 170. The lid 170 may be made of stainless steel, aluminum, nickel-plated aluminum, nickel, or other suitable materials compatible with processing chemistries.
  • In the embodiment depicted in FIG. 1, a pumping [0032] channel 136 is formed in the lid 170. The pumping channel 136 is coupled to the pumping system 178 through an exhaust port 138 formed through the sidewalls 104 of the chamber body 102 to evacuate any desired gases from the processing chamber 100 and to help maintain a desired pressure or a desired pressure range inside the chamber volume 128 of the processing chamber 100.
  • In one embodiment, the [0033] mixing box 172 is fabricated from stainless steal and the lid 170 is fabricated from aluminum. The mixing box 172 includes gas inlets 136A, 136B formed therethrough to allow gas supplied from the gas panel 126 to enter an expanding channel 134 defined through the lid assembly 132.
  • In one embodiment, the expanding [0034] channel 134 begins in the mixing box 172 and flares outwardly to exit the lid assembly 132 through a bottom surface 160 of the lid 170 thereby allowing gases supplied from the gas panel 126 to enter the chamber volume 128 defined within the processing chamber 100. The expanding channel 134 is typically shaped as a truncated cone. Whether a gas is provided toward the walls of the expanding channel 134 or directly downward toward the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 134 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of the substrate 110 during processing.
  • A [0035] substrate support 112 supported above the bottom 106 of the chamber body 102 by a shaft 140. The substrate support 112 bifurcates the chamber volume 128 into a pumping region 166 and a processing region 164. The pumping region is defined below a support surface 142 of the substrate support 112. The processing region 164 is defined between the support surface 142 of the substrate support 112 and the bottom surface 160 of the lid 170.
  • The [0036] shaft 140 is coupled to a lift mechanism 114 that controls the elevation of the substrate support 112. The lift mechanism 114 typically raises the substrate support 112 and a substrate 110 disposed thereon to a processing position as shown in FIG. 1, and lowers the substrate support 112 to a position that facilitates substrate transfer. Bellows 124 provide flexible seals between the substrate support 112 and lift plate 116 to allow motion without leakage or loss of vacuum from the chamber body 102.
  • The [0037] substrate support 112 includes a plurality of lift pins 120 disposed therethrough. The lift pins 120 may be selectively displaced by an actuator 118 that is coupled by a shaft 122 to a lift plate 116 disposed below the pins 120. The lift pins 120 are adapted to place the substrate 110 in a spaced-apart relation to the substrate support 112 to facilitate substrate transfer.
  • In one embodiment, the [0038] substrate support 112 includes an aluminum or ceramic body 130. The body 130 of the substrate support 112 is defined by the first or support surface 142 and an opposing second surface 144 that is coupled to the shaft 140. The support surface 142 is adapted to support the substrate thereon during processing. A flange 146 extends outward from the body 130 and is recessed below the support surface 142.
  • In one embodiment, a [0039] heating element 156 is coupled or embedded within the body 130 to control the temperature of the substrate support 112 and substrate 110 seated thereon. The heating element 156 may be a resistive heater, a conduct for flowing a heat transfer fluid or a thermoelectric device. The heating element 156 is coupled to a power source 158 and is adapted to maintain the substrate support 112 and substrate seated thereon at a predetermined temperature to facilitate substrate processing. In one embodiment, the substrate 110 is maintained between about 275 and about 300 degrees Celsius.
  • The [0040] substrate support 112 may include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 112 to the substrate support 112 during processing. In the embodiment depicted in FIG. 1, the support surface 142 of the substrate support 112 is coupled to a vacuum source 154 through the shaft 140 and body 130. The vacuum source 154 is adapted to apply a vacuum between the substrate 110 and support surface 142 of the body 130 to retain the substrate to the substrate support 112.
  • An [0041] edge ring 150 is disposed on the flange 146 of the substrate support 112. The edge ring 150 is typically comprised at least partially of aluminum, stainless steel, ceramic, or other material compatible with the processing environment. The edge ring 150 generally protects a portion of the substrate support 112 disposed outward of the substrate 110 from deposition or attach from process chemistries, and defines an annular channel 168 (described further below) with the substrate support 112 that directs purge gas, supplied from a purge gas source 152, to the perimeter of the substrate 110.
  • A [0042] seal 148 is disposed between the edge ring 150 and lid 170. The seal 148 generally separates the pumping region 166 from the processing region 164. The seal 148 is typically fabricated from a fluoropolymer or other material compatible with process chemistries suitable for use at elevated temperatures.
  • In order to ensure uniform flow of gases in the [0043] processing region 164, a plurality of gas flow control orifices (not shown in FIG. 1) are defined between the substrate support 112 and the lid assembly 132. The flow control orifices may be formed at least partially in the lid 170, edge ring 150, seal 148 or combinations thereof. The flow control orifices allow gas passage uniform and repeatable flow between the processing region 164 and the pumping region 166.
  • FIGS. 2, 3 and [0044] 4 are a top view and partial sectional views of one embodiment of an edge ring 150 having a plurality of flow control orifices 200. The edge ring 150 has a top surface 202 disposed between an outer diameter 204 and an inner diameter 206. In the embodiment depicted in FIGS. 2, 3 and 4, the flow control orifices 200 are formed in the top surface 202 of the edge ring 150 and fluidly communicate with the outer diameter 204 of the edge ring 150 to allow gas to pass from the processing region 164 to the pumping region 166 during processing.
  • Referring to FIG. 3, the [0045] edge ring 150 includes a seal retaining feature 302 that is configured to retain the seal 148 to the edge ring 150. As the seal 148 may take different forms, for example, cup seals, lip seals, gaskets, o-rings and the like, the retaining feature 302 is generally configured to retain the particular type of seal 148 utilized. Alternatively, the seal retaining feature 302 may be formed in the lid 170.
  • In the embodiment depicted in FIG. 3, the [0046] seal retaining feature 302 is configured to a capture seal 148 having a “U” shaped cross section. The seal retaining feature 302 includes a first member 304 coupling the top surface 202 of the edge ring 150 to a second member 306. The second member 306 extends radially inward from the first member 304 to define a seal receiving pocket 308 with the top surface 202 of the edge ring 150.
  • A [0047] first flange 310 of the seal 148 is disposed in the seal receiving pocket 308. The first flange 310 is coupled by an annular wall 314 to a second flange 312. An optional spring form 316, typically fabricated from spring steel or stainless steel is embedded in the seal 148 to urge the first flange 310 away from the second flange 312. Thus, as the substrate support 112 is elevated toward the lid 170, the spring form 316 uniformly loads the flanges 310, 312 respectively against the edge ring 150 and lid 170 to provide a barrier to gas flow therebetween that accommodates minor variations in parallelism and spacing between the lid 170 and edge ring 150 to ensure a flow barrier that directs substantially all of the flow through the flow orifices 200, ensuring repeatable flow rates and uniformity during processing.
  • Referring to FIG. 4, the [0048] edge ring 150 is configured to minimize heat transfer between the substrate support 112 and edge ring 150. In the embodiment depicted in FIG. 4, a second surface 402 of the edge ring 150 includes an annular groove 404 that bifurcates the second surface 402 into an outer diameter portion 406 and an inner diameter portion 408. The edge ring 150 is configured so that only the inner diameter portion 408 of the edge ring 150 contacts an upper surface 410 of the flange 146. As the edge ring 150 and substrate support 112 have minimal contact, the edge ring 150 maintains a cooler temperature than the substrate support 112 during processing, thus extending the service life of the seal 148.
  • The [0049] edge ring 150 additionally includes an annular extension 412 that extends downward to an end 414 positioned below the second surface 402 of the edge ring 150. The extension 412 substantially covers the sides of the substrate support 112 thereby protecting the substrate support 112 from unwanted deposition or other contaminants during processing.
  • The [0050] extension 412 is configured to position the edge ring 150 on the substrate support 112 so that a small gap 416 is defined between the inner diameter 206 of the edge ring 150 and a wall 418 coupling the flange 146 and support surface 142 of the substrate support 112. The gap 416 allows purge gas, routed through a passage 420 formed through the substrate support 112 from the purge gas source 152, to flow between the edge ring 150 and the substrate 110 to minimized deposition of the edge ring 150 and substrate's edge.
  • FIGS. [0051] 5-7 are a top plan view and partial sectional views of another embodiment of a seal ring 550 having a plurality of flow control orifices 500. The flow control orifices 500 are radially formed in the seal ring 550 in a spaced-apart relation to enhance process gas flow uniformity over a substrate processed within the processing chamber 500. Referring to FIG. 6, the seal ring 550 typically includes a base 602 supporting a cover 604. The base 602 is typically fabricated from stainless steel to reduce heat flow between the edge ring 550 and the substrate support 112.
  • The [0052] base 602 is supported on the upper surface 410 of the flange 146 while the cover 604 retains the seal 148. The base 602 is typically an annular disk that includes a first surface 606 that supports the cover 604 and a second surface 608 that faces the substrate support 112.
  • The [0053] second surface 608 of the base 602 includes lip 610 that projects normally away from the second surface 608. The lip 610 contacts the upper surface 410 of the flange 146, thus maintaining the second surface 608 spaced-apart from the flange 148 to minimize thermal transfer between the edge ring 550 and the substrate support 112.
  • The [0054] cover 604 includes a seal retaining feature 614 to retain the seal 148 to the edge ring 550. The cover 604 is typically comprised of aluminum or other material having good heat transfer characteristics to draw heat away from the seal 148.
  • The [0055] cover 602 has an annular body 616 coupled to a flange 612. The body 616 is typically oriented parallel to the base 602. The body 616 has a first surface 618 and a second surface 620. The seal retaining feature 614 extends from the first surface 618. The seal retaining feature 614 is typically similar to the seal retaining feature 302 described above.
  • The [0056] flow control orifices 500 are formed in the first surface 606 of the cover 602. The flow control orifices 500 allow gas to pass under the seal 148 to provide gas flow between the processing and pumping regions 164, 166 of the processing chamber.
  • A [0057] lip 622 extends downwardly from an inner end 624 of the second surface 620. The lip 622 contacts the first surface 606 of the base 602. The lip 622 maintains the body 616 in a spaced-apart relation with the base 602, defining a gap 626 therebetween. The gap 626 and minimal contact area between the lip 622 and base 602 minimizes heat transfer between the base 602 and cover 604, thereby preventing the substrate support 112 from excessively heating the seal 148.
  • The [0058] flange 612 is typically coupled to the body 616 at an outer end 628 of the second surface 620. The flange 612 typically extends downward below the second surface 402 of the edge ring 550. The flange 612 substantially covers the sides of the substrate support 112, thereby protecting the substrate support 112 from unwanted deposition or other contaminants during processing.
  • FIG. 8 is a partial sectional view of a [0059] processing chamber 800 having another embodiment of a plurality of flow restricting orifices 802 (one of which is shown in FIG. 8). The processing chamber 800 is typically similar to the processing chamber 100 described above, except that the flow restricting orifices 802 are formed in a lid 804 of the processing chamber 800.
  • A [0060] substrate support 112 is disposed in the processing chamber 800 and supports an edge ring 806 thereon. The edge ring 806 is similar to the edge rings described above, and may optionally include a plurality of second flow restricting orifices (not shown) similar to those shown in rings 150, 550. In the embodiment depicted in FIG. 8, the edge ring 806 does not permit gas flow therethrough.
  • A [0061] seal 148 is disposed between the lid 804 of the processing chamber 800 and the edge ring 806. The seal 148 is typically coupled to the edge ring 806 as shown in FIG. 8.
  • In another embodiment of a [0062] processing chamber 1000 having a plurality of flow restricting orifices 802 (one of which is shown in phantom in FIG. 10), the seal 148 is coupled a lid 1004 as shown in FIG. 10. The seal 148 provides a flow barrier between the lid 1004 and an edge ring 806, thus forcing gas flowing between the processing region 164 and the pumping region 166 to pass through the restricting orifices 802 formed in the lid 1004.
  • Returning to the embodiment depicted in FIGS. 8 and 9, the plurality of [0063] flow restricting orifices 802 are radially oriented grooves or slots formed in the lid 804 in a spaced-apart relationship. The relative position between the flow restricting orifices 802 is typically defined to promote flow uniformity of process gases within the processing region 166. Each flow restricting orifice 802 has a first end 808 and a second end 810. The first end 808 is positioned radially inward of a point of contact 812 between the seal 148 and the lid 804. The second end 810 is positioned radially outwards of the point of contact 812, thus allowing gases confined in the processing region 164 by the seal 148 to flow to the pumping region 166. The flow restricting orifices 802 are configured to have a predefined sectional area so that a designed flow rate and pressure drop is achieved for a predetermined process regime. For example, in a processing chamber configured for ALD on 300 mm substrates, about 12-24 flow restricting orifices 802 are utilized having a combined sectional area of about 0.2 to about 0.4 square inches.
  • FIG. 11 is a partial sectional view of a [0064] processing chamber 1100 having another embodiment of a plurality of flow restricting orifices 1102 (one of which is shown in FIG. 11). The processing chamber 1100 is typically similar to the processing chamber 100 described above, except that the flow restricting orifices 1102 are formed in a seal 1110 of the processing chamber 1100.
  • A [0065] substrate support 112 is disposed in the processing chamber 1100 and supports an edge ring 1106 thereon. The edge ring 1106 is similar to the edge rings described above, and may optionally include a plurality of second flow restricting orifices (not shown) similar to those shown in rings 150, 550. In the embodiment depicted in FIG. 11, the edge ring 1106 does not permit gas to flow therethrough.
  • A [0066] lid 1104 of the processing chamber 1100 is disposed above the substrate support 112. The lid 1104 is similar to the lids described above, and may optionally include a plurality of flow restricting orifices (not shown) as described with reference to the lid 804. In the embodiment depicted in FIG. 11, the lid 1104 does not permit gas flow therethrough.
  • The [0067] seal 1110 is disposed between the lid 1104 of the processing chamber 1100 and the edge ring 1106. The seal 1110 may be coupled to the edge ring 1106 as shown in FIG. 11 or coupled to the lid 1104.
  • Referring to FIGS. 11 and 12, the [0068] seal 1110 may be take different forms, for example, cup seals, lip seals, gaskets, o-rings and the like. In the embodiment depicted in FIG. 12, the seal 1110 is a cup seal similar to the seal 148.
  • The [0069] seal 1110 includes a first flange 1112 coupled by an annular wall 1114 to a second flange 1116. An optional spring form 1118 is embedded in the seal 1110 to urge the first flange 1112 away from the second flange 1116 to enhance sealing between the lid 1104 and the edge ring 1106.
  • The [0070] first flange 1112 and/or the wall 1114 include a plurality of slots 1202 formed therethrough that define the flow control orifices 1102. The slots 1202 allow gas to pass through the seal 1110 between the lid 1104 and edge ring 1106 to provide gas flow between the processing and pumping regions 164, 166 of the processing chamber.
  • In one exemplary deposition process, a tantalum nitride layer is deposited by ALD in the [0071] processing chamber 100 of FIGS. 1-4. The process provides pulses of pentadimethylamino-tantalum (PDMAT) from the gas panel 126 at a flow rate between about 100 sccm and about 1000 sccm for a pulse time of about 0.5 due to the small volume of the processing region 164. Pulses of ammonia may be provided from gas panel 126 at a flow rate between about 100 sccm and about 1000 sccm for a pulse time of about 0.5 seconds or less. An argon purge gas is provided continuously at a flow rate between about 100 sccm and about 1000 sccm from gas panel 126. The time between pulses of the tantalum containing compound and the nitrogen containing compound may be about 0.5 seconds or less. The substrate support temperature is typically maintained between about 200 degrees Celsius and about 300 degrees Celsius. A chamber pressure is maintained between about 1.0 and about 5.0 torr. The flow control orifices disposed between the substrate support 112 and lid 170 of the processing chamber 100 provide uniform gas flow across the substrate, enhancing deposition uniformity and process repeatability. This exemplary process provides a tantalum nitride layer in a thickness between about 0.5 Å and about 1.0 Å per cycle. The alternating sequence may be repeated until a desired thickness is achieved.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0072]

Claims (43)

1. An edge ring for a substrate support, comprising:
an annular body having a first side and an opposing second side, the first side adapted to seat on the substrate support; and
an annular seal coupled to the annular body and projecting above the second side.
2. The edge ring of claim 1, wherein the annular body further comprises:
a base adapted to seat on the substrate support; and
a cover having the seal coupled thereto.
3. The edge ring of claim 2, wherein the cover is more thermally conductive than the base.
4. The edge ring of claim 2, wherein the base is comprised of stainless steel or ceramic.
5. The edge ring of claim 2, wherein the cover is comprised of aluminum.
6. The edge ring of claim 2, wherein an annular gap is defined between the cover and the base.
7. The edge ring of claim 2, wherein the cover further comprises:
an annular disk having a first surface and a second surface;
a lip extending from an inner side of the first surface to the disk, the lip adapted to maintain the disk and the base in a spaced-apart relation; and
a seal retaining feature disposed on the first surface of the disk.
8. The edge ring of claim 2, wherein the base further comprises a lip projecting normally away from a first surface of the base.
9. The edge ring of claim 1, wherein the annular body further comprises:
a plurality of flow control orifices formed therein having at least at a portion of flow control orifices pass below the seal.
10. The edge ring of claim 1, wherein the seal further comprises:
a first flange coupled to a second flange by an annular center member; and
a plurality of radial slots formed at least through the first flange.
11. A processing system, comprising:
a chamber body;
a lid disposed on the chamber body and defining an interior volume therewith;
a substrate support disposed in the interior volume and at least partially defining a processing region with the lid; and
a plurality of flow control orifices disposed between the substrate support and the lid, the flow control orifices adapted to control flow of gases exiting the processing region.
12. The processing system of claim 11, wherein the flow control orifices are radially aligned in a polar array.
13. The processing system of claim 11, wherein the flow control orifices have a total sectional area between about 0.2 to about 0.4 inches.
14. The processing system of claim 11 further comprising a seal disposed between the substrate support and the lid, the seal separating the processing region from a pumping region of the interior volume.
15. The processing system of claim 11, wherein the flow control orifices are formed in the lid.
16. The processing system of claim 15, wherein the flow control orifices are a plurality of grooves formed in the lid having a first end disposed radially inward of a point of contact between the lid and the seal and an outer end disposed radially outward of the point of contact between the lid and the seal.
17. The processing system of claim 14, wherein the plurality of flow control orifices are disposed through the seal.
18. The processing system of claim 11 further comprising:
a seal disposed between the substrate support and the lid, the seal having a first flange adapted to seal against the lid and coupled to a second flange by an annular center member, the second flange adapted to seal against an edge ring supported by the substrate support.
19. The processing system of claim 18, wherein the seal further comprises:
an embedded spring member adapted to urge the first flange away from the second flange.
20. The processing system of claim 18, wherein the lid further comprises:
a seal retaining feature adapted to retain the seal to the lid.
21. The processing system of claim 18, wherein the edge ring further comprises:
a seal retaining feature adapted to retain a seal to the edge ring.
22. The processing system of claim 11 further comprising:
an edge ring disposed on a surface of the substrate support facing the ring.
23. The processing system of claim 22, wherein the flow control orifices are formed in the edge ring.
24. The processing system of claim 22, wherein the edge ring further comprises:
a base adapted to seat on the substrate support; and
a cover having the seal coupled thereto.
25. The processing system of claim 11, wherein the cover is more thermally conductive than the base.
26. The processing system of claim 11, wherein the cover is comprised of aluminum.
27. The processing system of claim 11, wherein an annular gap is defined between the cover and the base.
28. The processing system of claim 11, wherein the cover further comprises:
an annular disk having a first surface and a second surface;
a lip extending from an inner side of the first surface to the disk, the lip adapted to maintain the disk and the base in a spaced-apart relation; and
a seal retaining feature disposed on the first surface of the disk.
29. The processing system of claim 11, wherein the substrate support is positionable relative to the lid.
30. A processing system, comprising:
a chamber body;
a lid disposed on the chamber body and defining an interior volume therewith;
a substrate support disposed in the interior volume and at least partially defining a processing region with the lid;
a edge ring disposed on a side of the substrate support facing the lid;
a seal disposed between the edge ring and the lid and separating the processing region of the interior volume from a pumping region; and
a plurality of flow control orifices disposed between the processing region and the pumping region of the chamber body.
31. The processing system of claim 30, wherein the substrate support is positionable relative to the lid.
32. The processing system of claim 30, wherein the seal is coupled to at least one of the edge rings or the lid.
33. The processing system of claim 30, wherein the plurality of flow control orifices are defined through at least one of the lid or the edge ring.
34. The processing system of claim 30, wherein the seal further comprises:
a flange adapted to seal against the lid and coupled to a second flange by an annular center member, the second adapted to seal against the edge ring.
35. The processing system of claim 34, wherein the seal further comprises an embedded spring member adapted to urge the first flange away from the second flange.
36. A method of flowing gases through a processing chamber, comprising:
flowing a process gas into a processing region defined between a substrate support and a lid of the chamber body;
flowing gas from the processing region to a pumping region of the chamber body through a plurality of flow control orifices defined at the perimeter of the substrate support; and
flowing process gas through an exhaust port formed in at least one of the chamber body or the lid.
37. The method of claim 36, wherein the step of flowing gas from the processing region to the pumping region further comprises:
flowing gas through a plurality of radial grooves formed in the lid of the chamber body.
38. The method of claim 36, wherein the step of flowing gas from the processing region to the pumping region further comprises:
flowing gas through a plurality of radially aligned grooves formed in an edge ring supported on the substrate support.
39. The method of claim 36, wherein the step of flowing gas from the processing region to the pumping region further comprises:
flowing gas through a plurality of grooves formed in an annular seal disposed between the lid and the substrate support.
40. A method of flowing gas through a processing chamber, comprising:
elevating a substrate disposed on a substrate support toward a lid of the chamber body;
compressing a seal disposed between the substrate support and the lid of the chamber body to separate a processing region bound by the seal and a pumping region of the chamber body;
flowing process gas into the processing region; and
flowing process gas from the processing region into the pumping region through a plurality of flow control orifices.
41. The method of claim 40, wherein the step of flowing gas from the processing region to the pumping region further comprises:
flowing gas through a plurality of radial grooves formed in the lid of the chamber body.
42. The method of claim 40, wherein the step of flowing gas from the processing region to the pumping region further comprises:
flowing gas through a plurality of radially aligned grooves formed in an edge ring supported on the substrate support.
43. The method of claim 40, wherein the step of flowing gas from the processing region to the pumping region further comprises:
flowing gas through a plurality of grooves formed in an annular seal disposed between the lid and the substrate support.
US10/268,438 2002-10-09 2002-10-09 Processing chamber configured for uniform gas flow Abandoned US20040069227A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/268,438 US20040069227A1 (en) 2002-10-09 2002-10-09 Processing chamber configured for uniform gas flow
US11/552,727 US7422637B2 (en) 2002-10-09 2006-10-25 Processing chamber configured for uniform gas flow

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/268,438 US20040069227A1 (en) 2002-10-09 2002-10-09 Processing chamber configured for uniform gas flow

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/552,727 Continuation US7422637B2 (en) 2002-10-09 2006-10-25 Processing chamber configured for uniform gas flow

Publications (1)

Publication Number Publication Date
US20040069227A1 true US20040069227A1 (en) 2004-04-15

Family

ID=32068565

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/268,438 Abandoned US20040069227A1 (en) 2002-10-09 2002-10-09 Processing chamber configured for uniform gas flow
US11/552,727 Expired - Fee Related US7422637B2 (en) 2002-10-09 2006-10-25 Processing chamber configured for uniform gas flow

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/552,727 Expired - Fee Related US7422637B2 (en) 2002-10-09 2006-10-25 Processing chamber configured for uniform gas flow

Country Status (1)

Country Link
US (2) US20040069227A1 (en)

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030172872A1 (en) * 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20030224600A1 (en) * 2002-03-04 2003-12-04 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US20040209430A1 (en) * 2003-01-02 2004-10-21 Choi Han-Mei Method for forming a multi-layered structure of a semiconductor device and methods for forming a capacitor and a gate insulation layer using the multi-layered structure
US20040211665A1 (en) * 2001-07-25 2004-10-28 Yoon Ki Hwan Barrier formation using novel sputter-deposition method
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050115675A1 (en) * 2001-07-16 2005-06-02 Gwo-Chuan Tzu Lid assembly for a processing system to facilitate sequential deposition techniques
US20050139160A1 (en) * 2002-01-26 2005-06-30 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20050189072A1 (en) * 2002-07-17 2005-09-01 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20050209783A1 (en) * 1996-12-20 2005-09-22 Bittleston Simon H Control devices for controlling the position of a marine seismic streamer
US20050257735A1 (en) * 2002-07-29 2005-11-24 Guenther Rolf A Method and apparatus for providing gas to a processing chamber
US20060000805A1 (en) * 2004-06-30 2006-01-05 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060035025A1 (en) * 2002-10-11 2006-02-16 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US20060223286A1 (en) * 2001-07-27 2006-10-05 Chin Barry L Atomic layer deposition apparatus
US20070049053A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070044719A1 (en) * 2002-10-09 2007-03-01 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US20070051472A1 (en) * 2005-09-02 2007-03-08 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US20070079759A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Ampoule splash guard apparatus
JP2007537360A (en) * 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド Apparatus and method for atomic layer deposition of hafnium-containing high dielectric constant dielectric materials
US20080099933A1 (en) * 2006-10-31 2008-05-01 Choi Kenric T Ampoule for liquid draw and vapor draw with a continous level sensor
US20080099431A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080099426A1 (en) * 2006-10-30 2008-05-01 Ajay Kumar Method and apparatus for photomask plasma etching
EP1948843A1 (en) * 2005-11-17 2008-07-30 Beneq Oy Ald reactor
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20090140391A1 (en) * 2007-11-30 2009-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Seal Ring in Semiconductor Device
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7871470B2 (en) 2003-03-12 2011-01-18 Applied Materials, Inc. Substrate support lift mechanism
US20110126852A1 (en) * 2009-11-30 2011-06-02 Lam Research Corporation Electrostatic chuck with an angled sidewall
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20120266821A1 (en) * 2005-01-18 2012-10-25 Asm America, Inc. Reaction system for growing a thin film
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20160312360A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
CN106637124A (en) * 2015-10-30 2017-05-10 北京北方微电子基地设备工艺研究中心有限责任公司 Deposition ring for physical vapor deposition, and physical vapor deposition equipment
US20180090344A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
CN112863990A (en) * 2020-12-17 2021-05-28 北京北方华创微电子装备有限公司 Semiconductor process equipment and air inlet mechanism thereof
WO2021140271A1 (en) * 2020-01-10 2021-07-15 Picosun Oy Substrate processing apparatus and method
US11104991B2 (en) * 2016-10-24 2021-08-31 Tokyo Electron Limited Processing apparatus and cover member
US20220068613A1 (en) * 2020-09-01 2022-03-03 Samsung Electronics Co., Ltd. Plasma processing equipment
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11932939B2 (en) 2021-04-28 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7803246B2 (en) * 2007-04-03 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Etching system
EP2215282B1 (en) * 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9779916B2 (en) * 2009-08-31 2017-10-03 Lam Research Corporation Radio frequency (RF) ground return arrangements
US9096930B2 (en) * 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
US8268184B2 (en) * 2010-06-29 2012-09-18 Tokyo Electron Limited Etch process for reducing silicon recess
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
JP6847610B2 (en) * 2016-09-14 2021-03-24 株式会社Screenホールディングス Heat treatment equipment
KR20180080520A (en) 2017-01-04 2018-07-12 삼성전자주식회사 Focus ring and plasma processing apparatus including the same
US20190338420A1 (en) * 2018-05-04 2019-11-07 Applied Materials, Inc. Pressure skew system for controlling center-to-edge pressure change
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5027746A (en) * 1988-03-22 1991-07-02 U.S. Philips Corporation Epitaxial reactor having a wall which is protected from deposits
US5133986A (en) * 1990-10-05 1992-07-28 International Business Machines Corporation Plasma enhanced chemical vapor processing system using hollow cathode effect
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5441703A (en) * 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6578287B2 (en) * 1997-07-11 2003-06-17 Asm America, Inc. Substrate cooling system and method
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6590186B2 (en) * 2001-01-30 2003-07-08 Tokyo Electron Limited Heat treatment apparatus and method
US6593484B2 (en) * 2000-12-25 2003-07-15 Kabushikikaisha Kojundokagaku Kenkyusho Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for mocvd using the same, and a method of forming a tantalum nitride film using the same
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20030143747A1 (en) * 2002-01-30 2003-07-31 Niklas Bondestam Active pulse monitoring in a chemical reactor
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPH01302726A (en) * 1988-02-10 1989-12-06 Japan Synthetic Rubber Co Ltd Reactive ion etching equipment
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US5688359A (en) 1995-07-20 1997-11-18 Micron Technology, Inc. Muffle etch injector assembly
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5578167A (en) * 1996-01-31 1996-11-26 Motorola, Inc. Substrate holder and method of use
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
FI972874A0 (en) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6556962B1 (en) 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US5441703A (en) * 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5027746A (en) * 1988-03-22 1991-07-02 U.S. Philips Corporation Epitaxial reactor having a wall which is protected from deposits
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5133986A (en) * 1990-10-05 1992-07-28 International Business Machines Corporation Plasma enhanced chemical vapor processing system using hollow cathode effect
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6572705B1 (en) * 1994-11-28 2003-06-03 Asm America, Inc. Method and apparatus for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6578287B2 (en) * 1997-07-11 2003-06-17 Asm America, Inc. Substrate cooling system and method
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20030101927A1 (en) * 1999-09-08 2003-06-05 Ivo Raaijmakers Apparatus and method for growth of a thin film
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030089308A1 (en) * 1999-09-08 2003-05-15 Ivo Raaijmakers Apparatus and method for growth of a thin film
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20030140854A1 (en) * 1999-12-28 2003-07-31 Vaino Kilpi Apparatus for growing thin films
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US6579372B2 (en) * 2000-06-24 2003-06-17 Ips, Ltd. Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US6593484B2 (en) * 2000-12-25 2003-07-15 Kabushikikaisha Kojundokagaku Kenkyusho Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for mocvd using the same, and a method of forming a tantalum nitride film using the same
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US6590186B2 (en) * 2001-01-30 2003-07-08 Tokyo Electron Limited Heat treatment apparatus and method
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20030143747A1 (en) * 2002-01-30 2003-07-31 Niklas Bondestam Active pulse monitoring in a chemical reactor
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Cited By (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050209783A1 (en) * 1996-12-20 2005-09-22 Bittleston Simon H Control devices for controlling the position of a marine seismic streamer
US9587310B2 (en) 2001-03-02 2017-03-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20050115675A1 (en) * 2001-07-16 2005-06-02 Gwo-Chuan Tzu Lid assembly for a processing system to facilitate sequential deposition techniques
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US10280509B2 (en) 2001-07-16 2019-05-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20110114020A1 (en) * 2001-07-16 2011-05-19 Gwo-Chuan Tzu Lid assembly for a processing system to facilitate sequential deposition techniques
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20040211665A1 (en) * 2001-07-25 2004-10-28 Yoon Ki Hwan Barrier formation using novel sputter-deposition method
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8626330B2 (en) 2001-07-27 2014-01-07 Applied Materials, Inc. Atomic layer deposition apparatus
US7860597B2 (en) 2001-07-27 2010-12-28 Applied Materials, Inc. Atomic layer deposition apparatus
US7660644B2 (en) 2001-07-27 2010-02-09 Applied Materials, Inc. Atomic layer deposition apparatus
US20100099270A1 (en) * 2001-07-27 2010-04-22 Chin Barry L Atomic layer deposition apparatus
US20060223286A1 (en) * 2001-07-27 2006-10-05 Chin Barry L Atomic layer deposition apparatus
US9031685B2 (en) 2001-07-27 2015-05-12 Applied Materials, Inc. Atomic layer deposition apparatus
US8027746B2 (en) 2001-07-27 2011-09-27 Applied Materials, Inc. Atomic layer deposition apparatus
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080041313A1 (en) * 2001-10-26 2008-02-21 Ling Chen Gas delivery apparatus for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7699023B2 (en) * 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030172872A1 (en) * 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20070095285A1 (en) * 2002-01-25 2007-05-03 Thakur Randhir P Apparatus for cyclical depositing of thin films
US8123860B2 (en) * 2002-01-25 2012-02-28 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US20090056626A1 (en) * 2002-01-25 2009-03-05 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US20050139160A1 (en) * 2002-01-26 2005-06-30 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030224600A1 (en) * 2002-03-04 2003-12-04 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US20050189072A1 (en) * 2002-07-17 2005-09-01 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20050257735A1 (en) * 2002-07-29 2005-11-24 Guenther Rolf A Method and apparatus for providing gas to a processing chamber
US20070044719A1 (en) * 2002-10-09 2007-03-01 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20060035025A1 (en) * 2002-10-11 2006-02-16 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US7591907B2 (en) * 2002-11-14 2009-09-22 Applied Materials, Inc. Apparatus for hybrid chemical processing
US20090308318A1 (en) * 2002-11-14 2009-12-17 Ling Chen Apparatus and method for hybrid chemical processing
US7402210B2 (en) * 2002-11-14 2008-07-22 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20080274299A1 (en) * 2002-11-14 2008-11-06 Ling Chen Apparatus and method for hybrid chemical processing
US8070879B2 (en) * 2002-11-14 2011-12-06 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20040209430A1 (en) * 2003-01-02 2004-10-21 Choi Han-Mei Method for forming a multi-layered structure of a semiconductor device and methods for forming a capacitor and a gate insulation layer using the multi-layered structure
US6989338B2 (en) * 2003-01-02 2006-01-24 Samsung Electronics Co., Ltd. Method for forming a multi-layered structure of a semiconductor device and methods for forming a capacitor and a gate insulation layer using the multi-layered structure
US7871470B2 (en) 2003-03-12 2011-01-18 Applied Materials, Inc. Substrate support lift mechanism
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
JP2007537360A (en) * 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド Apparatus and method for atomic layer deposition of hafnium-containing high dielectric constant dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000805A1 (en) * 2004-06-30 2006-01-05 Applied Materials, Inc. Method and apparatus for stable plasma processing
US8801896B2 (en) 2004-06-30 2014-08-12 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20120266821A1 (en) * 2005-01-18 2012-10-25 Asm America, Inc. Reaction system for growing a thin film
US9359672B2 (en) * 2005-01-18 2016-06-07 Asm America, Inc. Reaction system for growing a thin film
US10468291B2 (en) 2005-01-18 2019-11-05 Asm America, Inc. Reaction system for growing a thin film
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070049053A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070051472A1 (en) * 2005-09-02 2007-03-08 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US8038837B2 (en) * 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US8414735B2 (en) 2005-09-02 2013-04-09 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US7699295B2 (en) 2005-10-07 2010-04-20 Applied Materials, Inc. Ampoule splash guard apparatus
US20090114157A1 (en) * 2005-10-07 2009-05-07 Wei Ti Lee Ampoule splash guard apparatus
US20070079759A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Ampoule splash guard apparatus
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20090255470A1 (en) * 2005-11-17 2009-10-15 Beneq Oy Ald reactor
EP1948843A4 (en) * 2005-11-17 2010-04-14 Beneq Oy Ald reactor
EP1948843A1 (en) * 2005-11-17 2008-07-30 Beneq Oy Ald reactor
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080099431A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8568553B2 (en) 2006-10-30 2013-10-29 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080099426A1 (en) * 2006-10-30 2008-05-01 Ajay Kumar Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080099933A1 (en) * 2006-10-31 2008-05-01 Choi Kenric T Ampoule for liquid draw and vapor draw with a continous level sensor
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7602065B2 (en) * 2007-11-30 2009-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring in semiconductor device
US20090140391A1 (en) * 2007-11-30 2009-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Seal Ring in Semiconductor Device
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
WO2011065965A3 (en) * 2009-11-30 2011-09-09 Lam Research Corporation An electrostatic chuck with an angled sidewall
US20110126852A1 (en) * 2009-11-30 2011-06-02 Lam Research Corporation Electrostatic chuck with an angled sidewall
CN102666917A (en) * 2009-11-30 2012-09-12 朗姆研究公司 An electrostatic chuck with an angled sidewall
WO2011065965A2 (en) * 2009-11-30 2011-06-03 Lam Research Corporation An electrostatic chuck with an angled sidewall
US20160312360A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
CN106637124A (en) * 2015-10-30 2017-05-10 北京北方微电子基地设备工艺研究中心有限责任公司 Deposition ring for physical vapor deposition, and physical vapor deposition equipment
US10672629B2 (en) * 2016-09-28 2020-06-02 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US20180090344A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US11104991B2 (en) * 2016-10-24 2021-08-31 Tokyo Electron Limited Processing apparatus and cover member
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11626313B2 (en) 2017-11-03 2023-04-11 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
WO2021140271A1 (en) * 2020-01-10 2021-07-15 Picosun Oy Substrate processing apparatus and method
US20220068613A1 (en) * 2020-09-01 2022-03-03 Samsung Electronics Co., Ltd. Plasma processing equipment
US11804367B2 (en) * 2020-09-01 2023-10-31 Samsung Electronics Co., Ltd. Plasma processing equipment
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
CN112863990A (en) * 2020-12-17 2021-05-28 北京北方华创微电子装备有限公司 Semiconductor process equipment and air inlet mechanism thereof
US11932939B2 (en) 2021-04-28 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers

Also Published As

Publication number Publication date
US7422637B2 (en) 2008-09-09
US20070044719A1 (en) 2007-03-01

Similar Documents

Publication Publication Date Title
US7422637B2 (en) Processing chamber configured for uniform gas flow
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
KR102640272B1 (en) Lids and lid kits for atomic layer deposition chambers
US9175394B2 (en) Atomic layer deposition chamber with multi inject
US20200087784A1 (en) Atomic layer deposition chamber with counter-flow multi inject
EP1444380B1 (en) Gas delivery apparatus for atomic layer deposition
US6866746B2 (en) Clamshell and small volume chamber with fixed substrate support
US8668776B2 (en) Gas delivery apparatus and method for atomic layer deposition
KR102305854B1 (en) Micro-Volume Deposition Chamber
US11715667B2 (en) Thermal process chamber lid with backside pumping
US20190048467A1 (en) Showerhead and process chamber incorporating same
US11697877B2 (en) High temperature face plate for deposition application
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
JP2024037816A (en) Heat treatment chamber lid with backside pumping

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KU, VINCENT;CHEN, LING;GRUNES, HOWARD;AND OTHERS;REEL/FRAME:013397/0963;SIGNING DATES FROM 20020917 TO 20021007

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION