US20040000535A1 - Process for etching photomasks - Google Patents

Process for etching photomasks Download PDF

Info

Publication number
US20040000535A1
US20040000535A1 US10/418,795 US41879503A US2004000535A1 US 20040000535 A1 US20040000535 A1 US 20040000535A1 US 41879503 A US41879503 A US 41879503A US 2004000535 A1 US2004000535 A1 US 2004000535A1
Authority
US
United States
Prior art keywords
sccm
gas
processing
containing gas
watts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/418,795
Inventor
Mark Mueller
Serguei Komarov
Ki-Ho Baik
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/418,795 priority Critical patent/US20040000535A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAIK, KI-HO, KOMAROV, SERGUEI, MUELLER, MARK
Publication of US20040000535A1 publication Critical patent/US20040000535A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Definitions

  • the present invention relates to the fabrication of integrated circuits and to the fabrication of photolithographic reticles useful in the manufacture of integrated circuits.
  • High aspect ratio features are conventionally formed by patterning a surface of a substrate to define the dimensions of the features and then etching the substrate to remove material and define the features.
  • the dimensions of the features are required to be formed within certain parameters that are typically defined as the critical dimensions of the features. Consequently, reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate.
  • Photolithography is a technique used to form precise patterns on the substrate surface, and then the patterned substrate surface is etched to form the desired device or features.
  • Photolithography techniques use light patterns and resist materials deposited on a substrate surface to develop precise patterns on the substrate surface prior to the etching process.
  • a resist is applied on the layer to be etched, and the features to be etched in the layer, such as contacts, vias, or interconnects, are defined by exposing the resist to a pattern of light through a photolithographic reticle having a photomask layer disposed thereon.
  • the photomask layer corresponds to the desired configuration of features.
  • a light source emitting ultraviolet (UV) light or low X-ray light may be used to expose the resist in order to alter the composition of the resist.
  • the exposed resist material is removed by a chemical process to expose the underlying substrate material.
  • the exposed underlying substrate material is then etched to form the features in the substrate surface while the retained resist material remains as a protective coating for the unexposed underlying substrate material.
  • Photolithographic reticles typically include a substrate made of an optically transparent silicon-based material, such as quartz (i.e., silicon dioxide, SiO 2 ), having an opaque light-shielding layer of metal, or photomask, typically chromium, disposed on the surface of the substrate.
  • the light-shielding layer is patterned to correspond to the features to be transferred to the substrate.
  • conventional photolithographic reticles are fabricated by first depositing a thin metal layer on a substrate comprising an optically transparent silicon-based material, such as quartz, and depositing a resist layer on the thin metal layer.
  • the resist is then patterned using conventional laser or electron beam patterning equipment to define the critical dimensions to be transferred to the metal layer.
  • the metal layer is then etched to remove the metal material not protected by the patterned resist; thereby exposing the underlying silicon-based material and forming a patterned photomask layer.
  • Photomask layers allow light to pass therethrough in a precise pattern onto the substrate surface.
  • etching processes such as wet etching, tend to etch isotropically, which can result in an undercut phenomenon to occur in the metal layer below the patterned resist.
  • the undercut phenomenon can produce patterned features on the photomask that are not uniformly spaced nor do the features have desired straight, vertical sidewalls, thereby losing the critical dimensions of the features.
  • the isotropic etching of the features may overetch the sidewalls of features in high aspect ratios, resulting in the loss of the critical dimensions of the features.
  • Features formed without the desired critical dimensions in the metal layer can detrimentally affect light passing therethrough and result in less than desirable patterning by the photomask in subsequent photolithographic processes.
  • Plasma etch processing known as dry etch processing or dry etching
  • dry etch processing provides an alternative to wet etching and a more anisotropic etch than wet etching processes.
  • the dry etching process has been shown to produce less undercutting and to improve the retention of the critical dimensions of the photomask features with straighter sidewalls and flatter bottoms.
  • a plasma of etching gases such as chlorine, oxidizing gases, such as oxygen, and inert gases, such as helium, are used to etch the metal layers formed on the substrate.
  • the etching gases are introduced into the processing systems at flow rates of less than 300 sccm for etching conventional photomask reticles.
  • Oxidizing gases such as oxygen, promote overetching or imprecise etching of the sidewalls of the openings formed in the resist material used to define the critical dimensions of the metal layer. Excess side removal of the resist material results in a loss of the critical dimensions of the patterned resist features, which may correspond to a loss of critical dimensions of the features formed in the metal layer defined by the patterned resist layer. It has been observed that increasing flow rates of etching gases causes excessive etching of both resist materials and substrate materials.
  • etching bias can be as large as 120 nm in photomask patterns used to form 0.14 ⁇ m features on substrate surfaces.
  • the loss or gain of critical dimensions of the pattern formed in the metal layer can detrimentally affect the light passing therethrough and produce numerous patterning defects and subsequent etching defects in the substrate patterned by the photolithographic reticle.
  • the loss or gain of critical dimensions of the photomask can result in insufficient photolithographic performance for etching high aspect ratios of sub-micron features, and if the loss or gain of critical dimensions is severe enough, the failure of the photolithographic reticle or subsequently etched device.
  • One solution to preserving the critical dimensions of a feature is to use processing gases containing passivating materials, such as hydrocarbons, which may form polymeric deposits on the sidewalls of features and prevent overetching.
  • passivating materials such as hydrocarbons
  • polymer-forming compounds may deposit on chamber components and become a source of particulate matter in the processing chamber. Particulate matter may deposit on the substrate surface and detrimentally affect the etching process as well as subsequent processing.
  • aspects of the invention generally provide methods and related chemistry for etching a metal layer deposited on a silicon-based substrate, such as a photolithographic reticle.
  • a method for processing a photolithographic reticle including positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a metal photomask layer formed on a silicon-based substrate and a patterned resist material deposited on the metal photomask layer, introducing a processing gas at a flow rate of greater than about 300 sccm, wherein the processing gas comprises an oxygen containing gas and a halogen containing gas, and delivering power to the processing chamber to generate a plasma of the processing gas, and supplying a bias power to the support member of greater than about 5 watts, and removing exposed portions of the metal photomask layer.
  • a method for processing a photolithographic reticle including positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a chromium-based photomask layer formed on an optically transparent silicon-based material and a patterned resist material deposited on the chromium-based photomask layer, introducing a processing gas comprising chlorine gas and oxygen gas at a flow rate of at least 350 sccm, wherein the molar ratio between the chlorine gas and the oxygen gas is between about 1:1.5 and about 4:1, maintaining a chamber pressure between about 2 milliTorr and about 50 milliTorr, delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to generate a plasma, supplying a bias power to the support member of greater than about 5 watts, and etching exposed portions of the chromium-based photomask layer and removing the chromium-based photomask layer at a removal rate ratio of
  • a method for processing a reticle including positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a chromium-based photomask layer formed on an optically transparent silicon-based material and a patterned resist material deposited on the chromium-based photomask layer, introducing a first processing gas comprising an inert gas, a halogen containing gas, and an oxygen containing gas, wherein the halogen containing gas and the oxygen containing gas have a flow rate of about 100 sccm or less, delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to generate a plasma, introducing a second processing gas comprising a halogen containing gas, and an oxygen containing gas, wherein the halogen containing gas and the oxygen containing gas have a flow rate of at least 350 sccm, delivering power to the processing chamber of about 1000 watts or less to a
  • FIG. 1 is a schematic cross-sectional view of one embodiment of an etching chamber
  • FIG. 2 is a flow chart illustrating one embodiment of a sequence for processing a substrate according to one embodiment of the invention
  • FIGS. 3 A- 3 E are cross-sectional views showing an etching sequence of another embodiment of the invention.
  • Suitable inductively coupled plasma etch chambers include the Decoupled Plasma Source (DPSTM) chamber available from Applied Materials, Inc., of Santa Clara, Calif., or the ETEC TetraTM photomask etch chamber available from ETEC of Hayward, Calif.
  • DPSTM Decoupled Plasma Source
  • ETEC TetraTM photomask etch chamber available from ETEC of Hayward, Calif.
  • process chambers may be used including, for example, capacitively coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs. Examples of such suitable processing chambers are disclosed in U.S. patent application Ser. No. 09/325,026, filed on Jun. 3, 1999, which is incorporated by reference to the extent not inconsistent with the claims and disclosures described herein. Although the processes are advantageously performed with the DPSTM processing chamber, the description in conjunction with the DPSTM processing chamber is illustrative and should not be construed or interpreted to limit the scope of aspects of the invention.
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a DPSTM processing chamber that may be used for performing the processes described herein.
  • the processing chamber 10 generally includes a cylindrical sidewall or chamber body 12 , an energy transparent dome 13 mounted on the body 12 , and a chamber bottom 17 .
  • a flat lid (not shown) or other alternative lid capable of being used with an inductive coil may be used in place of the dome 13 .
  • An inductive coil 26 is disposed around at least a portion of the dome 13 .
  • the chamber body 12 and the chamber bottom 17 of the processing chamber 10 can be made of a metal, such as anodized aluminum, and the dome 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • a substrate support member 16 is disposed in the processing chamber 10 to support a substrate 20 during processing.
  • the support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode.
  • a reticle adapter may be used to secure the reticle on the support member 16 .
  • the reticle adapter generally includes a lower portion milled to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a reticle.
  • a suitable reticle adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, which is incorporated herein by reference to the extent not inconsistent with aspects and claims of the invention.
  • Processing gases are introduced into the processing chamber 10 from a process gas source (not shown) through a gas distributor 22 peripherally disposed about the support member 16 .
  • Mass flow controllers (not shown) for each processing gas, or alternatively, for mixtures of the processing gas, are disposed between the processing chamber 10 and the process gas source to regulate the respective flow rates of the process gases.
  • the mass flow controllers can regulate up to about 1000 sccm flow rate for each processing gas or processing gas mixture.
  • a plasma zone 14 is defined by the process chamber 10 , the substrate support member 16 and the dome 13 .
  • a plasma is formed in the plasma zone 14 from the processing gases using a coil power supply 27 to power the inductor coil 26 to generate an electromagnetic field in the plasma zone 14 .
  • the support member 16 includes an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10 .
  • RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded.
  • the capacitive electric field is transverse to the plane of the support member 16 , and influences the directionality of charged species to provide more vertically oriented anisotropic etching of the substrate 20 .
  • Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust system 30 .
  • the exhaust system 30 may be disposed in the bottom 17 of the processing chamber 10 or may be disposed in the body 12 of the processing chamber 10 for removal of processing gases.
  • a throttle valve 32 is provided in an exhaust port 34 for controlling the pressure in the processing chamber 10 .
  • An optical endpoint measurement device can be connected to the processing chamber 10 to determine the endpoint of a process performed in the chamber.
  • a photolithographic reticle includes a metal layer, such as chromium or chromium oxynitride, known as a photomask, deposited on an optically transparent substrate.
  • the metal layer is etched to produce a photomask layer having features with desired critical dimensions.
  • a processing gas including an oxygen containing gas and a halogen containing gas is used for etching the metal layer.
  • the processing gas may include an inert gas.
  • the processing gas has a flow rate greater than about 300 sccm. Etching of exposed metal material occurs by generating a plasma of the processing gas and supplying a bias to the reticle of greater than about 5 watts.
  • a plasma strike may be used to initiate or generate the plasma prior to introducing the processing gas at the compositions and flow rates described herein for the etching process.
  • the etching process described herein surprisingly and unexpectedly etched exposed metal layers with minimal etch bias, vertical etch profiles, and produced openings and patterns having desired critical dimensions.
  • the processing gas may include an oxygen containing gas and a halogen containing gas.
  • the oxygen containing gas may include oxygen (O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ), and combinations thereof, of which oxygen is preferred.
  • the oxygen containing gas provides a source of etching radicals.
  • Carbon containing, oxygen containing gases may provide a source of material for passivating polymer deposits which may improve etch bias.
  • the halogen containing gas may include chlorine containing gases selected from the group of chlorine (Cl 2 ), carbon tetrachloride (CCl 4 ), hydrochloric acid (HCl), and combinations thereof, of which Cl 2 is preferred, which are used to supply highly reactive radicals to etch the metal layer.
  • the chlorine containing gas provides a source of etching radicals and Carbon containing chlorine containing gases may provide a source of material for forming passivating polymer deposits that may improve etch bias.
  • the halogen containing gas and the oxygen containing gas are provided in a molar ratio of halogen containing gas and the oxygen containing gas of between about 1:1.5 and about 4:1, for example, a chlorine to oxygen molar ratio of about 2.7:1.
  • the molar ratio translates into the halogen containing gas generally including between about 40% and about 80% of the total moles of the processing gas.
  • a concentration of halogen containing gas of between about 50 vol % and about 70 vol % has been observed to provide satisfactory etching results.
  • the processing gas may also include an inert gas which, when ionized as part of the plasma including the processing gas, results in sputtering species to increase the etching rate of the features.
  • an inert gas as part of the plasma may also enhance dissociation of the active processing gases.
  • inert gases include argon (Ar), helium (He), neon (Ne), xenon (Xe), krypton (Kr), and combinations thereof, of which argon and helium are generally used.
  • the inert gas may be provided in a molar ratio of oxygen containing gas to inert gas of between about 0.5:1 and about 1:1, for example a helium to oxygen molar ratio of about 0.7:1.
  • the inert gases typically comprise between about 5 vol % and about 40 vol %, such as between about 15 vol % and about 25 vol % of the total gas flow for the process.
  • a “striking” gas of between about 75 vol % and about 100 vol % of an inert gas may be used to initiate the plasma prior to introducing the etching processing gas.
  • the total flow rate of the processing gases are introduced at a flow rate of greater than about 300 sccm, such as between about 300 sccm and about 1000 sccm for etching a 150 mm by 150 mm square photolithographic reticle in an etch chamber.
  • a total processing gas flow rate between about 400 sccm and about 700 sccm may be used in the etching process described herein.
  • the total gas flow of the processing gas, including the inert gas flow may vary based upon a number of processing factors, such as the size of the processing chamber, the size of the substrate being processed, and the specific etching profile desired by the operator.
  • the halogen containing gas is introduced into the processing chamber at a flow rate of at least about 200 sccm for etching a 150 mm by 150 mm square photolithographic reticle in an etch chamber.
  • the halogen containing gas may have a flow rate between about 200 sccm and about 600 sccm for use in the etching process described herein.
  • the oxygen containing gases are introduced into the processing chamber at a flow rate of at least 100 sccm for etching a 150 mm by 150 mm square photolithographic reticle in an etch chamber.
  • the oxygen containing gas has a flow rate of at least 150 sccm, such as between about 150 sccm and about 400 sccm, for use in the etching process described herein.
  • the processing chamber pressure is maintained between about 2 milliTorr and about 50 milliTorr.
  • a chamber pressure between about 5 milliTorr and about 35 milliTorr, preferably between about 15 milliTorr and about 32 milliTorr may be maintained during the etching process.
  • the substrate temperature during processing is about 150° C. or less.
  • a substrate temperature below about 150° C. or less has minimal heat degradation of materials, such as resist materials, deposited on the substrate during the photolithographic reticle fabrication processes with the processing gases described herein.
  • the substrate temperature between about 20° C. and about 150° C., preferably between about 20° C. and about 50° C., may be used to etch photomask features with minimal heat degradation of material disposed on the substrate surface. It is also believed that the substrate temperature helps regulate the formation of passivating polymer deposits by limiting polymerization reactions during the etching process.
  • the sidewalls of the processing chamber are maintained at a temperature of less than about 70° C., and the dome is maintained at a temperature of less than about 80° C. to maintain consistent processing conditions and to minimize polymer formation on the surfaces of the processing chamber.
  • a bias power of less than about 200 watts is applied to the substrate to increase directionality of the etching radicals with respect to the surface of the substrate.
  • a bias power of less than 50 watts such as between about 20 watts and about 40 watts, may be used in the etching process.
  • a bias between about 25 watts and 35 watts has been observed to provide sufficient directionality of etching radicals during the etching process.
  • the etching processes described herein, under the conditions disclosed, produces a removal rate ratio, i.e., selectivity or etch bias, of metal layer to resist of about 1:1 or greater.
  • a selectivity of chromium to resist of about 3:1 or greater has been observed in substrates processed by the etching process described herein. Increased selectivity results in preserving the critical dimension patterned in the photoresist layer and allows for etched chromium features to have the desired critical dimensions.
  • the etching process was also observed to remove “top” or upper surface resist material independent of “side” feature resist material, which is consistent with anisotropic etching and improved feature formation. Additionally, processed substrates have produced features with the desired critical dimension with an almost vertical profile, i.e., an angle of about 90° between the sidewall of the feature and the bottom of the feature compared to prior art results of about 85° to about 88°.
  • Micro-loading is broadly defined herein as the difference in the etch rates of the same material disposed in or exposed by different sized feature definitions, i.e., the difference in etching rates of the same material exposed by a 1 ⁇ m width feature and a 100 ⁇ m width feature.
  • Improved micro-loading is broadly understood as having similar etching rates for different sized features.
  • Macro-loading is broadly defined herein as the difference in the etch rates of different amounts of exposed materials, i.e., the difference in etching rates for a substrate surface exposing 1% chromium or 90% chromium. Improved macro-loading is broadly understood as having similar etching rates for different amounts of exposed materials.
  • Linearity is broadly defined herein as the difference between the actual features etched and the desired or patterned feature for a number of different sized features, i.e., the difference in the actual size of 0.24 micron and 1 micron sized features from the resist pattern of 0.24 micron and 1 micron sized features. Improved linearity is broadly understood as having improved accuracy and reproducibility of the features from the patterned resist.
  • a plasma strike may be used to generate the plasma in the processing chamber prior to introducing the processing gases for the etching process at the desired amounts and concentrations as described herein. It is believed that helium atoms are more likely to ionize greater and form a more uniform plasma under processing conditions having equivalent power levels than chlorine atoms or oxygen atoms. The ionization of helium allows for a plasma to be generated at higher chamber pressures and at lower source power and higher bias power as well as forming a stable plasma more rapidly than halogen containing gases and oxygen containing gases.
  • a processing gas for the plasma strike generally includes an inert gas, optionally, an oxygen containing gas as described herein, or optionally, a halogen containing gas as described herein.
  • the plasma strike processing gas is introduced into a processing chamber at between about 300 sccm and about 1000 sccm, for example, a flow rate of about 500 sccm.
  • the flow rates of the combined gases are about 100 sccm or less of the total flow rate.
  • the oxygen containing gas may have a flow rate of about 100 sccm or less, and the halogen containing gas may have a flow rate of about 100 sccm or less.
  • a molar ratio of halogen containing gas to oxygen containing is generally about 1:1 or greater, such as a chlorine to oxygen molar ratio of about 1.33:1.
  • a molar ratio of inert gas to oxygen containing gas is generally about 3:1 or greater, such as a helium to oxygen molar ratio of about 5:1.
  • the gas flow rates may be introduced into the processing chamber for less than 30 seconds, such as about 5 seconds, for stabilization of the processing gas flow rates.
  • the chamber pressure is established between about 2 milliTorr and about 50 milliTorr, for example, between about 20 milliTorr and about 30 milliTorr.
  • Source power is supplied to a coil at a range between about 300 watts and about 1000 watts, such as about 500 watts.
  • a bias is supplied at a range between about 1 watt and about 50 watts, such as between about 20 watts and about 40 watts.
  • the source power used to strike the plasma may be less than the power used during etching of the substrate.
  • the processing conditions and the plasma conditions of the plasma strike process may approximate those of the etching process with the processing gas described herein including total flow rates, chamber pressures, source power, and bias power.
  • the plasma strike process may be for about 15 seconds or less, such as between about 1 and about 5 seconds.
  • a plasma strike processing gas comprising helium, chlorine, and oxygen
  • a plasma strike processing gas comprising helium, chlorine, and oxygen
  • the chamber pressure is established at about 20 milliTorr, and a plasma strike is generated by applying a source power of 500 watts with an applied bias power of 30 watts for about 3 seconds.
  • the processing of the substrate may include a power application process of striking a plasma, modifying the power level to that of the etching conditions, for example, striking a plasma at 500 watts but etching at 650 watts, stabilizing the power, and then performing the etch process.
  • the power application process may be performed for a few seconds in which etching of the substrate surface may occur.
  • adjusting the flow rates of the reactive oxygen containing and halogen containing processing gas to less than 100 sccm minimizes etching.
  • Etching is also minimized by utilizing a source power level that is lower than that of the subsequent etching step.
  • the processing gas composition may be modified to that of the etching gas by reducing the inert gas flow rate and increasing the flow rate of the halogen containing gas and the oxygen containing gas.
  • etching metal layers such as chromium and chromium oxynitride
  • the etching gases may be used to etch other metal layers formed on substrates in semiconductor and photolithographic reticle manufacturing.
  • FIG. 2 is a flow chart of one embodiment of one sequence of an etching process.
  • the flow chart is provided for illustrative purposes and should not be construed as limiting the scope of the aspects of the invention.
  • a substrate typically comprising a silicon-based reticle, such as optical quality quartz, molybdenum silicide, or molybdenum silicon oxynitride (MoSi X N Y O Z ) is provided to a processing chamber at step 210 , such as the DPSTM processing chamber 10 of FIG. 1.
  • the substrate is then processed by depositing an opaque metal layer as a metal photomask layer, typically comprising chromium, on the substrate at step 220 .
  • the dimensions of openings or patterns to be formed in the metal layer are patterned by depositing and pattern etching a first resist material to expose the metal photomask layer at step 230 .
  • the resist materials used in photolithographic reticle fabrication are usually low temperature resist materials, defined herein as materials that thermally degrade at temperatures above about 250° C. Resist materials may be patterned optically, i.e., photoresist materials, or by another radiative energy patterning device, such as an ion beam emitter.
  • the substrate may then be further processed to etch the silicon-based materials for use as a phase-shift photolithographic reticle.
  • the silicon-based material of the substrate is prepared for etching by depositing and pattern etching a second resist material 250 on the metal photomask layer and exposed portions of silicon-based material.
  • the substrate is then transferred to a DPSTM processing chamber where a processing gas containing compounds adapted to etch the silicon-based material is introduced into the processing chamber and a plasma is generated, thereby etching 260 the exposed silicon-based material of the substrate.
  • etching of the silicon-based reticle of the substrate includes etching with a processing gas comprising fluorocarbon gases as follows.
  • the processing gas comprising fluorocarbon gases having from 1 to 5 atoms of carbon and from 4 to 8 atoms of fluorine including CF 4 , C 2 F 6 , C 4 F 6 , C 3 F 8 , C 4 F 8 , C 5 F 8 , and combinations thereof, is introduced into a processing chamber, such as the DPSTM described above, at a flow rate between about 25 sccm and about 100 sccm.
  • the chamber is maintained at a pressure between about 2 milliTorr and about 50 milliTorr.
  • An optional inert gas to enhance the etching process may be introduced into the processing chamber at a flow rate between about 30 sccm and about 150 sccm.
  • a source RF power between about 50 watts and about 200 watts is applied to an inductor coil to generate and sustain the plasma during the process.
  • An optional bias power level between about 50 watts and about 200 watts may be applied to the substrate support to enhance control of the etching process.
  • the substrate is maintained at a temperature between about 50° C. and about 150° C.
  • the sidewalls 15 of the processing chamber 10 are maintained at a temperature of less than about 70° C.
  • the dome is maintained at a temperature of less than about 80° C. to maintain consistent processing conditions and to minimize polymer formation on the surfaces of the processing chamber.
  • FIGS. 3 A- 3 E illustrate the composition of the photolithographic reticle prior to the etching steps, as well as, further illustrating the process described above in FIG. 2.
  • a substrate 300 typically made of optical quality quartz material 310 , is introduced into a processing chamber.
  • a metal layer 320 made of chromium is deposited on the quartz material 310 as shown in FIG. 3A.
  • the chromium layer may be deposited by conventional methods known in the art, such as by physical vapor deposition (PVD) or chemical vapor deposition (CVD) techniques.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • the chromium layer 320 is typically deposited to a thickness between about 50 and about 100 nanometers (nm) thick, however, the depth of the layer may change based upon the requirements of the manufacturer and the composition of the materials of the substrate or metal layer.
  • the substrate 300 is then transferred to another processing chamber where a layer of resist material 330 , such as “RISTON,” manufactured by DuPont de Nemours Chemical Company or other similar materials, is deposited upon the chromium layer 320 to a thickness between about 200 and 600 nm thick.
  • the resist material 330 is then pattern etched using conventional laser or electron beam patterning equipment to form a first opening 325 which is used to define the dimensions of the second opening 335 to be formed in the chromium layer 320 .
  • the substrate 300 is then transferred to an etch chamber, such as the DPSTM processing chamber 10 described above, and the chromium layer 320 is etched using metal etching techniques known in the art or by new metal etching techniques that may be developed to form the second opening 335 which expose the underlying quartz material 310 as shown in FIG. 3C.
  • an etch chamber such as the DPSTM processing chamber 10 described above
  • the chromium layer 320 is etched using metal etching techniques known in the art or by new metal etching techniques that may be developed to form the second opening 335 which expose the underlying quartz material 310 as shown in FIG. 3C.
  • An exemplary processing regime for etching metal layers on substrates with the processing gas at the flow rates and bias described herein are as follows.
  • the substrate is placed on the support member 16 , and a processing gas is introduced into the chamber and a plasma is generated to etch the chromium layer 320 .
  • the processing gas comprises oxygen gas, chlorine gas, and an inert gas.
  • the processing gas is introduced into the processing chamber at a flow rate between about 400 sccm and about 750 sccm. For example, a flow rate of about 460 sccm may be used during the etching process.
  • Oxygen gas is introduced into the processing chamber at a flow rate between about 100 sccm and about 400 sccm, for example about 120 sccm.
  • Chlorine gas is introduced into the processing chamber at a flow rate between about 200 sccm and about 600 sccm, for example about 270 sccm.
  • the inert gas for example, helium, is introduced into the processing chamber at a flow rate between about 0 sccm and about 500 sccm, for example about 70 sccm.
  • the processing chamber pressure is maintained between about 15 milliTorr and about 32 milliTorr, for example about 20 milliTorr.
  • a source RF power between about 300 watts and about 1000 watts, for example 650 watts, is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process.
  • a bias power between about 20 watts and about 40 watts, for example about 30 watts, is applied to the substrate support.
  • the substrate temperature is between about 20° C. and about 100° C. during the etching process. Additionally, the sidewalls 15 of the processing chamber 10 are maintained at a temperature of about less than about 70° C. and the dome is maintained at a temperature of less than about 80° C.
  • the above described metal etching process generally produces a selectivity of metal layer to resist of about 3:1 or greater.
  • the substrate 300 is transferred to a processing chamber.
  • the remaining resist material 330 is usually removed from the substrate 300 , by an oxygen plasma process, or other resist removal technique known in the art.
  • the substrate 300 may be further processed to form a phase shift photolithographic reticle by etching the quartz material 310 .
  • the resist material 330 is removed and a second resist material 340 is applied and patterned to expose the underlying quartz material 310 within the second opening 335 .
  • the resist material is deposited to a depth between about 200 nm and 600 nm thick, but may be of any thickness and may also be of the same thickness as the depth of the features to be etched in the quartz material 310 to form the photolithographic reticle.
  • the substrate 300 is then etched to form a third opening 345 in the resist layer 340 , the metal layer 320 , and the quartz material 310 .
  • the second resist material 340 is removed to form a patterned substrate surface 355 .
  • the patterned substrate 300 is then transferred to an etch chamber, such as the DPSTM processing chamber 10 , for plasma etching of the quartz material 310 .
  • the above described processing gas composition and processing regime is believed to provide controllable etching of openings or patterns with desired critical dimensions.
  • the etching of the openings or patterns is generally anisotropic.
  • the anisotropic process removes material deposited on the bottom of the opening at a higher rate than material on the sidewalls of the opening. This results in materials on the sidewalls of the openings being removed at a lower rate than materials on the bottoms of openings.
  • the combination of the flow rates and bias powers described herein improve the anisotropic etch of the plasma etching process, thereby increasing the etching rate of the bottom of the opening in contrast to the etching rate of the sidewalls of the opening.
  • An etch process that etches the sidewalls of the openings at a slower rate will be less likely to overetch the sidewalls allowing for improved preservation of the critical dimensions of the openings being etched, and, thus, reducing etching bias.
  • chromium layers deposited by physical vapor deposition techniques or chemical vapor deposition techniques may incorporate contaminants, such as oxygen and nitrogen, during deposition or during substrate handling.
  • Oxygen and nitrogen in the deposited chromium material form chromium oxynitride, which is mainly concentrated in the upper surface of the deposited material, such as the upper 30% of the chromium layer.
  • the chromium oxynitride layer performs as an antireflective coating for the substrate and thus improves lithographic patterning of the resist material.
  • the chromium oxynitride film is more sensitive to etching with oxygen radicals than chromium films. A reduced amount of oxygen in the processing gas may be used to effectively etch the chromium oxynitride surface compared to etching the bulk of the remaining chromium layer.
  • a photolithographic reticle including a substrate made of a silicon-based material, preferably optical quality quartz with a chromium photomask layer approximately 100 nanometers (nm) thick disposed thereon is introduced into a processing chamber for resist deposition.
  • a resist such as ZEP, a resist material commercially available from Tokyo-Oka of Japan, or a chemically amplified resist or CAR resist also commercially available from Tokyo-Oka of Japan, is deposited upon the chromium photomask and then patterned using conventional laser or electron beam patterning equipment.
  • the resist deposited on the etched chromium photomask is between about 200 nm and about 600 nm thick, for example, between about 300 nm and about 400 nm thick, but may be of any thickness desired.
  • the reticle is placed in an etch chamber such as the DPSTM metal etch chamber described above.
  • the patterned substrate also described above is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of about 20 milliTorr.
  • a plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts.
  • a bias power of 30 watts was applied to the cathode pedestal.
  • the substrate surface is maintained at a temperature between about 20° C. and about 50° C.
  • the chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition.
  • the etching of the opening occurred under the following gas flows: Oxygen (O 2 ), at 120 sccm Chlorine gas (Cl 2 ), at 270 sccm Helium (He), at 70 sccm.
  • the total flow rate was about 460 sccm for the above listed processing gases.
  • the etching process was performed for a sufficient time to form the openings in the metal layer.
  • the reticle is placed in an etch chamber such as the DPSTM metal etch chamber described above.
  • the patterned substrate is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of about 20 milliTorr.
  • a plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts.
  • a bias power of 30 watts was applied to the cathode pedestal.
  • the substrate surface is maintained at a temperature between about 20° C. and about 50° C.
  • the chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition.
  • the etching of the opening occurred under the following gas flows: Oxygen (O 2 ), at 200 sccm Chlorine gas (Cl 2 ), at 300 sccm Helium (He), at 40 sccm.
  • the total flow rate was about 540 sccm for the above listed processing gases.
  • the etching process was performed for a sufficient time to form the openings in the metal layer.
  • the reticle is placed in an etch chamber such as the DPSTM metal etch chamber described above.
  • the patterned substrate is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of about 20 milliTorr.
  • a plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts.
  • a bias power of 30 watts was applied to the cathode pedestal.
  • the substrate surface is maintained at a temperature between about 20° C. and about 50° C.
  • the chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition.
  • the etching of the opening occurred under the following gas flows: Oxygen (O 2 ), at 360 sccm Chlorine gas (Cl 2 ), at 240 sccm Helium (He), at 0 sccm.
  • the total flow rate was about 600 sccm for the above listed processing gases.
  • the etching process was performed for a sufficient time to form the openings in the metal layer.
  • the reticle is placed in an etch chamber such as the DPSTM metal etch chamber described above.
  • the patterned substrate is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of between about 20 milliTorr and about 30 milliTorr.
  • a plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts.
  • a bias power of 30 watts was applied to the cathode pedestal.
  • the substrate surface is maintained at a temperature between about 20° C. and about 50° C.
  • the chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition.
  • the etching of the opening occurred under the following gas flows: Oxygen (O 2 ), at 180 sccm Chlorine gas (Cl 2 ), at 480 sccm Helium (He), at 0-40 sccm.
  • the total flow rate was about 660-700 sccm for the above listed processing gases.
  • the etching process was performed for a sufficient time to form the openings in the metal layer.

Abstract

Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a substrate including positioning a substrate having a metal photomask layer disposed on a silicon-based material in a processing chamber, introducing a processing gas at a flow rate of greater than about 350 sccm with the processing gas comprising an oxygen containing gas, a halogen containing gas, and optionally, an inert gas, into the processing chamber, generating a plasma of the processing gas in the processing chamber, generating a bias of about 50 watts or less, and etching exposed portions of the metal layer disposed on the substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application serial No. 60/374,239, filed Apr. 19, 2002, which is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to the fabrication of integrated circuits and to the fabrication of photolithographic reticles useful in the manufacture of integrated circuits. [0003]
  • 2. Background of the Related Art [0004]
  • Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.15 μm and even 0.13 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries. [0005]
  • The increasing circuit densities have placed additional demands on processes used to fabricate semiconductor devices. For example, as circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Reliable formation of high aspect ratio features is important to the success of sub-micron technology and to the continued effort to increase circuit density and quality of individual substrates. [0006]
  • High aspect ratio features are conventionally formed by patterning a surface of a substrate to define the dimensions of the features and then etching the substrate to remove material and define the features. To form high aspect ratio features with a desired ratio of height to width, the dimensions of the features are required to be formed within certain parameters that are typically defined as the critical dimensions of the features. Consequently, reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate. [0007]
  • Photolithography is a technique used to form precise patterns on the substrate surface, and then the patterned substrate surface is etched to form the desired device or features. Photolithography techniques use light patterns and resist materials deposited on a substrate surface to develop precise patterns on the substrate surface prior to the etching process. In conventional photolithographic processes, a resist is applied on the layer to be etched, and the features to be etched in the layer, such as contacts, vias, or interconnects, are defined by exposing the resist to a pattern of light through a photolithographic reticle having a photomask layer disposed thereon. The photomask layer corresponds to the desired configuration of features. A light source emitting ultraviolet (UV) light or low X-ray light, for example, may be used to expose the resist in order to alter the composition of the resist. Generally, the exposed resist material is removed by a chemical process to expose the underlying substrate material. The exposed underlying substrate material is then etched to form the features in the substrate surface while the retained resist material remains as a protective coating for the unexposed underlying substrate material. [0008]
  • Photolithographic reticles typically include a substrate made of an optically transparent silicon-based material, such as quartz (i.e., silicon dioxide, SiO[0009] 2), having an opaque light-shielding layer of metal, or photomask, typically chromium, disposed on the surface of the substrate. The light-shielding layer is patterned to correspond to the features to be transferred to the substrate. Generally, conventional photolithographic reticles are fabricated by first depositing a thin metal layer on a substrate comprising an optically transparent silicon-based material, such as quartz, and depositing a resist layer on the thin metal layer. The resist is then patterned using conventional laser or electron beam patterning equipment to define the critical dimensions to be transferred to the metal layer. The metal layer is then etched to remove the metal material not protected by the patterned resist; thereby exposing the underlying silicon-based material and forming a patterned photomask layer. Photomask layers allow light to pass therethrough in a precise pattern onto the substrate surface.
  • Conventional etching processes, such as wet etching, tend to etch isotropically, which can result in an undercut phenomenon to occur in the metal layer below the patterned resist. The undercut phenomenon can produce patterned features on the photomask that are not uniformly spaced nor do the features have desired straight, vertical sidewalls, thereby losing the critical dimensions of the features. Additionally, the isotropic etching of the features may overetch the sidewalls of features in high aspect ratios, resulting in the loss of the critical dimensions of the features. Features formed without the desired critical dimensions in the metal layer can detrimentally affect light passing therethrough and result in less than desirable patterning by the photomask in subsequent photolithographic processes. [0010]
  • Plasma etch processing, known as dry etch processing or dry etching, provides an alternative to wet etching and a more anisotropic etch than wet etching processes. The dry etching process has been shown to produce less undercutting and to improve the retention of the critical dimensions of the photomask features with straighter sidewalls and flatter bottoms. In conventional dry etching processing, a plasma of etching gases, such as chlorine, oxidizing gases, such as oxygen, and inert gases, such as helium, are used to etch the metal layers formed on the substrate. The etching gases are introduced into the processing systems at flow rates of less than 300 sccm for etching conventional photomask reticles. [0011]
  • Oxidizing gases, such as oxygen, promote overetching or imprecise etching of the sidewalls of the openings formed in the resist material used to define the critical dimensions of the metal layer. Excess side removal of the resist material results in a loss of the critical dimensions of the patterned resist features, which may correspond to a loss of critical dimensions of the features formed in the metal layer defined by the patterned resist layer. It has been observed that increasing flow rates of etching gases causes excessive etching of both resist materials and substrate materials. [0012]
  • One solution to excessive etching is to minimize the amount of etching gases or to reduce the concentration of etching gases in the plasma being generated for dry etch processing. However, such compositions have been observed not to sufficiently etch the features to provide the necessary critical dimensions. Failure to sufficiently etch the features to the critical dimensions is referred to as a “gain” of critical dimensions. The degree of loss or gain of the critical dimensions in the metal layer is referred to as “etching bias” or “CD bias”. The etching bias can be as large as 120 nm in photomask patterns used to form 0.14 μm features on substrate surfaces. [0013]
  • The loss or gain of critical dimensions of the pattern formed in the metal layer can detrimentally affect the light passing therethrough and produce numerous patterning defects and subsequent etching defects in the substrate patterned by the photolithographic reticle. The loss or gain of critical dimensions of the photomask can result in insufficient photolithographic performance for etching high aspect ratios of sub-micron features, and if the loss or gain of critical dimensions is severe enough, the failure of the photolithographic reticle or subsequently etched device. [0014]
  • One solution to preserving the critical dimensions of a feature is to use processing gases containing passivating materials, such as hydrocarbons, which may form polymeric deposits on the sidewalls of features and prevent overetching. However, polymer-forming compounds may deposit on chamber components and become a source of particulate matter in the processing chamber. Particulate matter may deposit on the substrate surface and detrimentally affect the etching process as well as subsequent processing. [0015]
  • Therefore, there remains a need for a process and chemistry for etching a metal layer on a substrate, such as a reticle, to produce a pattern with desired critical dimensions in the metal layer. [0016]
  • SUMMARY OF THE INVENTION
  • Aspects of the invention generally provide methods and related chemistry for etching a metal layer deposited on a silicon-based substrate, such as a photolithographic reticle. In one aspect, a method is provided for processing a photolithographic reticle including positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a metal photomask layer formed on a silicon-based substrate and a patterned resist material deposited on the metal photomask layer, introducing a processing gas at a flow rate of greater than about 300 sccm, wherein the processing gas comprises an oxygen containing gas and a halogen containing gas, and delivering power to the processing chamber to generate a plasma of the processing gas, and supplying a bias power to the support member of greater than about 5 watts, and removing exposed portions of the metal photomask layer. [0017]
  • In another aspect, a method is provided for processing a photolithographic reticle including positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a chromium-based photomask layer formed on an optically transparent silicon-based material and a patterned resist material deposited on the chromium-based photomask layer, introducing a processing gas comprising chlorine gas and oxygen gas at a flow rate of at least 350 sccm, wherein the molar ratio between the chlorine gas and the oxygen gas is between about 1:1.5 and about 4:1, maintaining a chamber pressure between about 2 milliTorr and about 50 milliTorr, delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to generate a plasma, supplying a bias power to the support member of greater than about 5 watts, and etching exposed portions of the chromium-based photomask layer and removing the chromium-based photomask layer at a removal rate ratio of chromium-based photomask layer to resist material of about 1:1 or greater. [0018]
  • In yet another aspect, a method is provided for processing a reticle including positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a chromium-based photomask layer formed on an optically transparent silicon-based material and a patterned resist material deposited on the chromium-based photomask layer, introducing a first processing gas comprising an inert gas, a halogen containing gas, and an oxygen containing gas, wherein the halogen containing gas and the oxygen containing gas have a flow rate of about 100 sccm or less, delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to generate a plasma, introducing a second processing gas comprising a halogen containing gas, and an oxygen containing gas, wherein the halogen containing gas and the oxygen containing gas have a flow rate of at least 350 sccm, delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to maintain a plasma, supplying a bias power to the support member of greater than about 5 Watts, and etching exposed portions of the chromium-based photomask layer[0019]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0020]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0021]
  • FIG. 1 is a schematic cross-sectional view of one embodiment of an etching chamber; [0022]
  • FIG. 2 is a flow chart illustrating one embodiment of a sequence for processing a substrate according to one embodiment of the invention; [0023]
  • FIGS. [0024] 3A-3E are cross-sectional views showing an etching sequence of another embodiment of the invention.
  • DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENT
  • Aspects of the invention will be described below in reference to an inductively coupled plasma etch chamber. Suitable inductively coupled plasma etch chambers include the Decoupled Plasma Source (DPS™) chamber available from Applied Materials, Inc., of Santa Clara, Calif., or the ETEC Tetra™ photomask etch chamber available from ETEC of Hayward, Calif. [0025]
  • Other process chambers may be used including, for example, capacitively coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs. Examples of such suitable processing chambers are disclosed in U.S. patent application Ser. No. 09/325,026, filed on Jun. 3, 1999, which is incorporated by reference to the extent not inconsistent with the claims and disclosures described herein. Although the processes are advantageously performed with the DPS™ processing chamber, the description in conjunction with the DPS™ processing chamber is illustrative and should not be construed or interpreted to limit the scope of aspects of the invention. [0026]
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a DPS™ processing chamber that may be used for performing the processes described herein. The [0027] processing chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent dome 13 mounted on the body 12, and a chamber bottom 17. A flat lid (not shown) or other alternative lid capable of being used with an inductive coil may be used in place of the dome 13. An inductive coil 26 is disposed around at least a portion of the dome 13. The chamber body 12 and the chamber bottom 17 of the processing chamber 10 can be made of a metal, such as anodized aluminum, and the dome 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • A substrate support member [0028] 16 is disposed in the processing chamber 10 to support a substrate 20 during processing. The support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode. While not shown, a reticle adapter may be used to secure the reticle on the support member 16. The reticle adapter generally includes a lower portion milled to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a reticle. A suitable reticle adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, which is incorporated herein by reference to the extent not inconsistent with aspects and claims of the invention.
  • Processing gases are introduced into the [0029] processing chamber 10 from a process gas source (not shown) through a gas distributor 22 peripherally disposed about the support member 16. Mass flow controllers (not shown) for each processing gas, or alternatively, for mixtures of the processing gas, are disposed between the processing chamber 10 and the process gas source to regulate the respective flow rates of the process gases. The mass flow controllers can regulate up to about 1000 sccm flow rate for each processing gas or processing gas mixture.
  • A [0030] plasma zone 14 is defined by the process chamber 10, the substrate support member 16 and the dome 13. A plasma is formed in the plasma zone 14 from the processing gases using a coil power supply 27 to power the inductor coil 26 to generate an electromagnetic field in the plasma zone 14. The support member 16 includes an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10. Typically, RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded. The capacitive electric field is transverse to the plane of the support member 16, and influences the directionality of charged species to provide more vertically oriented anisotropic etching of the substrate 20.
  • Process gases and etchant byproducts are exhausted from the [0031] process chamber 10 through an exhaust system 30. The exhaust system 30 may be disposed in the bottom 17 of the processing chamber 10 or may be disposed in the body 12 of the processing chamber 10 for removal of processing gases. A throttle valve 32 is provided in an exhaust port 34 for controlling the pressure in the processing chamber 10. An optical endpoint measurement device can be connected to the processing chamber 10 to determine the endpoint of a process performed in the chamber.
  • While the following process description illustrates one embodiment of etching a substrate using processing gases as described herein, the invention contemplates the use of processing parameters outside the ranges described herein for performing this process in different apparatus, such as a different etching chamber, and for different substrate sizes, such as photolithographic reticles for 300 mm substrate processing. [0032]
  • Exemplary Etch Process [0033]
  • Generally a photolithographic reticle includes a metal layer, such as chromium or chromium oxynitride, known as a photomask, deposited on an optically transparent substrate. The metal layer is etched to produce a photomask layer having features with desired critical dimensions. A processing gas including an oxygen containing gas and a halogen containing gas is used for etching the metal layer. The processing gas may include an inert gas. The processing gas has a flow rate greater than about 300 sccm. Etching of exposed metal material occurs by generating a plasma of the processing gas and supplying a bias to the reticle of greater than about 5 watts. A plasma strike may be used to initiate or generate the plasma prior to introducing the processing gas at the compositions and flow rates described herein for the etching process. The etching process described herein surprisingly and unexpectedly etched exposed metal layers with minimal etch bias, vertical etch profiles, and produced openings and patterns having desired critical dimensions. [0034]
  • The processing gas may include an oxygen containing gas and a halogen containing gas. The oxygen containing gas may include oxygen (O[0035] 2), carbon monoxide (CO), carbon dioxide (CO2), and combinations thereof, of which oxygen is preferred. The oxygen containing gas provides a source of etching radicals. Carbon containing, oxygen containing gases may provide a source of material for passivating polymer deposits which may improve etch bias.
  • The halogen containing gas may include chlorine containing gases selected from the group of chlorine (Cl[0036] 2), carbon tetrachloride (CCl4), hydrochloric acid (HCl), and combinations thereof, of which Cl2 is preferred, which are used to supply highly reactive radicals to etch the metal layer. The chlorine containing gas provides a source of etching radicals and Carbon containing chlorine containing gases may provide a source of material for forming passivating polymer deposits that may improve etch bias.
  • The halogen containing gas and the oxygen containing gas are provided in a molar ratio of halogen containing gas and the oxygen containing gas of between about 1:1.5 and about 4:1, for example, a chlorine to oxygen molar ratio of about 2.7:1. The molar ratio translates into the halogen containing gas generally including between about 40% and about 80% of the total moles of the processing gas. A concentration of halogen containing gas of between about 50 vol % and about 70 vol % has been observed to provide satisfactory etching results. [0037]
  • The processing gas may also include an inert gas which, when ionized as part of the plasma including the processing gas, results in sputtering species to increase the etching rate of the features. The presence of an inert gas as part of the plasma may also enhance dissociation of the active processing gases. Examples of inert gases include argon (Ar), helium (He), neon (Ne), xenon (Xe), krypton (Kr), and combinations thereof, of which argon and helium are generally used. The inert gas may be provided in a molar ratio of oxygen containing gas to inert gas of between about 0.5:1 and about 1:1, for example a helium to oxygen molar ratio of about 0.7:1. The inert gases typically comprise between about 5 vol % and about 40 vol %, such as between about 15 vol % and about 25 vol % of the total gas flow for the process. A “striking” gas of between about 75 vol % and about 100 vol % of an inert gas may be used to initiate the plasma prior to introducing the etching processing gas. [0038]
  • The total flow rate of the processing gases, including the inert gases, are introduced at a flow rate of greater than about 300 sccm, such as between about 300 sccm and about 1000 sccm for etching a 150 mm by 150 mm square photolithographic reticle in an etch chamber. A total processing gas flow rate between about 400 sccm and about 700 sccm may be used in the etching process described herein. However, the total gas flow of the processing gas, including the inert gas flow, may vary based upon a number of processing factors, such as the size of the processing chamber, the size of the substrate being processed, and the specific etching profile desired by the operator. [0039]
  • The halogen containing gas is introduced into the processing chamber at a flow rate of at least about 200 sccm for etching a 150 mm by 150 mm square photolithographic reticle in an etch chamber. The halogen containing gas may have a flow rate between about 200 sccm and about 600 sccm for use in the etching process described herein. [0040]
  • The oxygen containing gases are introduced into the processing chamber at a flow rate of at least 100 sccm for etching a 150 mm by 150 mm square photolithographic reticle in an etch chamber. Typically, the oxygen containing gas has a flow rate of at least 150 sccm, such as between about 150 sccm and about 400 sccm, for use in the etching process described herein. [0041]
  • Generally, the processing chamber pressure is maintained between about 2 milliTorr and about 50 milliTorr. A chamber pressure between about 5 milliTorr and about 35 milliTorr, preferably between about 15 milliTorr and about 32 milliTorr may be maintained during the etching process. [0042]
  • The substrate temperature during processing is about 150° C. or less. A substrate temperature below about 150° C. or less has minimal heat degradation of materials, such as resist materials, deposited on the substrate during the photolithographic reticle fabrication processes with the processing gases described herein. The substrate temperature between about 20° C. and about 150° C., preferably between about 20° C. and about 50° C., may be used to etch photomask features with minimal heat degradation of material disposed on the substrate surface. It is also believed that the substrate temperature helps regulate the formation of passivating polymer deposits by limiting polymerization reactions during the etching process. Additionally, the sidewalls of the processing chamber are maintained at a temperature of less than about 70° C., and the dome is maintained at a temperature of less than about 80° C. to maintain consistent processing conditions and to minimize polymer formation on the surfaces of the processing chamber. [0043]
  • Generally, a source RF power level of about 1000 watts or less is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process. A power level between about 300 watts and about 1000 watts, such as about 650 watts, has been observed to provide sufficient plasma of the processing gases for etching the substrate surface. The recited source RF power levels have been observed to produce sufficient etching radicals and polymerization radicals from the processing gases to etch the exposed metal layer disposed on the substrate while providing a sufficiently low power level, compared to prior art metal etch processes, for the substrate temperatures to be about 150° C. or less. [0044]
  • Generally, a bias power of less than about 200 watts is applied to the substrate to increase directionality of the etching radicals with respect to the surface of the substrate. A bias power of less than 50 watts, such as between about 20 watts and about 40 watts, may be used in the etching process. A bias between about 25 watts and 35 watts has been observed to provide sufficient directionality of etching radicals during the etching process. [0045]
  • It has been surprisingly and unexpectedly observed that the processing gas flow rates of greater than about 300 sccm and an applied bias power greater than about 5 watts, for example, between about 20 watts and 40 watts, etch metal layers with vertical etch profiles, and produce openings and patterns having desired critical dimensions compared to prior art etching process having lower flow rate of less than 300 sccm or less and low bias powers of about 5 watts or less. It was also surprising and unexpected to produce such results in the absence of passivating gases as used in prior art applications. [0046]
  • The etching processes described herein, under the conditions disclosed, produces a removal rate ratio, i.e., selectivity or etch bias, of metal layer to resist of about 1:1 or greater. A selectivity of chromium to resist of about 3:1 or greater has been observed in substrates processed by the etching process described herein. Increased selectivity results in preserving the critical dimension patterned in the photoresist layer and allows for etched chromium features to have the desired critical dimensions. The etching process was also observed to remove “top” or upper surface resist material independent of “side” feature resist material, which is consistent with anisotropic etching and improved feature formation. Additionally, processed substrates have produced features with the desired critical dimension with an almost vertical profile, i.e., an angle of about 90° between the sidewall of the feature and the bottom of the feature compared to prior art results of about 85° to about 88°. [0047]
  • It has also been observed that etching the metal layers of the reticles have improved micro-loading, macro-loading, and linearity, over prior art etch processes having lower flow rates and lower bias powers than described herein. Micro-loading is broadly defined herein as the difference in the etch rates of the same material disposed in or exposed by different sized feature definitions, i.e., the difference in etching rates of the same material exposed by a 1 μm width feature and a 100 μm width feature. Improved micro-loading is broadly understood as having similar etching rates for different sized features. Macro-loading is broadly defined herein as the difference in the etch rates of different amounts of exposed materials, i.e., the difference in etching rates for a substrate surface exposing 1% chromium or 90% chromium. Improved macro-loading is broadly understood as having similar etching rates for different amounts of exposed materials. Linearity is broadly defined herein as the difference between the actual features etched and the desired or patterned feature for a number of different sized features, i.e., the difference in the actual size of 0.24 micron and 1 micron sized features from the resist pattern of 0.24 micron and 1 micron sized features. Improved linearity is broadly understood as having improved accuracy and reproducibility of the features from the patterned resist. [0048]
  • It is believed that generating a plasma of the processing gases at increased processing gas flow rates and increased bias power removes exposed portions of the metal layer without excessive etching of the sidewalls of the openings (or pattern) formed in the resist material and those features being formed in the metal layer during etching to produce the desired critical dimensions. [0049]
  • In one aspect, a plasma strike may be used to generate the plasma in the processing chamber prior to introducing the processing gases for the etching process at the desired amounts and concentrations as described herein. It is believed that helium atoms are more likely to ionize greater and form a more uniform plasma under processing conditions having equivalent power levels than chlorine atoms or oxygen atoms. The ionization of helium allows for a plasma to be generated at higher chamber pressures and at lower source power and higher bias power as well as forming a stable plasma more rapidly than halogen containing gases and oxygen containing gases. [0050]
  • A processing gas for the plasma strike generally includes an inert gas, optionally, an oxygen containing gas as described herein, or optionally, a halogen containing gas as described herein. The plasma strike processing gas is introduced into a processing chamber at between about 300 sccm and about 1000 sccm, for example, a flow rate of about 500 sccm. When the oxygen containing gas and the halogen containing gas are present in the plasma strike processing gas, the flow rates of the combined gases are about 100 sccm or less of the total flow rate. The oxygen containing gas may have a flow rate of about 100 sccm or less, and the halogen containing gas may have a flow rate of about 100 sccm or less. A molar ratio of halogen containing gas to oxygen containing is generally about 1:1 or greater, such as a chlorine to oxygen molar ratio of about 1.33:1. A molar ratio of inert gas to oxygen containing gas is generally about 3:1 or greater, such as a helium to oxygen molar ratio of about 5:1. The gas flow rates may be introduced into the processing chamber for less than 30 seconds, such as about 5 seconds, for stabilization of the processing gas flow rates. [0051]
  • The chamber pressure is established between about 2 milliTorr and about 50 milliTorr, for example, between about 20 milliTorr and about 30 milliTorr. Source power is supplied to a coil at a range between about 300 watts and about 1000 watts, such as about 500 watts. A bias is supplied at a range between about 1 watt and about 50 watts, such as between about 20 watts and about 40 watts. The source power used to strike the plasma may be less than the power used during etching of the substrate. The processing conditions and the plasma conditions of the plasma strike process may approximate those of the etching process with the processing gas described herein including total flow rates, chamber pressures, source power, and bias power. The plasma strike process may be for about 15 seconds or less, such as between about 1 and about 5 seconds. [0052]
  • An example of a plasma strike process is as follows. A plasma strike processing gas, comprising helium, chlorine, and oxygen, is introduced into the processing chamber at a total flow rate of about 480 sccm with a helium flow rate of about 400 sccm, a chlorine flow rate of about 50 sccm, and an oxygen flow rate of about 30 sccm for a period of about 5 seconds. The chamber pressure is established at about 20 milliTorr, and a plasma strike is generated by applying a source power of 500 watts with an applied bias power of 30 watts for about 3 seconds. [0053]
  • The processing of the substrate may include a power application process of striking a plasma, modifying the power level to that of the etching conditions, for example, striking a plasma at 500 watts but etching at 650 watts, stabilizing the power, and then performing the etch process. The power application process may be performed for a few seconds in which etching of the substrate surface may occur. However, adjusting the flow rates of the reactive oxygen containing and halogen containing processing gas to less than 100 sccm minimizes etching. Etching is also minimized by utilizing a source power level that is lower than that of the subsequent etching step. After striking the plasma, the processing gas composition may be modified to that of the etching gas by reducing the inert gas flow rate and increasing the flow rate of the halogen containing gas and the oxygen containing gas. [0054]
  • While the following description illustrates one embodiment of a process sequence for etching metal layers, such as chromium and chromium oxynitride, as photomasks in photolithographic reticle fabrication, it is contemplated that the etching gases may be used to etch other metal layers formed on substrates in semiconductor and photolithographic reticle manufacturing. [0055]
  • FIG. 2 is a flow chart of one embodiment of one sequence of an etching process. The flow chart is provided for illustrative purposes and should not be construed as limiting the scope of the aspects of the invention. A substrate, typically comprising a silicon-based reticle, such as optical quality quartz, molybdenum silicide, or molybdenum silicon oxynitride (MoSi[0056] XNYOZ) is provided to a processing chamber at step 210, such as the DPS™ processing chamber 10 of FIG. 1. The substrate is then processed by depositing an opaque metal layer as a metal photomask layer, typically comprising chromium, on the substrate at step 220.
  • The dimensions of openings or patterns to be formed in the metal layer are patterned by depositing and pattern etching a first resist material to expose the metal photomask layer at [0057] step 230. The resist materials used in photolithographic reticle fabrication are usually low temperature resist materials, defined herein as materials that thermally degrade at temperatures above about 250° C. Resist materials may be patterned optically, i.e., photoresist materials, or by another radiative energy patterning device, such as an ion beam emitter. Openings and patterns are then formed by etching the metal photomask layer to expose the underlying substrate at step 240 using processing gas containing the oxygen containing gas and halogen containing gas at a flow rate of greater than about 300 sccm and an applied bias of greater than about 5 watts. Optionally, a plasma strike may be used to generate the plasma for etching the metal photomask layer. Following the etching step, any remaining resist materials are removed.
  • Optionally, the substrate may then be further processed to etch the silicon-based materials for use as a phase-shift photolithographic reticle. The silicon-based material of the substrate is prepared for etching by depositing and pattern etching a second resist [0058] material 250 on the metal photomask layer and exposed portions of silicon-based material. The substrate is then transferred to a DPS™ processing chamber where a processing gas containing compounds adapted to etch the silicon-based material is introduced into the processing chamber and a plasma is generated, thereby etching 260 the exposed silicon-based material of the substrate.
  • One example of etching of the silicon-based reticle of the substrate includes etching with a processing gas comprising fluorocarbon gases as follows. The processing gas comprising fluorocarbon gases having from 1 to 5 atoms of carbon and from 4 to 8 atoms of fluorine including CF[0059] 4, C2F6, C4F6, C3F8, C4F8, C5F8, and combinations thereof, is introduced into a processing chamber, such as the DPS™ described above, at a flow rate between about 25 sccm and about 100 sccm. The chamber is maintained at a pressure between about 2 milliTorr and about 50 milliTorr. An optional inert gas to enhance the etching process may be introduced into the processing chamber at a flow rate between about 30 sccm and about 150 sccm. A source RF power between about 50 watts and about 200 watts is applied to an inductor coil to generate and sustain the plasma during the process.
  • An optional bias power level between about 50 watts and about 200 watts may be applied to the substrate support to enhance control of the etching process. During the etching process, the substrate is maintained at a temperature between about 50° C. and about 150° C. Additionally, the sidewalls [0060] 15 of the processing chamber 10 are maintained at a temperature of less than about 70° C., and the dome is maintained at a temperature of less than about 80° C. to maintain consistent processing conditions and to minimize polymer formation on the surfaces of the processing chamber.
  • Etching of the silicon-based material of the substrate by the process described herein is more fully described in U.S. Pat. No. 6,391,790, entitled “Method and Apparatus for Etching Photomasks,” issued on May 21, 2002, and incorporated herein by reference to the extent not inconsistent with aspects of the invention. [0061]
  • FIGS. [0062] 3A-3E illustrate the composition of the photolithographic reticle prior to the etching steps, as well as, further illustrating the process described above in FIG. 2. A substrate 300, typically made of optical quality quartz material 310, is introduced into a processing chamber. A metal layer 320 made of chromium is deposited on the quartz material 310 as shown in FIG. 3A. The chromium layer may be deposited by conventional methods known in the art, such as by physical vapor deposition (PVD) or chemical vapor deposition (CVD) techniques. The chromium layer 320 is typically deposited to a thickness between about 50 and about 100 nanometers (nm) thick, however, the depth of the layer may change based upon the requirements of the manufacturer and the composition of the materials of the substrate or metal layer.
  • Referring to FIG. 3B, the [0063] substrate 300 is then transferred to another processing chamber where a layer of resist material 330, such as “RISTON,” manufactured by DuPont de Nemours Chemical Company or other similar materials, is deposited upon the chromium layer 320 to a thickness between about 200 and 600 nm thick. The resist material 330 is then pattern etched using conventional laser or electron beam patterning equipment to form a first opening 325 which is used to define the dimensions of the second opening 335 to be formed in the chromium layer 320.
  • The [0064] substrate 300 is then transferred to an etch chamber, such as the DPS™ processing chamber 10 described above, and the chromium layer 320 is etched using metal etching techniques known in the art or by new metal etching techniques that may be developed to form the second opening 335 which expose the underlying quartz material 310 as shown in FIG. 3C.
  • An exemplary processing regime for etching metal layers on substrates with the processing gas at the flow rates and bias described herein are as follows. The substrate is placed on the support member [0065] 16, and a processing gas is introduced into the chamber and a plasma is generated to etch the chromium layer 320.
  • In one embodiment of the processing gas, the processing gas comprises oxygen gas, chlorine gas, and an inert gas. The processing gas is introduced into the processing chamber at a flow rate between about 400 sccm and about 750 sccm. For example, a flow rate of about 460 sccm may be used during the etching process. Oxygen gas is introduced into the processing chamber at a flow rate between about 100 sccm and about 400 sccm, for example about 120 sccm. Chlorine gas is introduced into the processing chamber at a flow rate between about 200 sccm and about 600 sccm, for example about 270 sccm. The inert gas, for example, helium, is introduced into the processing chamber at a flow rate between about 0 sccm and about 500 sccm, for example about 70 sccm. [0066]
  • Generally, the processing chamber pressure is maintained between about 15 milliTorr and about 32 milliTorr, for example about 20 milliTorr. A source RF power between about 300 watts and about 1000 watts, for example 650 watts, is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process. A bias power between about 20 watts and about 40 watts, for example about 30 watts, is applied to the substrate support. [0067]
  • The substrate temperature is between about 20° C. and about 100° C. during the etching process. Additionally, the sidewalls [0068] 15 of the processing chamber 10 are maintained at a temperature of about less than about 70° C. and the dome is maintained at a temperature of less than about 80° C. The above described metal etching process generally produces a selectivity of metal layer to resist of about 3:1 or greater.
  • Referring to FIGS. [0069] 3A-3C, after etching of the chromium layer 320 is completed, the substrate 300 is transferred to a processing chamber. The remaining resist material 330 is usually removed from the substrate 300, by an oxygen plasma process, or other resist removal technique known in the art.
  • Referring to FIGS. 3D and 3E, the [0070] substrate 300 may be further processed to form a phase shift photolithographic reticle by etching the quartz material 310. In etching the quartz material 310, the resist material 330 is removed and a second resist material 340 is applied and patterned to expose the underlying quartz material 310 within the second opening 335. The resist material is deposited to a depth between about 200 nm and 600 nm thick, but may be of any thickness and may also be of the same thickness as the depth of the features to be etched in the quartz material 310 to form the photolithographic reticle. The substrate 300 is then etched to form a third opening 345 in the resist layer 340, the metal layer 320, and the quartz material 310. The second resist material 340 is removed to form a patterned substrate surface 355. The patterned substrate 300 is then transferred to an etch chamber, such as the DPS™ processing chamber 10, for plasma etching of the quartz material 310.
  • The above described processing gas composition and processing regime is believed to provide controllable etching of openings or patterns with desired critical dimensions. The etching of the openings or patterns is generally anisotropic. The anisotropic process removes material deposited on the bottom of the opening at a higher rate than material on the sidewalls of the opening. This results in materials on the sidewalls of the openings being removed at a lower rate than materials on the bottoms of openings. The combination of the flow rates and bias powers described herein improve the anisotropic etch of the plasma etching process, thereby increasing the etching rate of the bottom of the opening in contrast to the etching rate of the sidewalls of the opening. An etch process that etches the sidewalls of the openings at a slower rate will be less likely to overetch the sidewalls allowing for improved preservation of the critical dimensions of the openings being etched, and, thus, reducing etching bias. [0071]
  • It is believed that chromium layers deposited by physical vapor deposition techniques or chemical vapor deposition techniques may incorporate contaminants, such as oxygen and nitrogen, during deposition or during substrate handling. Oxygen and nitrogen in the deposited chromium material form chromium oxynitride, which is mainly concentrated in the upper surface of the deposited material, such as the upper 30% of the chromium layer. The chromium oxynitride layer performs as an antireflective coating for the substrate and thus improves lithographic patterning of the resist material. The chromium oxynitride film is more sensitive to etching with oxygen radicals than chromium films. A reduced amount of oxygen in the processing gas may be used to effectively etch the chromium oxynitride surface compared to etching the bulk of the remaining chromium layer. [0072]
  • The invention is further described by the following examples that are not intended to limit the scope of the claimed invention. [0073]
  • EXAMPLES
  • A photolithographic reticle including a substrate made of a silicon-based material, preferably optical quality quartz with a chromium photomask layer approximately 100 nanometers (nm) thick disposed thereon is introduced into a processing chamber for resist deposition. A resist, such as ZEP, a resist material commercially available from Tokyo-Oka of Japan, or a chemically amplified resist or CAR resist also commercially available from Tokyo-Oka of Japan, is deposited upon the chromium photomask and then patterned using conventional laser or electron beam patterning equipment. The resist deposited on the etched chromium photomask is between about 200 nm and about 600 nm thick, for example, between about 300 nm and about 400 nm thick, but may be of any thickness desired. [0074]
  • Example 1
  • The reticle is placed in an etch chamber such as the DPS™ metal etch chamber described above. The patterned substrate also described above is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of about 20 milliTorr. A plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts. A bias power of 30 watts was applied to the cathode pedestal. The substrate surface is maintained at a temperature between about 20° C. and about 50° C. The chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition. The etching of the opening occurred under the following gas flows: [0075]
    Oxygen (O2), at 120 sccm
    Chlorine gas (Cl2), at 270 sccm
    Helium (He), at  70 sccm.
  • The total flow rate was about 460 sccm for the above listed processing gases. The etching process was performed for a sufficient time to form the openings in the metal layer. [0076]
  • Example 2
  • The reticle is placed in an etch chamber such as the DPS™ metal etch chamber described above. The patterned substrate is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of about 20 milliTorr. A plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts. A bias power of 30 watts was applied to the cathode pedestal. The substrate surface is maintained at a temperature between about 20° C. and about 50° C. The chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition. The etching of the opening occurred under the following gas flows: [0077]
    Oxygen (O2), at 200 sccm
    Chlorine gas (Cl2), at 300 sccm
    Helium (He), at  40 sccm.
  • The total flow rate was about 540 sccm for the above listed processing gases. The etching process was performed for a sufficient time to form the openings in the metal layer. [0078]
  • Example 3
  • The reticle is placed in an etch chamber such as the DPS™ metal etch chamber described above. The patterned substrate is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of about 20 milliTorr. A plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts. A bias power of 30 watts was applied to the cathode pedestal. The substrate surface is maintained at a temperature between about 20° C. and about 50° C. The chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition. The etching of the opening occurred under the following gas flows: [0079]
    Oxygen (O2), at 360 sccm
    Chlorine gas (Cl2), at 240 sccm
    Helium (He), at  0 sccm.
  • The total flow rate was about 600 sccm for the above listed processing gases. The etching process was performed for a sufficient time to form the openings in the metal layer. [0080]
  • Example 4
  • The reticle is placed in an etch chamber such as the DPS™ metal etch chamber described above. The patterned substrate is placed on the cathode pedestal of the etch chamber, and the chamber is maintained at a pressure of between about 20 milliTorr and about 30 milliTorr. A plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 650 watts. A bias power of 30 watts was applied to the cathode pedestal. The substrate surface is maintained at a temperature between about 20° C. and about 50° C. The chamber walls and dome were cooled to less than about 70° C. to maintain a steady etch processing condition. The etching of the opening occurred under the following gas flows: [0081]
    Oxygen (O2), at  180 sccm
    Chlorine gas (Cl2), at  480 sccm
    Helium (He), at 0-40 sccm.
  • The total flow rate was about 660-700 sccm for the above listed processing gases. The etching process was performed for a sufficient time to form the openings in the metal layer. [0082]
  • While the foregoing is directed to the exemplary aspects of the invention, other and further aspects of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0083]

Claims (31)

What is claimed is:
1. A method for processing a photolithographic reticle, comprising:
positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a metal photomask layer formed on a silicon-based substrate and a patterned resist material deposited on the metal photomask layer;
introducing a processing gas at a flow rate of at least 300 sccm, wherein the processing gas comprises an oxygen containing gas and a halogen containing gas;
delivering power to the processing chamber to generate a plasma of the processing gas;
supplying a bias power to the support member of greater than about 5 watts; and
removing exposed portions of the metal photomask layer.
2. The method of claim 1, wherein the metal photomask layer comprises chromium, chromium oxynitride, or combinations thereof.
3. The method of claim 1, wherein the silicon-based substrate comprises an optically transparent silicon-based material selected from the group of quartz, molybdenum silicide, molybdenum silicon oxynitride, and combinations thereof.
4. The method of claim 1, wherein the oxygen containing gas has a flow rate of at least 100 sccm.
5. The method of claim 1, wherein the oxygen containing gas has a flow rate between about 150 sccm and 400 sccm.
6. The method of claim 1, wherein the oxygen containing gas is selected from the group of oxygen, carbon monoxide, carbon dioxide, and combinations thereof.
7. The method of claim 1, wherein the halogen containing gas has a flow rate of at least 200 sccm.
8. The method of claim 1, wherein the halogen containing gas has a flow rate between about 200 sccm and 600 sccm.
9. The method of claim 1, wherein the processing gas has a flow rate between about 350 sccm and about 1000 sccm, wherein the oxygen containing gas has a flow rate between about 150 sccm and 400 sccm and the halogen containing gas has a flow rate between about 200 sccm and 600 sccm.
10. The method of claim 1, wherein the halogen containing gas and the oxygen containing gas have a molar ratio between about 1:1.5 and about 4:1.
11. The method of claim 1, wherein the halogen containing gas comprises a chlorine containing gas selected from the group of chlorine, carbon tetrachloride, hydrochloric acid, and combinations thereof.
12. The method of claim 1, wherein the processing gas further comprises an inert gas selected from the group of helium, argon, xenon, neon, krypton, and combinations thereof.
13. The method of claim 1, wherein the inert gas has a flow rate of about 500 sccm or less.
14. The method of claim 1, wherein the bias power is supplied at between about 20 watts and about 40 watts.
15. The method of claim 1, wherein the metal photomask layer and the resist material are removed at a removal rate ratio of metal photomask layer to resist material between about 1:1 and about 3:1.
16. The method of claim 1, wherein processing the reticle comprises introducing the processing gas into a processing chamber, maintaining the processing chamber at a pressure between about 2 milliTorr and about 50 milliTorr, maintaining the reticle at a temperature between about 20° C. and about 150° C., and generating a plasma by supplying a source RF power between about 300 watts and about 1000 watts to a coil in the processing chamber.
17. A method for processing a photolithographic reticle, comprising:
positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a chromium-based photomask layer formed on an optically transparent silicon-based material and a patterned resist material deposited on the chromium-based photomask layer;
introducing a processing gas comprising chlorine gas and oxygen gas at a flow rate of at least 350 sccm, wherein the molar ratio between the chlorine gas and the oxygen gas is between about 1:1.5 and about 4:1;
maintaining a chamber pressure between about 2 milliTorr and about 50 milliTorr;
delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to generate a plasma;
supplying a bias power to the support member of greater than about 5 watts; and
etching exposed portions of the chromium-based photomask layer; and
removing the chromium-based photomask layer at a removal rate ratio of chromium-based photomask layer to resist material of about 1:1 or greater.
18. The method of claim 17, wherein the chromium-based photomask layer comprises chromium, chromium oxynitride, or combinations thereof, and the optically transparent silicon-based material comprises quartz, molybdenum silicide, molybdenum silicon oxynitride, or combinations thereof.
19. The method of claim 17, further comprising introducing an inert gas selected from the group of helium, argon, xenon, neon, krypton, and combinations thereof.
20. The method of claim 17, wherein the processing gas has a flow rate between about 350 sccm and about 1000 sccm, wherein the oxygen gas has a flow rate between about 150 sccm and 400 sccm and the chlorine gas has a flow rate between about 200 sccm and 600 sccm.
21. The method of claim 19, wherein the inert gas has a flow rate of about 500 sccm or less.
22. The method of claim 17, wherein the bias power is supplied at between about 20 watts and about 40 watts.
23. The method of claim 17, wherein processing the reticle comprises introducing the processing gas into a processing chamber, maintaining the processing chamber at a pressure between about 2 milliTorr and about 50 milliTorr, maintaining the reticle at a temperature between about 20° C. and about 150° C., and generating a plasma by supplying a source RF power between about 300 watts and about 1000 watts to a coil to the processing chamber.
24. The method of claim 17, wherein the delivering power to the processing chamber to generate a plasma of the processing gas further comprises a plasma strike.
25. A method for processing a photolithographic reticle, comprising:
positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a chromium-based photomask layer formed on an optically transparent silicon-based material and a patterned resist material deposited on the chromium-based photomask layer;
introducing a first processing gas comprising an inert gas, a halogen containing gas, and an oxygen containing gas, wherein the halogen containing gas and the oxygen containing gas have a flow rate of about 100 sccm or less;
delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to generate a plasma;
introducing a second processing gas comprising a halogen containing gas and an oxygen containing gas, wherein the halogen containing gas and the oxygen containing gas have a flow rate of at least 350 sccm;
delivering power to the processing chamber of about 1000 watts or less to a coil disposed in the processing chamber to maintain a plasma;
supplying a bias power to the support member of greater than about 5 watts; and
etching exposed portions of the chromium-based photomask layer.
26. The method of claim 26, wherein the second processing gas has an oxygen containing gas flow rate between about 150 sccm and 400 sccm, wherein the oxygen containing gas is selected from the group of oxygen, carbon monoxide, carbon dioxide, and combinations thereof.
27. The method of claim 26, wherein the second processing gas halogen containing gas flow rate is between about 200 sccm and 600 sccm, wherein the halogen containing gas comprises a chlorine containing gas is selected from the group of chlorine, carbon tetrachloride, hydrochloric acid, and combinations thereof.
28. The method of claim 26, wherein the second processing gas has a flow rate between about 350 sccm and about 1000 sccm, wherein the oxygen containing gas has a flow rate between about 150 sccm and 400 sccm and the halogen containing gas has a flow rate between about 200 sccm and 600 sccm.
29. The method of claim 26, wherein the second processing gas has a molar ratio of the halogen containing gas to the oxygen containing between about 1:1.5 and about 4:1.
30. The method of claim 26, wherein the second processing gas further comprises an inert gas selected from the group of helium, argon, xenon, neon, krypton, and combinations thereof.
31. The method of claim 26, wherein the plasma is maintained by a process comprising maintaining the processing chamber at a pressure between about 2 milliTorr and about 50 milliTorr, maintaining the reticle at a temperature between about 50° C. and about 150° C., generating a plasma by supplying a source RF power between about 300 watts and about 1000 watts to a coil in the processing chamber, and supplying the bias power at between about 20 watts and about 40 watts.
US10/418,795 2002-04-19 2003-04-18 Process for etching photomasks Abandoned US20040000535A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/418,795 US20040000535A1 (en) 2002-04-19 2003-04-18 Process for etching photomasks

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US37423902P 2002-04-19 2002-04-19
US10/418,795 US20040000535A1 (en) 2002-04-19 2003-04-18 Process for etching photomasks

Publications (1)

Publication Number Publication Date
US20040000535A1 true US20040000535A1 (en) 2004-01-01

Family

ID=29251166

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/418,795 Abandoned US20040000535A1 (en) 2002-04-19 2003-04-18 Process for etching photomasks

Country Status (3)

Country Link
US (1) US20040000535A1 (en)
TW (1) TW200401946A (en)
WO (1) WO2003089990A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040209477A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Methods for substrate orientation
US6960413B2 (en) 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060000805A1 (en) * 2004-06-30 2006-01-05 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20070105381A1 (en) * 2003-08-28 2007-05-10 Chandrachood Madhavi R Process for etching a metal layer suitable for use in photomask fabrication
KR100823949B1 (en) * 2005-06-30 2008-04-22 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for photomask plasma etching
US20080099431A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080099426A1 (en) * 2006-10-30 2008-05-01 Ajay Kumar Method and apparatus for photomask plasma etching
US20080179282A1 (en) * 2006-10-30 2008-07-31 Chandrachood Madhavi R Mask etch process
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4504574A (en) * 1982-05-26 1985-03-12 U.S. Philips Corporation Method of forming a resist mask resistant to plasma etching
US5246801A (en) * 1991-09-20 1993-09-21 At&T Bell Laboratories Method of repairing indentations in phase-shifting lithographic masks
US5262257A (en) * 1989-07-13 1993-11-16 Canon Kabushiki Kaisha Mask for lithography
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US5837405A (en) * 1990-11-29 1998-11-17 Kabushiki Kaisha Toshiba Reticle
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
US6033979A (en) * 1994-09-12 2000-03-07 Nec Corporation Method of fabricating a semiconductor device with amorphous carbon layer
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US6039621A (en) * 1997-07-07 2000-03-21 Candescent Technologies Corporation Gate electrode formation method
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6095883A (en) * 1997-07-07 2000-08-01 Candlescent Technologies Corporation Spatially uniform deposition of polymer particles during gate electrode formation
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6214637B1 (en) * 1999-04-30 2001-04-10 Samsung Electronics Co., Ltd. Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6333138B1 (en) * 1999-03-08 2001-12-25 Kabushiki Kaisha Toshiba Exposure method utilizing partial exposure stitch area
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US20020132173A1 (en) * 2001-03-15 2002-09-19 Rolfson J. Brett Multi-layer, attenuated phase-shifting mask
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6475704B1 (en) * 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US20020187431A1 (en) * 1999-02-16 2002-12-12 Ichiro Tanaka Method of producing two-dimensional phase type optical element
US20030049934A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US20030089680A1 (en) * 2001-10-22 2003-05-15 Johnson David J. Method and apparatus for the etching of photomask substrates using pulsed plasma
US6569608B2 (en) * 1998-09-18 2003-05-27 Canon Kabushiki Kaisha Method of manufacturing an element with multiple-level surface
US20030146485A1 (en) * 2000-03-30 2003-08-07 Kabushiki Kaisha Toshiba Method of etching an object, method of repairing pattern, nitride pattern and semiconductor device
US20030198877A1 (en) * 2002-04-16 2003-10-23 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6869736B2 (en) * 2000-09-04 2005-03-22 Dai Nippon Printing Co., Ltd. Halftone phase shift photomask and blank for halftone phase shift photomask

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4504574A (en) * 1982-05-26 1985-03-12 U.S. Philips Corporation Method of forming a resist mask resistant to plasma etching
US5262257A (en) * 1989-07-13 1993-11-16 Canon Kabushiki Kaisha Mask for lithography
US5837405A (en) * 1990-11-29 1998-11-17 Kabushiki Kaisha Toshiba Reticle
US5246801A (en) * 1991-09-20 1993-09-21 At&T Bell Laboratories Method of repairing indentations in phase-shifting lithographic masks
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
US6033979A (en) * 1994-09-12 2000-03-07 Nec Corporation Method of fabricating a semiconductor device with amorphous carbon layer
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6039621A (en) * 1997-07-07 2000-03-21 Candescent Technologies Corporation Gate electrode formation method
US6095883A (en) * 1997-07-07 2000-08-01 Candlescent Technologies Corporation Spatially uniform deposition of polymer particles during gate electrode formation
US6475704B1 (en) * 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6569608B2 (en) * 1998-09-18 2003-05-27 Canon Kabushiki Kaisha Method of manufacturing an element with multiple-level surface
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US20020187431A1 (en) * 1999-02-16 2002-12-12 Ichiro Tanaka Method of producing two-dimensional phase type optical element
US6333138B1 (en) * 1999-03-08 2001-12-25 Kabushiki Kaisha Toshiba Exposure method utilizing partial exposure stitch area
US6214637B1 (en) * 1999-04-30 2001-04-10 Samsung Electronics Co., Ltd. Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US20030146485A1 (en) * 2000-03-30 2003-08-07 Kabushiki Kaisha Toshiba Method of etching an object, method of repairing pattern, nitride pattern and semiconductor device
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6869736B2 (en) * 2000-09-04 2005-03-22 Dai Nippon Printing Co., Ltd. Halftone phase shift photomask and blank for halftone phase shift photomask
US20020132173A1 (en) * 2001-03-15 2002-09-19 Rolfson J. Brett Multi-layer, attenuated phase-shifting mask
US20030049934A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US20030089680A1 (en) * 2001-10-22 2003-05-15 Johnson David J. Method and apparatus for the etching of photomask substrates using pulsed plasma
US20030198877A1 (en) * 2002-04-16 2003-10-23 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060049137A1 (en) * 2003-03-21 2006-03-09 Applied Materials, Inc. Multi-step process for etching photomasks
US6960413B2 (en) 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
US7371485B2 (en) 2003-03-21 2008-05-13 Applied Materials, Inc. Multi-step process for etching photomasks
US20040209477A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Methods for substrate orientation
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US20070184354A1 (en) * 2003-08-28 2007-08-09 Chandrachood Madhavi R Process for etching photomasks
US7682518B2 (en) 2003-08-28 2010-03-23 Applied Materials, Inc. Process for etching a metal layer suitable for use in photomask fabrication
US20070105381A1 (en) * 2003-08-28 2007-05-10 Chandrachood Madhavi R Process for etching a metal layer suitable for use in photomask fabrication
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8801896B2 (en) 2004-06-30 2014-08-12 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000805A1 (en) * 2004-06-30 2006-01-05 Applied Materials, Inc. Method and apparatus for stable plasma processing
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
EP1612840A3 (en) * 2004-06-30 2007-07-25 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20070017898A1 (en) * 2004-06-30 2007-01-25 Ajay Kumar Method and apparatus for photomask plasma etching
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
KR100823949B1 (en) * 2005-06-30 2008-04-22 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for photomask plasma etching
US20080099426A1 (en) * 2006-10-30 2008-05-01 Ajay Kumar Method and apparatus for photomask plasma etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080179282A1 (en) * 2006-10-30 2008-07-31 Chandrachood Madhavi R Mask etch process
TWI410744B (en) * 2006-10-30 2013-10-01 Applied Materials Inc Method for processing a photolithographic reticle
US8568553B2 (en) 2006-10-30 2013-10-29 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080099431A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Method and apparatus for photomask plasma etching

Also Published As

Publication number Publication date
TW200401946A (en) 2004-02-01
WO2003089990A2 (en) 2003-10-30
WO2003089990A3 (en) 2004-06-10

Similar Documents

Publication Publication Date Title
US8202441B2 (en) Process for etching a metal layer suitable for use in photomask fabrication
US7371485B2 (en) Multi-step process for etching photomasks
TWI391987B (en) Methods for processing a photolithographic reticle
US20060163203A1 (en) Methods and apparatus for etching metal layers on substrates
US7077973B2 (en) Methods for substrate orientation
US20040072081A1 (en) Methods for etching photolithographic reticles
US20080179282A1 (en) Mask etch process
US6534417B2 (en) Method and apparatus for etching photomasks
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US7749400B2 (en) Method for etching photolithographic substrates
WO2001096955A2 (en) A method and apparatus for etching metal layers on substrates
US20040000535A1 (en) Process for etching photomasks
US7115523B2 (en) Method and apparatus for etching photomasks

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MUELLER, MARK;KOMAROV, SERGUEI;BAIK, KI-HO;REEL/FRAME:013805/0664

Effective date: 20030630

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION