US20030061592A1 - General purpose shape-based layout processing scheme for IC layout modifications - Google Patents

General purpose shape-based layout processing scheme for IC layout modifications Download PDF

Info

Publication number
US20030061592A1
US20030061592A1 US10/194,703 US19470302A US2003061592A1 US 20030061592 A1 US20030061592 A1 US 20030061592A1 US 19470302 A US19470302 A US 19470302A US 2003061592 A1 US2003061592 A1 US 2003061592A1
Authority
US
United States
Prior art keywords
layout
shape
opc
features
action
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/194,703
Inventor
Deepak Agrawal
Fang-Cheng Chang
Hyungjip Kim
Yao-Ting Wang
Myunghoon Yoon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Synopsys Merger Holdings LLC
Original Assignee
Numerical Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Numerical Technologies Inc filed Critical Numerical Technologies Inc
Priority to US10/194,703 priority Critical patent/US20030061592A1/en
Publication of US20030061592A1 publication Critical patent/US20030061592A1/en
Assigned to SYNOPSYS, INC. reassignment SYNOPSYS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SYNOPSYS MERGER HOLDINGS LLC
Assigned to SYNOPSYS MERGER HOLDINGS LLC reassignment SYNOPSYS MERGER HOLDINGS LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NUMERICAL TECHNOLOGIES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Definitions

  • the invention relates to the field of photolithography, and more particularly to a system for applying modifications to an IC layout.
  • Photomasks are used in the production of integrated circuits (ICs) to transfer a circuit layout (“IC layout”) onto a receiving substrate, such as a silicon wafer.
  • IC layout circuit layout
  • a photomask is typically a glass plate covered by a thin chrome layer, in which a portion of the IC layout is etched.
  • a source of light or radiation is used to expose this photomask pattern (“photomask layout”) onto a layer of photosensitive resist on the surface of the wafer.
  • photomask layout onto a layer of photosensitive resist on the surface of the wafer.
  • the top surface of the wafer is then chemically etched away in the areas not covered by the photoresist, thereby completing the transfer of the original IC layout onto the wafer.
  • This transference process is known as photolithography.
  • Photolithography is a critical step in the IC manufacturing process.
  • the accuracy of the pattern formed on the wafer (“printed image”) significantly affects both process yield and IC performance.
  • reliably resolving modern IC geometries in the 0.25- ⁇ m range and smaller using existing photolithography equipment is difficult. At such reduced feature sizes, optical distortion impedes exact transfer of the photomask pattern onto the wafer.
  • OPC optical proximity correction
  • the serif is sized such that the printed image corner 130 produced by photomask corner 120 has the desired form.
  • Other OPC features (hammerheads, scattering bars, assist features, etc.) are well known in the art. These modifications to the original IC layout may sometimes be referred to generically as “biases” or “bias features”.
  • Model-based OPC applies corrections to the IC layout and uses models of the photolithography processes to determine the most effective biases.
  • model-based OPC can provide a thorough and detailed set of biases to apply to the original layout.
  • this technique is extremely computation-intensive due to the iterative nature of the process. Also, the accuracy of the final output is only as good as the models used in the calculations.
  • rule-based OPC applies a set of geometry-based rules to the original IC layout.
  • the rules are specified by the user, and define the biases to be incorporated in the photomask layout.
  • Conventional rule-based OPC systems base the application of OPC biases on the geometries of edges within the original IC layout.
  • FIG. 2 a shows a layout feature 200 a, sometimes referred to as a “finger”, which is common in IC layouts. OPC modifications must often be applied to finger features to create photomask layouts that properly transfer the original feature during photolithography.
  • Feature 200 a comprises edges 221 , 222 , 223 , 224 , and 225 . The directions of edges 221 - 225 are as shown, and the inner sides of edges 221 - 225 are on the left.
  • FIG. 2 b shows a printed image 200 b that represents the printed image produced by a photolithography step using unmodified feature 200 a in a photomask pattern.
  • Printed image 200 b shows undesirable corner rounding and shortening in region 230 .
  • various OPC modifications can be incorporated into the photomask layout, depending on the specific dimensions of feature 200 a and its relationship to the rest of the IC layout.
  • FIG. 2 c shows a modified feature 200 c, which includes a hammerhead 240 to correct for the distortions shown in FIG. 2 b.
  • FIG. 2 d shows an alternative modified feature 200 d, which includes serifs 250 as a corrective mechanism.
  • the bias table defines the rules to be applied, each of which is a function of the edge properties.
  • the bias table is typically structured as a look-up table, such as shown in Table 1.
  • Table 1 Edge-Based Bias Table L d ( ⁇ m) ( ⁇ m) BIAS ⁇ 0.25 >1.5 Hammerhead 0.5 ⁇ .05 1.0 ⁇ 0.5 Serifs
  • Table 1 includes sample values for rules that would be applied to edge 223 of FIG. 2 a to create OPC features 240 and 250 , shown in FIGS. 2 c and 2 d, respectively.
  • L represents the length of edge 223
  • d represents the minimum spacing between edges (i.e., the distance between edge 223 and edges 221 and 225 ).
  • a hammerhead feature will be applied.
  • feature 200 a is more squat (i.e., 0.5 ⁇ m wide and 1.0 ⁇ m tall), serifs will be added.
  • the bias table would contain additional sizing and placement information for the various biases.
  • FIG. 2 e shows a feature 200 e that includes an edge 263 .
  • Edge 263 may have the same length and spacing as edge 223 in FIG. 2 a, but it may be desirable to apply OPC correction to only one of the two features.
  • feature 200 a may be a non-critical feature that can tolerate substantial distortion, while feature 200 e must be accurately transferred for proper IC function.
  • Embodiments of the invention provide a system for applying layout processing to an IC layout (a portion of the layout or the entire layout) using a shape-based identification system.
  • a shape can be defined as a set of associated edges. Therefore, a shape can provide much greater specificity than a single edge in identifying layout features of interest.
  • a catalog of shapes can be defined and layout processing actions can be formulated based on the properties of the various shapes. Shapes can include various contiguous edge profiles, such as fingers, hammerheads, diamond hammerheads, fuzzy hammerheads, and tombstones, among others. Shapes can also include non-contiguous edge combinations, edges from multiple layers of an IC layout, and edges with specific properties. Properties inherent in a particular edge can include length, inner color, and outer color, among others. Other properties associated with an edge can include spacing, beginning angle, and ending angle, among others.
  • Each vertex represents a meeting of two edges at a specific angle.
  • the beginning angle is the angle between the inner sides of the edges meeting at the vertex at the beginning of the edge.
  • the ending angle is the angle between the inner sides of the edges meeting at the vertex at the end of the edge.
  • color is simply another convention used to identify the nature of the shape on the inner or outer side of the edge. Colors can be specified for various device or interconnect components, to enable more appropriate OPC rule application (e.g., edges forming a gate may be blue, while edges forming a diffusion region may be red).
  • the library of layout processing actions associated with the shapes can be rule-based, model-based, or can provide any other response a user would like implemented (i.e., “layout processing” can include OPC, phase shift mask (PSM), design rule checking (DRC), “fracturing” of layout features for e-beam mask making machines, etc.).
  • layout processing can include OPC, phase shift mask (PSM), design rule checking (DRC), “fracturing” of layout features for e-beam mask making machines, etc.
  • Specific features can be much more simply, efficiently, and flexibly identified by a single multi-edge structure than by a single edge with multiple relational variables. Therefore, a shape-based feature identification system advantageously enables efficient application of layout processing actions having a high degree of specificity.
  • the library of layout processing actions can be compiled in a bias table in an accessible format, such as a look up table.
  • the IC layout is scanned, and whenever a shape match is detected, the associated action(s) are applied to the matching portion of the IC layout to form the corrected photomask layout.
  • This action application process can be performed serially (i.e., after each match, the corresponding action is performed) in batch mode (i.e., all shapes are matched, after which all actions are applied), or in any desired combination of the two.
  • the shape matching, or “scanning”, operation can be performed in any number of sequences.
  • the scanning operation can be performed in order of decreasing shape complexity, where no feature in the IC layout can be modified more than once.
  • scanning can be performed in order of increasing complexity, where the most complex shape matching/layout modification is performed last.
  • the order of scanning can be set by the user as desired.
  • resolution logic may be provided to resolve shape and action conflicts.
  • the resolution logic comprises a first-shape/action-controls methodology, which can be implemented through an edge-marking technique.
  • the resolution logic comprises a set of resolution rules that mandate a desired outcome when any conflict arises.
  • the catalog of shapes and the library of layout processing actions may be provided by the system as a default.
  • the library of shape-based rules can be modified in part or created in whole by the user.
  • the shapes and actions may be received from a remote source such as a remote server.
  • the bias table can also include single-edge “filler shapes” that provide default layout modification for portions of the IC layout not covered by the multi-edge shapes.
  • the bias table can include both rule-based OPC actions and model-based OPC actions.
  • FIG. 1 a illustrates a portion of a photomask pattern and the resulting printed image without OPC
  • FIG. 1 b illustrates a portion of a photomask pattern with OPC and the resulting printed image
  • FIG. 2 a illustrates an IC layout feature, showing edge directions and vertices
  • FIG. 2 b illustrates a printed image of the IC layout feature of FIG. 2 a without OPC
  • FIG. 2 c illustrates a photomask layout feature of the IC layout feature of FIG. 2 a after OPC modification
  • FIG. 2 d illustrates a photomask layout feature of the IC layout feature of FIG. 2 a after an alternative OPC modification
  • FIG. 2 e illustrates an IC layout feature similar to the IC layout feature of FIG. 2 a;
  • FIG. 3 a illustrates a portion of an IC layout including two distinct features
  • FIG. 3 b illustrates a portion of a photomask layout of the IC layout of FIG. 3 a after selective OPC application
  • FIGS. 4 a, 4 b, and 4 c illustrate sample shapes in a shape-based rule library of the invention
  • FIGS. 5 a, 5 b, and 5 c illustrate sample IC layout features including prior OPC modifications
  • FIG. 5 d illustrates a desired mask layout feature to be created from the features in FIGS. 5 a, 5 b, and 5 c;
  • FIG. 6 a illustrates an example of a shape comprising non-contiguous edges
  • FIG. 6 b illustrates an example of multi-layer IC layout features
  • FIG. 6 c illustrates an example of a shape-based modification for PSM
  • FIG. 6 d illustrates an example of a branching IC layout feature
  • FIG. 6 e illustrates an example of nesting IC layout features
  • FIGS. 7 a - 7 c illustrate IC layout features that differ from each other only in certain regions
  • FIG. 7 d illustrates a shape having an indeterminate section that provides wildcard functionality
  • FIG. 8 shows a flow diagram of a basic shape match/action application process
  • FIGS. 9 a and 9 b illustrate sample shapes and their associated actions
  • FIG. 9 c illustrates a shape and action conflict
  • FIG. 10 a illustrates a schematic diagram of a shape-based OPC system
  • FIG. 10 b illustrates a schematic diagram of an OPC engine
  • FIG. 11 illustrates an embodiment of a shape-based OPC system including access to remote action and shape databases
  • FIG. 12 shows a sample graphical user interface for defining shapes and actions.
  • An embodiment of the invention provides a system and method for applying layout processing to an IC layout using a shape-based approach.
  • the shape-based approach advantageously enables accurate and efficient application of layout modifications.
  • FIG. 3 a shows a sample IC layout element 300 a from a larger IC layout (not shown).
  • the outline of element 300 a has been selected for explanatory purposes only. The invention may be applied to any configuration of edges in an IC layout.
  • Element 300 a comprises a series of contiguous edges 321 - 334 .
  • Element 300 a includes a feature 320 a, comprising edges 323 - 325 , and a feature 340 a, comprising edges 328 - 332 .
  • Edges 329 - 331 in feature 340 a form a grouping substantially similar in size and configuration to feature 320 a.
  • a shape-based OPC system in accordance with an embodiment of the invention could apply different bias features to the photomask layout for features 320 a and 340 a.
  • FIG. 3 b shows an example of a photomask layout element 300 b that could correspond to IC layout element 300 a shown in FIG. 3 a.
  • Photomask layout element 300 b includes features 320 b and 340 b that correspond to features 320 a and 340 a, respectively, in FIG. 3 a.
  • feature 320 b includes no OPC modifications, but feature 340 b includes a bias feature 350 (hammerhead).
  • bias feature 350 hammerhead
  • a catalog of “shapes” can be established, based on groupings of associated edges. Each shape represents a type of feature (or range of features) that may be present in an IC layout. Each individual shape within the catalog can be defined by the properties associated with its grouping of edges.
  • Table 2 lists a number of sample properties in accordance with an embodiment of the invention. The listing in Table 2 is intended to be explanatory rather than comprehensive. Other properties will become readily apparent to those of ordinary skill in the art. TABLE 2 Partial Listing of Shape Properties Spacing Min. Spacing Length Inner Color Outer Color Height Width Neighbor Width Min. Width Inner Distance Min. Inner Distance Continuity Outer Distance Min. Outer Distance Min. Continuity Radial Spacing Min. Radial Spacing Angle
  • FIG. 4 a shows a shape 410 comprising an edge E 411 and an edge E 412 forming a corner at a vertex V 413 .
  • FIG. 4 b shows a shape 420 comprising an edge E 421 joined at a vertex V 424 to an edge E 422 , which in turn is joined at a vertex V 425 to an edge E 423 .
  • FIG. 4 c shows a shape 430 that comprises contiguous edges E 431 -E 435 , which are joined at vertices V 436 -V 439 , respectively.
  • edges 410 , 420 , and 430 can present problems for conventional OPC systems.
  • Edge-based systems can have difficulty differentiating edges E 412 , E 422 , and E 433 in FIGS. 4 a, 4 b, and 4 c, respectively, since the edges “look” similar in each configuration.
  • the shape-based approach of the invention allows each different implementation to be selected without confusion.
  • a shape-based approach in accordance with an aspect of the invention also enables efficient identification of complex features.
  • an IC layout may have existing (inadequate) OPC modifications that can be replaced with more accurate/appropriate corrections.
  • IC layout features 510 including a hammerhead bias feature 511
  • 520 including a “fuzzy hammerhead” bias feature 521
  • 530 including a “diamond hammerhead” bias feature 531
  • FIGS. 5 a, 5 b, and 5 c could represent unsuccessful OPC modifications to a finger feature.
  • 5 d might represent the desired configuration after proper OPC modification. If the original IC layout is no longer available, it would be desirable to perform OPC on the existing (modified) feature.
  • a conventional edge-based OPC system would have difficulty identifying features 510 , 520 , or 530 as having been previously modified, and so might try to apply corrections on top of original bias features 511 , 521 , and 531 , respectively, rather than replacing them with hammerhead feature 541 .
  • a shape-based system in accordance with the invention could define a shape having the same configuration as feature 510 , 520 , or 530 , thereby enabling straightforward detection of the prior OPC modifications.
  • a shape may include non-contiguous edges.
  • FIG. 6 a shows a shape 600 comprising edges E 01 -E 09 .
  • Edges E 01 -E 05 are contiguous, forming a “tombstone” feature that is physically disconnected from contiguous edges E 06 -E 09 .
  • the use of only contiguous edges E 01 -E 05 to define a shape would require the formulation of additional rules to detect the presence of a feature like that formed by edges E 06 -E 09 within the shape.
  • the additional rules would also consume greater processing resources, since each feature matching a shape formed by edges E 01 -E 05 would have to be checked for the presence of an interior feature.
  • a shape may include edges from different layers of an IC layout, where each layer represents a different process step in the manufacture of the IC.
  • FIG. 6 b shows IC layout features 610 , 620 , and 630 , which are part of a single layer in a larger IC layout (not shown).
  • Feature 610 comprises contiguous edges E 11 , E 12 , and E 13
  • feature 620 comprises contiguous edges E 21 , E 22 , and E 23
  • feature 630 comprises contiguous edges E 31 , E 32 , and E 33 .
  • Features 610 , 620 , and 630 are all similarly sized. However, only feature 610 is positioned over a feature L 2 , which is part of a different layer (not shown) of the IC layout.
  • Feature L 2 might, for example, represent a diffusion region, thereby indicating that feature 610 is to be used to form a transistor gate.
  • the printed image corresponding to feature 610 probably demands much greater accuracy than the printed images corresponding to features 620 and 630 . Therefore, a shape may be defined that includes edges E 11 -E 13 of feature 610 , and also includes edges (or all) of feature L 2 . By defining a shape in this manner, critical features such as feature 610 can be readily distinguished from less critical features such as features 620 and 630 .
  • FIG. 6 c shows a layout transistor feature 650 comprising a source region 651 ( a ), a drain region 651 ( b ), a gate region 652 , and an interconnect region 653 .
  • Source region 651 ( a ) comprises edges 654 - 659 .
  • PSM techniques may be used to provide accurate resolution of transistor feature 650 .
  • regions 651 ( a ) and 651 ( b ) the accuracy of gate region 652 can be enhanced.
  • an OPC feature such as a gate serif 660 may be required to maintain the accuracy of region 651 ( a ) where it is not bounded by region 651 ( b ).
  • gate serifs can be added to all IC layout features similar to region 651 ( a ).
  • FIG. 6 d shows a branching shape 660 comprising edges 661 - 673 comprising multiple extremities.
  • This type of branching arrangement would be difficult to identify using conventional edge-based identification, due to the angular relationships of the edges and the multiple arms.
  • matching IC layout features can be readily identified.
  • IC layout features with any number of branches can be identified in a similar fashion.
  • FIG. 6 e shows a shape 680 comprising contiguous edges 681 - 683 and contiguous edges 684 - 688 .
  • Edges 681 - 683 form a finger feature that is “nested” in a pocket formation created by edges 684 - 688 .
  • Edges 681 - 683 and edges 684 - 688 may be portions of two different features, but by defining a shape using edges 681 - 688 , all portions of an IC layout matching the configuration shown in FIG. 6 e can be quickly identified.
  • FIGS. 7 a, 7 b, and 7 c show layout features 710 , 720 , and 730 , respectively.
  • Features 710 , 720 , and 730 significantly differ only in indicated regions R 10 , R 20 , and R 30 , respectively. Therefore, one shape with a “wildcard” functionality could be used to identify all of features 710 , 720 , and 730 .
  • portions of the group of edges making up a shape may be left indeterminate. This provides a “wildcard” functionality that allows the shape to identify a range of actual layout features. For example, FIG.
  • FIG. 7 d shows a shape 740 comprising edges E 41 , E 43 -E 45 , and E 47 , and indeterminate regions R 42 and R 46 .
  • Edges E 43 -E 45 are contiguous, while edges E 41 and E 43 are coupled by indeterminate region R 42 , and edges E 45 and E 47 are coupled by indeterminate region R 46 .
  • Indeterminate region R 42 may be defined as any linkage between edges E 41 and E 43 .
  • region R 46 may be defined as any linkage between edges E 45 and E 47 .
  • Indeterminate regions R 42 and R 46 therefore allow shape 740 to match any layout feature having edges E 41 , E 43 -E 45 , and E 47 , regardless of how those edges are coupled. Additional limitations on the regions as a whole (length, width, number of included edges, etc.) and on individual edges within the regions (length, color, orientation, etc.) may be imposed to restrict the potential coverage of shape 740 .
  • Actions may be formulated as functions of the property variables of those shapes, such as those listed in Table 2. Actions may consist of instructions to perform a modification (such as in rule-based OPC), instructions to perform a simulation (such as in model-based OPC), or any other response (e.g., checking for design rule violations, generating phase shifted regions, fracturing polygons for e-beam patterning, etc.) to a particular set of parameters. Therefore, a shape-based system in accordance with an aspect of the invention may be used in any situation requiring improved identification of layout features.
  • a modification such as in rule-based OPC
  • simulation such as in model-based OPC
  • any other response e.g., checking for design rule violations, generating phase shifted regions, fracturing polygons for e-beam patterning, etc.
  • a layout modification system includes a bias table capturing a set of actions based on a catalog of shapes.
  • the shapes/actions may be provided as defaults by the system, or the user may add or modify shapes/actions as desired.
  • a graphical user interface can be provided to allow the user to input custom shapes and define actions.
  • a sample GUI 1200 in accordance with an aspect of the invention is shown in FIG. 12.
  • GUI 1200 allows a user to define PSM and OPC actions to be applied upon detection of particular shapes.
  • the particular menu displayed in GUI 1200 allows the user to apply different phases to features in various layers of the IC layout.
  • a predetermined shape/action file may be loaded from an external source to provide the desired shape/action definitions.
  • Table 3 is a bias table that includes sample actions for shapes 420 and 430 , from FIGS. 4 b and 4 c, respectively. Additional information related to proper placement and sizing of the resultant bias features is not shown for simplicity. Such information could also be included in the bias table or be made accessible to the bias table.
  • Table 3 includes rules that could be applied to IC layout element 300 a (FIG. 3 a ) to produce photomask element 300 b (FIG. 3 b ). For example, if feature 340 a shown in FIG. 3 a matched the dimensional and angular orientations of the rule listed for shape 430 (FIG. 4 c ) in Table 3, a hammerhead ( 350 ) would be added to that feature ( 340 b ) in the photomask layout (element 300 b ). Similarly, feature 320 a (FIG. 3 a ) might be governed by the rule for shape 420 (FIG. 4 b ) listed in Table 3, thereby resulting in no modification to its corresponding photomask layout feature ( 320 b ). In this manner, OPC modifications may be applied to IC layout features on a selective basis, under the control of the user.
  • the sample bias table shown in Table 3 includes a single action for each of shapes 420 and 430 .
  • a single action will be sufficient to define the universe of required OPC modifications for a particular shape. For example, a user may decide that a hammerhead feature is to be added to any finger structure narrower than 0.25 ⁇ m and longer than 0.75 ⁇ m, and that all other finger structures are to be left unmodified. In such a case, a single action associated with 0.25 ⁇ m ⁇ 0.75 ⁇ m fingers effectively defines the OPC for all fingers, since any not covered by the action are properly unmodified.
  • Table 4 is another bias table that is similar to the bias table of Table 3, but includes two actions for shape 420 . Also note that the bias output for the second action for shape 420 is “Model”. This bias output represents a situation in which a model-based determination of the OPC modification would be applied. Table 4 therefore represents a “hybrid” OPC system, in which a portion of the OPC bias application is rule-based, and a portion is model-based.
  • actions may be applied to a layout in a variety of ways.
  • the application of actions to layout features may be performed in a sequential operation.
  • the action application process may be performed in a batch operation.
  • FIG. 8 shows a flow diagram of a basic action application, describing both the sequential and batch operations.
  • Other options for action application include parallel processing (i.e., multiple actions applied simultaneously), user control (i.e., operator controls the application of actions through such methods as defining a preset process, interactively selecting actions, selecting a region of the layout to process, etc.), and any combination of the aforementioned techniques.
  • a layout geometry to be scanned enters at block 801 .
  • a first shape from a shape catalog is then selected at block 802 .
  • the first shape is compared to the layout geometry in block 803 .
  • loop B is followed and a second shape from the shape catalog is selected in block 802 .
  • the second shape is compared to the layout geometry at block 803 .
  • the process continues looping until the catalog of shapes has been completely processed, at which point the layout geometry is passed to block 804 , and appropriate actions are applied to all the matched layout features. The actions are thus applied in an all-at-once (i.e., batch) manner to the layout geometry.
  • a shape conflict occurs when different shapes match overlapping portions of features in an IC layout.
  • An action conflict occurs when inconsistent or conflicting actions are to be applied an IC layout.
  • Action conflicts may arise as a result of shape conflicts (e.g., the actions associated with overlapping shapes themselves interact) but can also arise from features that are in close proximity with one another.
  • FIGS. 9 a - 9 c provide an example to illustrate the two types of conflicts.
  • FIG. 9 a shows a shape S 1 and a modified feature F 1 ′ that could result from application of an action A associated with shape S 1 , i.e., action A applies a serif to corners matching shape S 1 .
  • FIG. 9 b shows a shape S 2 and a modified feature F 2 ′ that could result from application of an action B associated with shape S 2 , i.e., action B narrows and lengthens finger structures matching shape S 2 .
  • FIG. 9 c depicts an original layout feature F 3 that might cause a shape conflict between shapes S 1 and S 2 .
  • Shape S 1 could match the corners of feature F 3
  • shape S 2 could match the entire feature.
  • This overlap of shapes is not inherently problematic, but because OPC actions are typically intended to be applied in isolation from other actions, this type of shape overlap can cause unexpected and undesirable action interactions (i.e., action conflicts).
  • Modified layout feature F 3 ′ shown in FIG. 9 c, indicates a possible outcome of an action conflict originating in a shape conflict.
  • the cross-like shape of feature F 3 ′ is produced as the serifs from action A physically overlap with the extended region generated by action B.
  • Feature F 3 ′ is unlikely to produce the results expected from action A or action B.
  • Further incompatibility of actions A and B is also evidenced at underlap regions C 1 and C 2 , where the narrowing caused by action B “pulls” the body of the feature away from the serifs of action A.
  • conflict resolution logic can be included to deal with both shape and action conflicts in some embodiments.
  • potential rule conflicts or redundant rules, rules that could be combined, etc.
  • shape and action conflicts could be avoided through the use of “exclusion IDs”. For example, at each shape match detection, the edges and/or corners of the matching layout feature could be marked with exclusion IDs. Then, match operations could perform an initial check of edges/corners marked for exclusion IDs and simply skip layout features including such marked edges. Similarly, bias features added by an OPC action could be marked with exclusion IDs, thereby selectively preventing or allowing bias application in those regions.
  • shape and action conflicts could be avoided through the use of resolution rules.
  • Resolution rules could determine which, if any, of the conflicting shapes/actions take priority and how such shapes/actions would be applied.
  • the rules could perform any manner of conflict resolution desired by a user. For example, a resolution rule may simply provide that the first action always takes priority, and subsequent actions can be simply ignored (essentially the same effect as the exclusion ID method). Or, conflicting actions could be ignored but flagged for subsequent review.
  • the rules could apply a completely different third action when a particular action conflict is encountered.
  • the user could manually define which shapes/actions are to be implemented over others. The manner of operation of the resolution rules may therefore take almost any form.
  • the order of shape comparison may also have a significant effect on the ultimate resolution of action conflicts. For example, if the resolution rules specify that the first shape/action takes priority, it is clear that the earlier in the process a particular shape/action is applied, the greater the effect of that shape/action.
  • shape complexity provides an ordering basis. “Complexity” refers both to the number of edges included in a shape and the precision with which the properties of that shape have been specified. A more complex shape provides greater specificity in the application of the action(s) associated with that shape.
  • one computationally efficient process could be to scan for shapes in order of decreasing complexity. This process could require that once a feature within the IC layout is matched with a shape to produce an OPC-corrected photomask layout feature, no further changes to that specific IC layout feature are allowed. Thus, this process could prevent the less complex shapes (which are theoretically capable of matching more features within the IC layout) from causing unwanted “re-modification” of features to which corrections have already been applied.
  • the IC layout could be scanned for shapes in order of increasing complexity.
  • “re-modification” could be allowed, so that the more complex shapes could provide the final modifications to the IC layout, essentially “overruling” any prior modifications by less complex shapes.
  • this method could involve more computation (action applications) than the previous method.
  • FIG. 10 a shows a diagram of a shape-based OPC system 1000 in accordance with an embodiment of the invention.
  • OPC system 1000 comprises an input data manager 1010 , a hierarchy manager 1020 , an OPC engine 1030 , and an output data manager 1040 .
  • Input data manger 1010 is coupled to receive an input data file DFin for a particular IC layout.
  • data file DFin may be a standard layout database file exchange format such as GDS, GDSTI, DXF, CIF, IGES, a flat file, or even a proprietary database file format.
  • any data file format that defines the geometry of a layout could be used.
  • Input data manager 1010 converts data file DFin to a form that may be manipulated and processed by the OPC system.
  • input data manager 1010 divides data file DFin into its various layers and discrete sets of geometries within each layer. For example, a single set of geometries may include an entire layer of the IC layout. Alternatively, a single set of geometries might only include a single polygon from a particular layer of the IC layout.
  • a user may configure the conversion preferences of input data manager 1010 .
  • the converted data is then passed to hierarchy manager 1020 .
  • input data manger 1010 can be incorporated in hierarchy manager 1020 .
  • Hierarchy manager 1020 organizes and categorizes the sets of geometries according to a predefined ordering basis (for example to minimize the amount of data required to be processed or to minimize the time required for processing).
  • An example of a hierarchy manager is included in the CATSTM software package, from Numerical Technologies, Inc.
  • Hierarchy manager 1020 then feeds the sets of geometries to OPC engine 1030 according to its priority structure.
  • OPC engine 1030 receives a set of geometries, and performs shape matching and action application to the set.
  • An embodiment of OPC engine 1030 comprising a data controller 1032 , a shape scanner 1034 , and an action manager 1036 , is shown in FIG. 10 b.
  • Data controller 1032 accepts a set of geometries from hierarchy manager 1020 and further segregates the data into “primitives”; i.e., elements appropriate for shape scanner 1034 .
  • primitives can be polygon data.
  • data controller 1032 could output trapezoid data, or any other representational format used by shape scanner 1034 .
  • Data controller 1032 then supplies the primitives to shape scanner 1034 .
  • this supply operation can be a batch operation, in which all the primitives can be provided to shape scanner 1034 in a single batch.
  • data controller 1032 receives a new set of geometries from hierarchy manager 1020 (loop A).
  • data controller 1032 performs a sequential supply operation, sending primitives one at a time to shape scanner 1034 .
  • data controller 1032 supplies a new primitive to shape scanner 1034 (loop B). This looping continues until all the elements in data controller 1032 have been processed.
  • Shape scanner 1034 compares the shapes within its own shape catalog to features of the primitives provided by data controller 1032 , identifying any matches. Shape scanner 1034 also includes logic to resolve shape conflicts, using any of the methods described previously. Action manager 1036 then applies the appropriate actions where indicated by the matching operation of shape scanner 1034 . Action manager 1036 also includes logic to resolve any action conflicts that arise. As noted with respect to the action application flow diagram of FIG. 8, the match/action operations may be performed in batch mode or sequential mode. Thus, in an embodiment of the invention, shape scanner 1034 compares its entire shape catalog to the geometry elements, identifying any matching features. The fully match-processed data is then passed to action manager 1036 . In another embodiment of the invention, shape scanner 1034 passes the data to action manager 1036 after each shape comparison operation. In this manner, after each shape match is performed, the action(s) associated with that shape can be applied before performing the next shape match (loop C).
  • output data manager 1040 After all the sets of geometries have been processed by OPC engine 1030 , they are fed by hierarchy manager 1020 to output data manager 1040 , as shown in FIG. 10 a.
  • the processed data can be converted by output data manager 1040 into an output data file DFout.
  • output data file DFout can be converted to the same layout database file format as input data file DFin.
  • a user may select a file format for data file DFout.
  • FIG. 11 provides a physical representation of shape-based OPC system 1000 shown in FIG. 10 a, according to an embodiment of the invention.
  • FIG. 11 shows a computer system 1110 comprising a processor 1112 and a graphical display 1114 .
  • computer system 1110 could include multiple processors.
  • Computer system 1110 includes software to perform the operations described with reference to OPC system 1000 in FIG. 10 a.
  • Computer system 1110 could include a personal computer (PC) running MicrosoftTM software and/or a workstation.
  • Display 1114 allows a user to monitor and control the OPC process being performed by computer system 1110 .
  • FIG. 11 also shows a GDS database 1160 and an action/shape database 1180 , both of which may be located in a network storage location 1190 (apart from computer system 1110 ).
  • GDS database 1160 stores IC layout data files
  • action/shape database 1180 houses shape libraries and bias tables for use in OPC operations.
  • the data files, shape libraries, and bias tables could be stored locally in computer system 1110 .
  • Computer system 1110 may access GDS database 1160 for files on which to perform OPC, and may access action/shape database 1180 for appropriate settings information for the OPC operation.
  • databases 1160 and 1180 may be accessed through a local area network (LAN).
  • WAN wide area network
  • a shape catalog may include default, or “filler”, shapes. These filler shapes can be single edge elements used to account for any portions of the IC layout not covered by the rules associated with the multi-edge shapes.
  • the exclusion ID marking technique described as a conflict resolution technique could be used to identify problematic configurations in violation of basic design rules—in effect enabling the filtering system to perform DRC. Therefore, the invention is limited only by the following claims.

Abstract

Layout processing can be applied to an integrated circuit (IC) layout using a shape-based system. A shape can be defined by a set of associated edges in a specified configuration. A catalog of shapes is defined and layout processing actions are associated with the various shapes. Each layout processing action applies a specified layout modification to its associated shape. A shape-based rule system advantageously enables efficient formulation and precise application of layout modifications. Shapes/actions can be provided as defaults, can be retrieved from a remote source, or can be defined by the user. The layout processing actions can be compiled in a bias table.

Description

    RELATED APPLICATIONS
  • The present application is a divisional of commonly owned co-pending U.S. patent application Ser. No. 09/632,080, “GENERAL PURPOSE SHAPE-BASED LAYOUT PROCESSING SCHEME FOR IC LAYOUT MODIFICATIONS” filed Aug. 2, 2000 by Deepak Agrawal, Fang-Cheng Chang, Hyungjip Kim, Yao-Ting Wang and Myunghoon Yoon.[0001]
  • FIELD OF THE INVENTION
  • The invention relates to the field of photolithography, and more particularly to a system for applying modifications to an IC layout. [0002]
  • BACKGROUND OF THE INVENTION
  • Photomasks are used in the production of integrated circuits (ICs) to transfer a circuit layout (“IC layout”) onto a receiving substrate, such as a silicon wafer. A photomask is typically a glass plate covered by a thin chrome layer, in which a portion of the IC layout is etched. A source of light or radiation is used to expose this photomask pattern (“photomask layout”) onto a layer of photosensitive resist on the surface of the wafer. The top surface of the wafer is then chemically etched away in the areas not covered by the photoresist, thereby completing the transfer of the original IC layout onto the wafer. This transference process is known as photolithography. [0003]
  • Photolithography is a critical step in the IC manufacturing process. The accuracy of the pattern formed on the wafer (“printed image”) significantly affects both process yield and IC performance. However, reliably resolving modern IC geometries in the 0.25-μm range and smaller using existing photolithography equipment is difficult. At such reduced feature sizes, optical distortion impedes exact transfer of the photomask pattern onto the wafer. [0004]
  • To counteract any unwanted deviations, a technique known as optical proximity correction (OPC) has been developed. OPC involves modification of the original IC layout to compensate for distortions introduced by the exposure process. The modification involves changing the dimensions of affected features or incorporating corrective features into the photomask pattern. For example, diffractive effects around small features in a photomask pattern can cause corner rounding in the printed image. FIG. 1[0005] a shows a sharp corner 100 that would typically be present in an original photomask pattern, and a rounded corner 110 that would appear in the actual printed image without OPC. FIG. 1b shows a corner 120 in a photomask pattern that has been modified using OPC to include an extra feature called a serif. The serif is sized such that the printed image corner 130 produced by photomask corner 120 has the desired form. Other OPC features (hammerheads, scattering bars, assist features, etc.) are well known in the art. These modifications to the original IC layout may sometimes be referred to generically as “biases” or “bias features”.
  • There are two methods for determining the appropriate biases to add to an IC layout: model-based OPC and rule-based OPC. Model-based OPC applies corrections to the IC layout and uses models of the photolithography processes to determine the most effective biases. By essentially simulating an actual photolithography step and converging on a solution, model-based OPC can provide a thorough and detailed set of biases to apply to the original layout. However, this technique is extremely computation-intensive due to the iterative nature of the process. Also, the accuracy of the final output is only as good as the models used in the calculations. [0006]
  • In contrast, rule-based OPC applies a set of geometry-based rules to the original IC layout. The rules are specified by the user, and define the biases to be incorporated in the photomask layout. Conventional rule-based OPC systems base the application of OPC biases on the geometries of edges within the original IC layout. [0007]
  • Although the complex patterns of an IC layout may seem to be made up of fine lines, even the thinnest of lines are actually 2-dimensional elements. As such, they can be represented by a series of contiguous edges, joined to other edges at distinct vertices. Conventional rule-based OPC systems evaluate each edge of the original IC layout and apply biases to those edges according to pre-specified rules. The rules are typically based upon the length of an edge and its spacing from other edges. [0008]
  • FIG. 2[0009] a shows a layout feature 200 a, sometimes referred to as a “finger”, which is common in IC layouts. OPC modifications must often be applied to finger features to create photomask layouts that properly transfer the original feature during photolithography. Feature 200 a comprises edges 221, 222, 223, 224, and 225. The directions of edges 221-225 are as shown, and the inner sides of edges 221-225 are on the left.
  • FIG. 2[0010] b shows a printed image 200 b that represents the printed image produced by a photolithography step using unmodified feature 200 a in a photomask pattern. Printed image 200 b shows undesirable corner rounding and shortening in region 230. To compensate for such distortion, various OPC modifications can be incorporated into the photomask layout, depending on the specific dimensions of feature 200 a and its relationship to the rest of the IC layout. FIG. 2c shows a modified feature 200 c, which includes a hammerhead 240 to correct for the distortions shown in FIG. 2b. FIG. 2d shows an alternative modified feature 200 d, which includes serifs 250 as a corrective mechanism.
  • Determination of the appropriate OPC correction is made through the use of a bias table. Created prior to performing the OPC operation, the bias table defines the rules to be applied, each of which is a function of the edge properties. The bias table is typically structured as a look-up table, such as shown in Table 1. [0011]
    TABLE 1
    Edge-Based Bias Table
    L d
    (μm) (μm) BIAS
    <0.25 >1.5 Hammerhead
    0.5 ± .05 1.0 ± 0.5 Serifs
  • Table 1 includes sample values for rules that would be applied to [0012] edge 223 of FIG. 2a to create OPC features 240 and 250, shown in FIGS. 2c and 2 d, respectively. In Table 1, “L” represents the length of edge 223, and d represents the minimum spacing between edges (i.e., the distance between edge 223 and edges 221 and 225). As indicated by the values in Table 1, if feature 200 a is tall and thin (i.e., less than 0.25 μm wide and greater than 1.5 μm tall), then a hammerhead feature will be applied. However, if feature 200 a is more squat (i.e., 0.5 μm wide and 1.0 μm tall), serifs will be added. Of course, the bias table would contain additional sizing and placement information for the various biases.
  • Because a simple lookup table can be used, rule-based OPC is much more computationally efficient than model-based OPC. However, the current edge-based systems may be too limited to effectively provide corrections for complex layouts. For example, it may be desirable to apply (or not apply) different OPC modifications to edges that have similar properties. FIG. 2[0013] e shows a feature 200 e that includes an edge 263. Edge 263 may have the same length and spacing as edge 223 in FIG. 2a, but it may be desirable to apply OPC correction to only one of the two features. For example, feature 200 a may be a non-critical feature that can tolerate substantial distortion, while feature 200 e must be accurately transferred for proper IC function. If feature 200 a was prevalent throughout the IC layout, it would be preferable to avoid complicating the final photomask layout by adding unnecessary OPC modifications. However, an edge-based system using a single edge is not able to readily make a distinction between edges 223 and 263, and therefore would not be able to apply different OPC modifications to features 200 a and 200 e.
  • Accordingly, it would be desirable to provide a system that enables greater flexibility and control in applying layout modifications. [0014]
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention provide a system for applying layout processing to an IC layout (a portion of the layout or the entire layout) using a shape-based identification system. A shape can be defined as a set of associated edges. Therefore, a shape can provide much greater specificity than a single edge in identifying layout features of interest. A catalog of shapes can be defined and layout processing actions can be formulated based on the properties of the various shapes. Shapes can include various contiguous edge profiles, such as fingers, hammerheads, diamond hammerheads, fuzzy hammerheads, and tombstones, among others. Shapes can also include non-contiguous edge combinations, edges from multiple layers of an IC layout, and edges with specific properties. Properties inherent in a particular edge can include length, inner color, and outer color, among others. Other properties associated with an edge can include spacing, beginning angle, and ending angle, among others. [0015]
  • While length and spacing are relatively self-explanatory, other edge properties such as inner/outer color and beginning/ending angle cannot be easily defined without understanding the concept of direction. Direction is a convention used to define the inner and outer sides of an edge. Because all edges are part of a closed form, one side of the edge will always be within the form (inner side), while the other side will always be outside the form (outer side). Therefore, direction can be defined by stating that when travelling from the beginning to the end of the edge, the inner side will always be to the left. Of course, the inner side could just as well be defined to be always to the right. The closed nature of the forms also means that each edge is joined to another edge at its beginning and its end. These junctions are defined as vertices, or corners. Each vertex represents a meeting of two edges at a specific angle. The beginning angle is the angle between the inner sides of the edges meeting at the vertex at the beginning of the edge. Similarly, the ending angle is the angle between the inner sides of the edges meeting at the vertex at the end of the edge. Finally, color is simply another convention used to identify the nature of the shape on the inner or outer side of the edge. Colors can be specified for various device or interconnect components, to enable more appropriate OPC rule application (e.g., edges forming a gate may be blue, while edges forming a diffusion region may be red). [0016]
  • The library of layout processing actions associated with the shapes can be rule-based, model-based, or can provide any other response a user would like implemented (i.e., “layout processing” can include OPC, phase shift mask (PSM), design rule checking (DRC), “fracturing” of layout features for e-beam mask making machines, etc.). Specific features can be much more simply, efficiently, and flexibly identified by a single multi-edge structure than by a single edge with multiple relational variables. Therefore, a shape-based feature identification system advantageously enables efficient application of layout processing actions having a high degree of specificity. [0017]
  • In some embodiments of the invention, the library of layout processing actions can be compiled in a bias table in an accessible format, such as a look up table. The IC layout is scanned, and whenever a shape match is detected, the associated action(s) are applied to the matching portion of the IC layout to form the corrected photomask layout. This action application process can be performed serially (i.e., after each match, the corresponding action is performed) in batch mode (i.e., all shapes are matched, after which all actions are applied), or in any desired combination of the two. [0018]
  • The shape matching, or “scanning”, operation can be performed in any number of sequences. In an embodiment of the invention, the scanning operation can be performed in order of decreasing shape complexity, where no feature in the IC layout can be modified more than once. In another embodiment of the invention, scanning can be performed in order of increasing complexity, where the most complex shape matching/layout modification is performed last. In an alternative embodiment of the invention, the order of scanning can be set by the user as desired. [0019]
  • According to an aspect of the invention, resolution logic may be provided to resolve shape and action conflicts. In an embodiment of the invention, the resolution logic comprises a first-shape/action-controls methodology, which can be implemented through an edge-marking technique. According to another aspect of the invention, the resolution logic comprises a set of resolution rules that mandate a desired outcome when any conflict arises. [0020]
  • According to an aspect of the invention, the catalog of shapes and the library of layout processing actions may be provided by the system as a default. In another embodiment of the invention, the library of shape-based rules can be modified in part or created in whole by the user. According to another aspect of the invention, the shapes and actions may be received from a remote source such as a remote server. [0021]
  • In an embodiment of the invention, the bias table can also include single-edge “filler shapes” that provide default layout modification for portions of the IC layout not covered by the multi-edge shapes. In another embodiment of the invention, the bias table can include both rule-based OPC actions and model-based OPC actions. [0022]
  • The invention will be more fully understood in view of the following description and drawings.[0023]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1[0024] a illustrates a portion of a photomask pattern and the resulting printed image without OPC;
  • FIG. 1[0025] b illustrates a portion of a photomask pattern with OPC and the resulting printed image;
  • FIG. 2[0026] a illustrates an IC layout feature, showing edge directions and vertices;
  • FIG. 2[0027] b illustrates a printed image of the IC layout feature of FIG. 2a without OPC;
  • FIG. 2[0028] c illustrates a photomask layout feature of the IC layout feature of FIG. 2a after OPC modification;
  • FIG. 2[0029] d illustrates a photomask layout feature of the IC layout feature of FIG. 2a after an alternative OPC modification;
  • FIG. 2[0030] e illustrates an IC layout feature similar to the IC layout feature of FIG. 2a;
  • FIG. 3[0031] a illustrates a portion of an IC layout including two distinct features;
  • FIG. 3[0032] b illustrates a portion of a photomask layout of the IC layout of FIG. 3a after selective OPC application;
  • FIGS. 4[0033] a, 4 b, and 4 c illustrate sample shapes in a shape-based rule library of the invention;
  • FIGS. 5[0034] a, 5 b, and 5 c illustrate sample IC layout features including prior OPC modifications;
  • FIG. 5[0035] d illustrates a desired mask layout feature to be created from the features in FIGS. 5a, 5 b, and 5 c;
  • FIG. 6[0036] a illustrates an example of a shape comprising non-contiguous edges;
  • FIG. 6[0037] b illustrates an example of multi-layer IC layout features;
  • FIG. 6[0038] c illustrates an example of a shape-based modification for PSM;
  • FIG. 6[0039] d illustrates an example of a branching IC layout feature;
  • FIG. 6[0040] e illustrates an example of nesting IC layout features;
  • FIGS. 7[0041] a-7 c illustrate IC layout features that differ from each other only in certain regions;
  • FIG. 7[0042] d illustrates a shape having an indeterminate section that provides wildcard functionality;
  • FIG. 8 shows a flow diagram of a basic shape match/action application process; [0043]
  • FIGS. 9[0044] a and 9 b illustrate sample shapes and their associated actions;
  • FIG. 9[0045] c illustrates a shape and action conflict;
  • FIG. 10[0046] a illustrates a schematic diagram of a shape-based OPC system;
  • FIG. 10[0047] b illustrates a schematic diagram of an OPC engine;
  • FIG. 11 illustrates an embodiment of a shape-based OPC system including access to remote action and shape databases; and [0048]
  • FIG. 12 shows a sample graphical user interface for defining shapes and actions.[0049]
  • DETAILED DESCRIPTION
  • An embodiment of the invention provides a system and method for applying layout processing to an IC layout using a shape-based approach. The shape-based approach advantageously enables accurate and efficient application of layout modifications. [0050]
  • FIG. 3[0051] a shows a sample IC layout element 300 a from a larger IC layout (not shown). The outline of element 300 a has been selected for explanatory purposes only. The invention may be applied to any configuration of edges in an IC layout. Element 300 a comprises a series of contiguous edges 321-334. Element 300 a includes a feature 320 a, comprising edges 323-325, and a feature 340 a, comprising edges 328-332. Edges 329-331 in feature 340 a form a grouping substantially similar in size and configuration to feature 320 a. In a conventional rule-based OPC system, the same biases would probably be applied to both features 320 a and 330 a. This would be problematic if different biases were desired for the two features. In contrast, a shape-based OPC system in accordance with an embodiment of the invention could apply different bias features to the photomask layout for features 320 a and 340 a.
  • FIG. 3[0052] b shows an example of a photomask layout element 300 b that could correspond to IC layout element 300 a shown in FIG. 3a. Photomask layout element 300 b includes features 320 b and 340 b that correspond to features 320 a and 340 a, respectively, in FIG. 3a. As shown in FIG. 3b, feature 320 b includes no OPC modifications, but feature 340 b includes a bias feature 350 (hammerhead). As described previously, such differentiation may be desirable depending on the requirements of the final printed layout. The invention enables this type of discrimination between IC layout features by employing a “shape-based” rule set to create the final photomask layout.
  • Shape Definition [0053]
  • In accordance with embodiments of the invention, a catalog of “shapes” can be established, based on groupings of associated edges. Each shape represents a type of feature (or range of features) that may be present in an IC layout. Each individual shape within the catalog can be defined by the properties associated with its grouping of edges. Table 2 lists a number of sample properties in accordance with an embodiment of the invention. The listing in Table 2 is intended to be explanatory rather than comprehensive. Other properties will become readily apparent to those of ordinary skill in the art. [0054]
    TABLE 2
    Partial Listing of Shape Properties
    Spacing Min. Spacing Length
    Inner Color Outer Color Height
    Width Neighbor Width Min. Width
    Inner Distance Min. Inner Distance Continuity
    Outer Distance Min. Outer Distance Min. Continuity
    Radial Spacing Min. Radial Spacing Angle
  • FIGS. 4[0055] a-4 c provide examples of basic shapes according to aspects of the invention. FIG. 4a shows a shape 410 comprising an edge E411 and an edge E412 forming a corner at a vertex V413. FIG. 4b shows a shape 420 comprising an edge E421 joined at a vertex V424 to an edge E422, which in turn is joined at a vertex V425 to an edge E423. Finally, FIG. 4c shows a shape 430 that comprises contiguous edges E431-E435, which are joined at vertices V436-V439, respectively.
  • Although geometrically simple, shapes [0056] 410, 420, and 430 can present problems for conventional OPC systems. Edge-based systems can have difficulty differentiating edges E412, E422, and E433 in FIGS. 4a, 4 b, and 4 c, respectively, since the edges “look” similar in each configuration. In contrast, the shape-based approach of the invention allows each different implementation to be selected without confusion.
  • In addition to enabling more effective differentiation between simple yet similar features, a shape-based approach in accordance with an aspect of the invention also enables efficient identification of complex features. For example, an IC layout may have existing (inadequate) OPC modifications that can be replaced with more accurate/appropriate corrections. IC layout features [0057] 510 (including a hammerhead bias feature 511), 520 (including a “fuzzy hammerhead” bias feature 521), and 530 (including a “diamond hammerhead” bias feature 531), shown in FIGS. 5a, 5 b, and 5 c, respectively, could represent unsuccessful OPC modifications to a finger feature. A photomask feature 540 having a hammerhead feature 541 shown in FIG. 5d might represent the desired configuration after proper OPC modification. If the original IC layout is no longer available, it would be desirable to perform OPC on the existing (modified) feature. A conventional edge-based OPC system would have difficulty identifying features 510, 520, or 530 as having been previously modified, and so might try to apply corrections on top of original bias features 511, 521, and 531, respectively, rather than replacing them with hammerhead feature 541. In contrast, a shape-based system in accordance with the invention could define a shape having the same configuration as feature 510, 520, or 530, thereby enabling straightforward detection of the prior OPC modifications.
  • According to another aspect of the invention, a shape may include non-contiguous edges. For example, FIG. 6[0058] a shows a shape 600 comprising edges E01-E09. Edges E01-E05 are contiguous, forming a “tombstone” feature that is physically disconnected from contiguous edges E06-E09. The use of only contiguous edges E01-E05 to define a shape, would require the formulation of additional rules to detect the presence of a feature like that formed by edges E06-E09 within the shape. In addition to the difficulties associated with this rule generation, the additional rules would also consume greater processing resources, since each feature matching a shape formed by edges E01-E05 would have to be checked for the presence of an interior feature. These problems could be avoided through the use of shape 600, which already includes the proper interior elements, thereby enabling direct identification of only the desired features.
  • According to another aspect of the invention, a shape may include edges from different layers of an IC layout, where each layer represents a different process step in the manufacture of the IC. FIG. 6[0059] b shows IC layout features 610, 620, and 630, which are part of a single layer in a larger IC layout (not shown). Feature 610 comprises contiguous edges E11, E12, and E13, feature 620 comprises contiguous edges E21, E22, and E23, and feature 630 comprises contiguous edges E31, E32, and E33. Features 610, 620, and 630 are all similarly sized. However, only feature 610 is positioned over a feature L2, which is part of a different layer (not shown) of the IC layout.
  • Feature L[0060] 2 might, for example, represent a diffusion region, thereby indicating that feature 610 is to be used to form a transistor gate. As a critical device component, the printed image corresponding to feature 610 probably demands much greater accuracy than the printed images corresponding to features 620 and 630. Therefore, a shape may be defined that includes edges E11-E13 of feature 610, and also includes edges (or all) of feature L2. By defining a shape in this manner, critical features such as feature 610 can be readily distinguished from less critical features such as features 620 and 630.
  • FIG. 6[0061] c shows a layout transistor feature 650 comprising a source region 651(a), a drain region 651(b), a gate region 652, and an interconnect region 653. Source region 651(a) comprises edges 654-659. PSM techniques may be used to provide accurate resolution of transistor feature 650. For example, by assigning different phases to regions 651(a) and 651(b), the accuracy of gate region 652 can be enhanced. However, an OPC feature such as a gate serif 660 may be required to maintain the accuracy of region 651(a) where it is not bounded by region 651(b). By defining a shape that includes edges 656-658, gate serifs can be added to all IC layout features similar to region 651(a).
  • FIG. 6[0062] d shows a branching shape 660 comprising edges 661-673 comprising multiple extremities. This type of branching arrangement would be difficult to identify using conventional edge-based identification, due to the angular relationships of the edges and the multiple arms. However, by defining the entire collection of edges as a single shape, matching IC layout features can be readily identified. IC layout features with any number of branches can be identified in a similar fashion.
  • FIG. 6[0063] e shows a shape 680 comprising contiguous edges 681-683 and contiguous edges 684-688. Edges 681-683 form a finger feature that is “nested” in a pocket formation created by edges 684-688. Edges 681-683 and edges 684-688 may be portions of two different features, but by defining a shape using edges 681-688, all portions of an IC layout matching the configuration shown in FIG. 6e can be quickly identified.
  • FIGS. 7[0064] a, 7 b, and 7 c show layout features 710, 720, and 730, respectively. Features 710, 720, and 730 significantly differ only in indicated regions R10, R20, and R30, respectively. Therefore, one shape with a “wildcard” functionality could be used to identify all of features 710, 720, and 730. Specifically, in accordance with another aspect of the invention, portions of the group of edges making up a shape may be left indeterminate. This provides a “wildcard” functionality that allows the shape to identify a range of actual layout features. For example, FIG. 7d shows a shape 740 comprising edges E41, E43-E45, and E47, and indeterminate regions R42 and R46. Edges E43-E45 are contiguous, while edges E41 and E43 are coupled by indeterminate region R42, and edges E45 and E47 are coupled by indeterminate region R46. Indeterminate region R42 may be defined as any linkage between edges E41 and E43. Similarly, region R46 may be defined as any linkage between edges E45 and E47. Indeterminate regions R42 and R46 therefore allow shape 740 to match any layout feature having edges E41, E43-E45, and E47, regardless of how those edges are coupled. Additional limitations on the regions as a whole (length, width, number of included edges, etc.) and on individual edges within the regions (length, color, orientation, etc.) may be imposed to restrict the potential coverage of shape 740.
  • Shape Matching and Action Application [0065]
  • Once the catalog of shapes is specified, “actions” may be formulated as functions of the property variables of those shapes, such as those listed in Table 2. Actions may consist of instructions to perform a modification (such as in rule-based OPC), instructions to perform a simulation (such as in model-based OPC), or any other response (e.g., checking for design rule violations, generating phase shifted regions, fracturing polygons for e-beam patterning, etc.) to a particular set of parameters. Therefore, a shape-based system in accordance with an aspect of the invention may be used in any situation requiring improved identification of layout features. [0066]
  • In an embodiment of the invention, a layout modification system includes a bias table capturing a set of actions based on a catalog of shapes. The shapes/actions may be provided as defaults by the system, or the user may add or modify shapes/actions as desired. In an embodiment of the invention, a graphical user interface (GUI) can be provided to allow the user to input custom shapes and define actions. A sample GUI [0067] 1200 in accordance with an aspect of the invention is shown in FIG. 12. GUI 1200 allows a user to define PSM and OPC actions to be applied upon detection of particular shapes. The particular menu displayed in GUI 1200 allows the user to apply different phases to features in various layers of the IC layout. In another embodiment of the invention, a predetermined shape/action file may be loaded from an external source to provide the desired shape/action definitions.
  • Table 3 is a bias table that includes sample actions for [0068] shapes 420 and 430, from FIGS. 4b and 4 c, respectively. Additional information related to proper placement and sizing of the resultant bias features is not shown for simplicity. Such information could also be included in the bias table or be made accessible to the bias table.
    TABLE 3
    Shape-Based Bias Table
    Beg/
    E3 E2, E4 E1, E5 V2, V3 V1, V4 End
    SHAPE (μm) (μm) (μm) (deg.) (deg.) (deg.) BIAS
    430 <0.25 >1.5 <1.0 90 ± 5 270 ± 5 90 ± 5 Ham-
    mer
    head
    420 <0.5 <3.0 90 ± 5 270 ± 5 None
  • Table 3 includes rules that could be applied to [0069] IC layout element 300 a (FIG. 3a) to produce photomask element 300 b (FIG. 3b). For example, if feature 340 a shown in FIG. 3a matched the dimensional and angular orientations of the rule listed for shape 430 (FIG. 4c) in Table 3, a hammerhead (350) would be added to that feature (340 b) in the photomask layout (element 300 b). Similarly, feature 320 a (FIG. 3a) might be governed by the rule for shape 420 (FIG. 4b) listed in Table 3, thereby resulting in no modification to its corresponding photomask layout feature (320 b). In this manner, OPC modifications may be applied to IC layout features on a selective basis, under the control of the user.
  • The sample bias table shown in Table 3 includes a single action for each of [0070] shapes 420 and 430. Often, a single action will be sufficient to define the universe of required OPC modifications for a particular shape. For example, a user may decide that a hammerhead feature is to be added to any finger structure narrower than 0.25 μm and longer than 0.75 μm, and that all other finger structures are to be left unmodified. In such a case, a single action associated with 0.25 μm×0.75 μm fingers effectively defines the OPC for all fingers, since any not covered by the action are properly unmodified.
  • However, it may also be desirable to break the action associated with a particular shape into a plurality of actions, each of the plurality of actions being applicable to IC layout features falling within a certain range of that shape's characteristic property values (e.g., edge lengths, vertex angles, etc.). Table 4 is another bias table that is similar to the bias table of Table 3, but includes two actions for [0071] shape 420. Also note that the bias output for the second action for shape 420 is “Model”. This bias output represents a situation in which a model-based determination of the OPC modification would be applied. Table 4 therefore represents a “hybrid” OPC system, in which a portion of the OPC bias application is rule-based, and a portion is model-based. Such a system allows rule-based OPC to be applied to common, simple features to minimize computation time, and allows model-based OPC to be applied to critical, complex features for enhanced performance (for example, appropriate rules may not be known for unique shapes/configurations).
    TABLE 4
    Hybrid OPC Bias Table
    BEG/
    E3 E2, E4 E1, E5 V2, V3 V1, V4 END
    SHAPE (μm) (μm) (μm) (deg.) (deg.) (deg.) BIAS
    430 <0.25 >1.5 <1.0 90 ± 5 270 ± 5 90 ± 5 Ham-
    mer
    head
    420 <0.5 <3.0 90 ± 5 270 ± 5 None
    420 <.5 >3.0 90 ± 5 270 ± 5 Model
  • Once the actions have been defined, they may be applied to a layout in a variety of ways. For example, the application of actions to layout features may be performed in a sequential operation. Alternatively, the action application process may be performed in a batch operation. FIG. 8 shows a flow diagram of a basic action application, describing both the sequential and batch operations. Other options for action application include parallel processing (i.e., multiple actions applied simultaneously), user control (i.e., operator controls the application of actions through such methods as defining a preset process, interactively selecting actions, selecting a region of the layout to process, etc.), and any combination of the aforementioned techniques. [0072]
  • In the flow diagram of FIG. 8, a layout geometry to be scanned enters at [0073] block 801. A first shape from a shape catalog is then selected at block 802. Next, the first shape is compared to the layout geometry in block 803. At this point, if a batch action operation is being performed, loop B is followed and a second shape from the shape catalog is selected in block 802. The second shape is compared to the layout geometry at block 803. The process continues looping until the catalog of shapes has been completely processed, at which point the layout geometry is passed to block 804, and appropriate actions are applied to all the matched layout features. The actions are thus applied in an all-at-once (i.e., batch) manner to the layout geometry.
  • However, if a sequential action operation is being performed, after the first shape is compared at [0074] block 803, the layout geometry is passed to block 804, where the action associated with the first shape is applied to the matching layout features. Loop A is then followed and a second shape is selected from the shape catalog at block 802. After a second match operation in block 803, the action associated with the second shape is applied to the layout-geometry in block 804. This looping continues until every shape has been compared to the layout geometry and each action has been applied.
  • Regardless of whether a batch or sequential action application process is used, some mechanism may be provided to deal with conflicts that occur during the process. In lithography-related applications, there are two main types of conflicts—shape conflicts and action conflicts. A shape conflict occurs when different shapes match overlapping portions of features in an IC layout. An action conflict occurs when inconsistent or conflicting actions are to be applied an IC layout. Action conflicts may arise as a result of shape conflicts (e.g., the actions associated with overlapping shapes themselves interact) but can also arise from features that are in close proximity with one another. [0075]
  • FIGS. 9[0076] a-9 c provide an example to illustrate the two types of conflicts. FIG. 9a shows a shape S1 and a modified feature F1′ that could result from application of an action A associated with shape S1, i.e., action A applies a serif to corners matching shape S1. FIG. 9b shows a shape S2 and a modified feature F2′ that could result from application of an action B associated with shape S2, i.e., action B narrows and lengthens finger structures matching shape S2.
  • Because both shapes S[0077] 1 and S2 can match the same feature, shape conflicts between the two shapes are likely. For example, FIG. 9c depicts an original layout feature F3 that might cause a shape conflict between shapes S1 and S2. Shape S1 could match the corners of feature F3, while shape S2 could match the entire feature. This overlap of shapes is not inherently problematic, but because OPC actions are typically intended to be applied in isolation from other actions, this type of shape overlap can cause unexpected and undesirable action interactions (i.e., action conflicts).
  • Modified layout feature F[0078] 3′, shown in FIG. 9c, indicates a possible outcome of an action conflict originating in a shape conflict. The cross-like shape of feature F3′ is produced as the serifs from action A physically overlap with the extended region generated by action B. Feature F3′ is unlikely to produce the results expected from action A or action B. Further incompatibility of actions A and B is also evidenced at underlap regions C1 and C2, where the narrowing caused by action B “pulls” the body of the feature away from the serifs of action A.
  • Therefore, conflict resolution logic can be included to deal with both shape and action conflicts in some embodiments. In an embodiment of the invention, potential rule conflicts (or redundant rules, rules that could be combined, etc.) can be identified to the user during the rule definition stage for resolution prior to shape scanning. In another embodiment, shape and action conflicts could be avoided through the use of “exclusion IDs”. For example, at each shape match detection, the edges and/or corners of the matching layout feature could be marked with exclusion IDs. Then, match operations could perform an initial check of edges/corners marked for exclusion IDs and simply skip layout features including such marked edges. Similarly, bias features added by an OPC action could be marked with exclusion IDs, thereby selectively preventing or allowing bias application in those regions. [0079]
  • In accordance with another embodiment of the invention, shape and action conflicts could be avoided through the use of resolution rules. Resolution rules could determine which, if any, of the conflicting shapes/actions take priority and how such shapes/actions would be applied. The rules could perform any manner of conflict resolution desired by a user. For example, a resolution rule may simply provide that the first action always takes priority, and subsequent actions can be simply ignored (essentially the same effect as the exclusion ID method). Or, conflicting actions could be ignored but flagged for subsequent review. Alternatively, the rules could apply a completely different third action when a particular action conflict is encountered. Of course, the user could manually define which shapes/actions are to be implemented over others. The manner of operation of the resolution rules may therefore take almost any form. [0080]
  • The order of shape comparison may also have a significant effect on the ultimate resolution of action conflicts. For example, if the resolution rules specify that the first shape/action takes priority, it is clear that the earlier in the process a particular shape/action is applied, the greater the effect of that shape/action. [0081]
  • In an embodiment of the invention, shape complexity provides an ordering basis. “Complexity” refers both to the number of edges included in a shape and the precision with which the properties of that shape have been specified. A more complex shape provides greater specificity in the application of the action(s) associated with that shape. In applying the set of actions to an IC layout, one computationally efficient process could be to scan for shapes in order of decreasing complexity. This process could require that once a feature within the IC layout is matched with a shape to produce an OPC-corrected photomask layout feature, no further changes to that specific IC layout feature are allowed. Thus, this process could prevent the less complex shapes (which are theoretically capable of matching more features within the IC layout) from causing unwanted “re-modification” of features to which corrections have already been applied. [0082]
  • Alternatively, the IC layout could be scanned for shapes in order of increasing complexity. In such a method, “re-modification” could be allowed, so that the more complex shapes could provide the final modifications to the IC layout, essentially “overruling” any prior modifications by less complex shapes. However, this method could involve more computation (action applications) than the previous method. [0083]
  • Shape-Based OPC System [0084]
  • FIG. 10[0085] a shows a diagram of a shape-based OPC system 1000 in accordance with an embodiment of the invention. OPC system 1000 comprises an input data manager 1010, a hierarchy manager 1020, an OPC engine 1030, and an output data manager 1040. Input data manger 1010 is coupled to receive an input data file DFin for a particular IC layout. According to an aspect of the invention, data file DFin may be a standard layout database file exchange format such as GDS, GDSTI, DXF, CIF, IGES, a flat file, or even a proprietary database file format. However, any data file format that defines the geometry of a layout could be used.
  • [0086] Input data manager 1010 converts data file DFin to a form that may be manipulated and processed by the OPC system. In an embodiment of the invention, input data manager 1010 divides data file DFin into its various layers and discrete sets of geometries within each layer. For example, a single set of geometries may include an entire layer of the IC layout. Alternatively, a single set of geometries might only include a single polygon from a particular layer of the IC layout. In an aspect of the invention, a user may configure the conversion preferences of input data manager 1010. The converted data is then passed to hierarchy manager 1020. In an alternative embodiment, input data manger 1010 can be incorporated in hierarchy manager 1020.
  • [0087] Hierarchy manager 1020 organizes and categorizes the sets of geometries according to a predefined ordering basis (for example to minimize the amount of data required to be processed or to minimize the time required for processing). An example of a hierarchy manager is included in the CATS™ software package, from Numerical Technologies, Inc. Hierarchy manager 1020 then feeds the sets of geometries to OPC engine 1030 according to its priority structure.
  • [0088] OPC engine 1030 receives a set of geometries, and performs shape matching and action application to the set. An embodiment of OPC engine 1030, comprising a data controller 1032, a shape scanner 1034, and an action manager 1036, is shown in FIG. 10b. Data controller 1032 accepts a set of geometries from hierarchy manager 1020 and further segregates the data into “primitives”; i.e., elements appropriate for shape scanner 1034. In an embodiment of the invention, primitives can be polygon data. Alternatively, data controller 1032 could output trapezoid data, or any other representational format used by shape scanner 1034.
  • [0089] Data controller 1032 then supplies the primitives to shape scanner 1034. In an embodiment of the invention, this supply operation can be a batch operation, in which all the primitives can be provided to shape scanner 1034 in a single batch. In such an operation, after the match and action operations of shape scanner 1034 and action manager 1036, respectively, data controller 1032 receives a new set of geometries from hierarchy manager 1020 (loop A). However, in another embodiment of the invention, data controller 1032 performs a sequential supply operation, sending primitives one at a time to shape scanner 1034. After processing of each primitive by shape scanner 1034 and action manager 1036, data controller 1032 supplies a new primitive to shape scanner 1034 (loop B). This looping continues until all the elements in data controller 1032 have been processed.
  • [0090] Shape scanner 1034 compares the shapes within its own shape catalog to features of the primitives provided by data controller 1032, identifying any matches. Shape scanner 1034 also includes logic to resolve shape conflicts, using any of the methods described previously. Action manager 1036 then applies the appropriate actions where indicated by the matching operation of shape scanner 1034. Action manager 1036 also includes logic to resolve any action conflicts that arise. As noted with respect to the action application flow diagram of FIG. 8, the match/action operations may be performed in batch mode or sequential mode. Thus, in an embodiment of the invention, shape scanner 1034 compares its entire shape catalog to the geometry elements, identifying any matching features. The fully match-processed data is then passed to action manager 1036. In another embodiment of the invention, shape scanner 1034 passes the data to action manager 1036 after each shape comparison operation. In this manner, after each shape match is performed, the action(s) associated with that shape can be applied before performing the next shape match (loop C).
  • After all the sets of geometries have been processed by [0091] OPC engine 1030, they are fed by hierarchy manager 1020 to output data manager 1040, as shown in FIG. 10a. The processed data can be converted by output data manager 1040 into an output data file DFout. In an embodiment of the invention, output data file DFout can be converted to the same layout database file format as input data file DFin. In another embodiment of the invention, a user may select a file format for data file DFout.
  • FIG. 11 provides a physical representation of shape-based [0092] OPC system 1000 shown in FIG. 10a, according to an embodiment of the invention. FIG. 11 shows a computer system 1110 comprising a processor 1112 and a graphical display 1114. Alternatively, computer system 1110 could include multiple processors. Computer system 1110 includes software to perform the operations described with reference to OPC system 1000 in FIG. 10a. Computer system 1110 could include a personal computer (PC) running Microsoft™ software and/or a workstation. Display 1114 allows a user to monitor and control the OPC process being performed by computer system 1110.
  • FIG. 11 also shows a [0093] GDS database 1160 and an action/shape database 1180, both of which may be located in a network storage location 1190 (apart from computer system 1110). GDS database 1160 stores IC layout data files, while action/shape database 1180 houses shape libraries and bias tables for use in OPC operations. Alternatively, the data files, shape libraries, and bias tables could be stored locally in computer system 1110. Computer system 1110 may access GDS database 1160 for files on which to perform OPC, and may access action/shape database 1180 for appropriate settings information for the OPC operation. In an embodiment of the invention, databases 1160 and 1180 may be accessed through a local area network (LAN). In another embodiment of the invention, databases 1160 and 1180 may be accessed though a wide area network (WAN), such as the Internet.
  • Thus, an efficient and powerful technique for performing IC layout processing is described. By using a shape-based identification system, seemingly similar layout features can be readily distinguished, and layout processing actions can be precisely applied. The above disclosure is not intended to be limiting. Numerous modifications and variations of the invention will be apparent to one of ordinary skill in the art. For example, a shape catalog may include default, or “filler”, shapes. These filler shapes can be single edge elements used to account for any portions of the IC layout not covered by the rules associated with the multi-edge shapes. Also, the exclusion ID marking technique described as a conflict resolution technique could be used to identify problematic configurations in violation of basic design rules—in effect enabling the filtering system to perform DRC. Therefore, the invention is limited only by the following claims. [0094]

Claims (12)

We claim:
1. A method for creating a photomask layout by applying optical proximity correction (OPC) to an integrated circuit (IC) layout, the method comprising the steps of:
defining a first OPC action, the first OPC action being associated with a first shape, the first shape comprising a plurality of coupled edges;
scanning the IC layout for a first set of features matching the first shape; and
applying the first OPC action to the first set of features to generate a first portion of the photomask layout.
2. The method of claim 1, further comprising the steps of:
defining a second OPC action, the second OPC action being associated with a second shape, the second shape comprising a plurality of coupled edges distinguishable from the first shape;
scanning the IC layout for a second set of features matching the second shape; and
applying the second OPC action to the second set of features to generate a second portion of the photomask layout.
3. The method of claim 1, further comprising the steps of:
defining a second OPC action, the second OPC action being associated with a single edge;
scanning the IC layout for a second set of features matching the single edge, the third set of features being distinct from the first set of features;
applying the second OPC rule to the second set of features to generate a second portion of the photomask layout; and
combining the first and second portions of the IC layout with the portion of the IC layout not included in the first and second sets of features.
4. The method of claim 2, wherein the step of applying the first OPC action is performed before the step of applying the second OPC action, the first shape having a greater complexity than the second shape, the second set of features being selected from the portions of the IC layout not including the first set of features.
5. The method of claim 2, wherein the step of applying the first OPC action is performed before the step of applying the second OPC action, the second shape having a greater complexity than the first shape.
6. A system for applying optical proximity correction (OPC) to an integrated circuit (IC) layout, the system comprising:
a catalog of shapes, each of the shapes comprising at least two edges coupled in a prespecified manner; and
means for comparing the catalog of shapes with the IC layout.
7. The system of claim 6, further comprising:
a library of actions, wherein each of the actions is associated with one of the shapes; and
means for applying the actions to the IC layout.
8. The system of claim 7, wherein at least some of the actions controls application of a layout modification.
9. The system of claim 7, further comprising means for resolving shape conflicts.
10. The system of claim 7, further comprising means for resolving action conflicts.
11. A photomask created from an integrated circuit (IC) layout, wherein the IC layout comprises a plurality of layout features, the photomask comprising:
a substantially transparent substrate; and
a substantially opaque layer forming a photomask pattern on the substantially transparent substrate,
wherein the photomask pattern includes at least one layout modification formed by an optical proximity correction (OPC) action associated with a shape matching one of the plurality of layout features, the shape comprising at least two edges coupled in a specified manner.
12. An integrated circuit (IC) created using a photomask, the photomask comprising at least one layout modification formed using an optical proximity correction (OPC) action associated with a shape, the shape comprising at least two edges coupled in a specified manner.
US10/194,703 2000-08-02 2002-07-12 General purpose shape-based layout processing scheme for IC layout modifications Abandoned US20030061592A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/194,703 US20030061592A1 (en) 2000-08-02 2002-07-12 General purpose shape-based layout processing scheme for IC layout modifications

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/632,080 US6523162B1 (en) 2000-08-02 2000-08-02 General purpose shape-based layout processing scheme for IC layout modifications
US10/194,703 US20030061592A1 (en) 2000-08-02 2002-07-12 General purpose shape-based layout processing scheme for IC layout modifications

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/632,080 Division US6523162B1 (en) 2000-08-02 2000-08-02 General purpose shape-based layout processing scheme for IC layout modifications

Publications (1)

Publication Number Publication Date
US20030061592A1 true US20030061592A1 (en) 2003-03-27

Family

ID=24533988

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/632,080 Expired - Lifetime US6523162B1 (en) 2000-08-02 2000-08-02 General purpose shape-based layout processing scheme for IC layout modifications
US10/194,703 Abandoned US20030061592A1 (en) 2000-08-02 2002-07-12 General purpose shape-based layout processing scheme for IC layout modifications

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/632,080 Expired - Lifetime US6523162B1 (en) 2000-08-02 2000-08-02 General purpose shape-based layout processing scheme for IC layout modifications

Country Status (1)

Country Link
US (2) US6523162B1 (en)

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030074646A1 (en) * 2001-09-29 2003-04-17 Toshiya Kotani Mask pattern generating method and manufacturing method of semiconductor apparatus
US20030208742A1 (en) * 2001-07-10 2003-11-06 Lacour Patrick Joseph Space classification for resolution enhancement techniques
US20040031013A1 (en) * 2002-08-06 2004-02-12 Dulman H. Daniel Methods of forming patterned reticles
US20040250232A1 (en) * 2003-06-03 2004-12-09 Kobozeva Olga A. Optical proximity correction method using weighted priorities
US20050125763A1 (en) * 2003-06-30 2005-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for the online design of a reticle field layout
US20050202326A1 (en) * 2004-03-09 2005-09-15 International Business Machines Corporation Optimized placement of sub-resolution assist features within two-dimensional environments
US20060236287A1 (en) * 2005-03-24 2006-10-19 Shao-Po Wu Flexible shape identification for optical proximity correction in semiconductor fabrication
US20070086481A1 (en) * 2005-10-13 2007-04-19 Microsoft Corporation RTP Payload Format For VC-1
US7313769B1 (en) * 2004-03-01 2007-12-25 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
US20080141211A1 (en) * 2006-12-11 2008-06-12 International Business Machines Corporation Opc verification using auto-windowed regions
US20090014811A1 (en) * 2006-03-09 2009-01-15 Tela Innovations, Inc. Dynamic Array Architecture
US20090032898A1 (en) * 2007-08-02 2009-02-05 Tela Innovations, Inc. Methods for Defining Dynamic Array Section with Manufacturing Assurance Halo and Apparatus Implementing the Same
US20090224408A1 (en) * 2008-03-27 2009-09-10 Tela Innovations, Inc. Methods for Multi-Wire Routing and Apparatus Implementing Same
US20090224317A1 (en) * 2008-03-13 2009-09-10 Tela Innovations, Inc. Cross-Coupled Transistor Layouts in Restricted Gate Level Layout Architecture
US20090241087A1 (en) * 2004-03-30 2009-09-24 Youping Zhang System for simplifying layout processing
US20090268958A1 (en) * 2008-04-24 2009-10-29 Synopsys, Inc. dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
US20100006901A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions
US7653892B1 (en) * 2004-08-18 2010-01-26 Cadence Design Systems, Inc. System and method for implementing image-based design rules
US20100252896A1 (en) * 2007-10-26 2010-10-07 Tela Innovations, Inc. Methods, Structures, and Designs for Self-Aligning Local Interconnects used in Integrated Circuits
US20110055778A1 (en) * 2009-08-28 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic Application-Rule Checker
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8214778B2 (en) 2007-08-02 2012-07-03 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US8429582B1 (en) * 2010-06-12 2013-04-23 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for smart pattern capturing and layout fixing
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US20130223723A1 (en) * 2010-06-02 2013-08-29 Hitachi High-Technologies Corporation Pattern measuring apparatus, and pattern measuring method and program
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8713507B1 (en) 2012-05-04 2014-04-29 Cadence Design Systems, Inc. Method and apparatus for efficiently inserting fills in an integrated circuit layout
US8789005B1 (en) * 2012-05-04 2014-07-22 Cadence Design Systems, Inc. Method and apparatus for efficiently processing an integrated circuit layout
DE102004008378B4 (en) * 2003-07-23 2014-08-28 Nanya Technology Corporation Optical seam correction method
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8863045B1 (en) * 2013-06-08 2014-10-14 Shanghai Huali Microelectronics Corporation Optical proximity correction method based on hybrid simulation model
US8863063B2 (en) 2009-05-06 2014-10-14 Tela Innovations, Inc. Finfet transistor circuit
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9519732B1 (en) 2011-11-28 2016-12-13 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing pattern-based design enabled manufacturing of electronic circuit designs
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6760323B1 (en) * 1999-02-04 2004-07-06 Concerto Software, Inc. System and method for providing audio communication over a computer network using differing communication formats
US6440612B1 (en) 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
JP4187947B2 (en) * 2001-04-26 2008-11-26 株式会社東芝 PATTERN CORRECTION METHOD, PATTERN CORRECTION DEVICE, AND RECORDING MEDIUM CONTAINING PATTERN CORRECTION PROGRAM
US6615393B1 (en) * 2001-06-25 2003-09-02 Cypress Semiconductor Corporation Method and apparatus for performing electrical distance check
DE10151379C1 (en) * 2001-10-18 2003-04-24 Infineon Technologies Ag Manufacturing integrated semiconducting circuit involves implementing short, wide range wiring in same metallization plane, showing each different form on different layer type drawing
US7085698B2 (en) * 2001-12-18 2006-08-01 Synopsys, Inc. Method for providing flexible and dynamic reporting capability using simulation tools
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
TW548562B (en) * 2002-01-16 2003-08-21 Springsoft Inc Method and system for drawing layout of process testing components
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6795955B2 (en) * 2002-03-15 2004-09-21 Numerical Technologies Method and apparatus for identifying an identical cell in an IC layout with an existing solution
US6875624B2 (en) * 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
US7178114B2 (en) * 2002-05-31 2007-02-13 Springsoft, Inc. Scripted, hierarchical template-based IC physical layout system
US7124395B2 (en) * 2002-07-26 2006-10-17 Asml Masktools B.V. Automatic optical proximity correction (OPC) rule generation
JP2004158768A (en) * 2002-11-08 2004-06-03 Disco Abrasive Syst Ltd Semiconductor wafer and substrate
US7313456B2 (en) * 2003-04-11 2007-12-25 Applied Materials, Inc. Method and apparatus for capturing and using design intent in an integrated circuit fabrication process
US20040248016A1 (en) * 2003-06-06 2004-12-09 Lucas Kevin D. Method of designing a reticle and forming a semiconductor device therewith
US20050003617A1 (en) * 2003-07-01 2005-01-06 Macronix International Co., Ltd. Template padding method for padding edges of holes on semiconductor masks
US7062748B2 (en) * 2003-08-25 2006-06-13 Infineon Technologies Ag System and method of correcting mask rule violations after optical proximity correction
US7275227B1 (en) 2003-08-27 2007-09-25 Anchor Semiconductor Inc. Method of checking optical proximity correction data
US7353492B2 (en) * 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
US7543256B1 (en) 2004-03-01 2009-06-02 Advanced Micro Devices, Inc. System and method for designing an integrated circuit device
US7269804B2 (en) * 2004-04-02 2007-09-11 Advanced Micro Devices, Inc. System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques
US7194725B1 (en) 2004-04-02 2007-03-20 Advanced Micro Devices, Inc. System and method for design rule creation and selection
US7219317B2 (en) * 2004-04-19 2007-05-15 Lsi Logic Corporation Method and computer program for verifying an incremental change to an integrated circuit design
US7281222B1 (en) * 2004-06-02 2007-10-09 Advanced Micro Devices, Inc. System and method for automatic generation of optical proximity correction (OPC) rule sets
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
US7463367B2 (en) * 2004-07-13 2008-12-09 Micron Technology, Inc. Estimating overlay error and optical aberrations
US7908572B2 (en) * 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
KR101407913B1 (en) * 2005-09-26 2014-06-17 마이크로닉 마이데이터 아베 Methods and systems for pattern generation based on multiple forms of design data
US8572523B2 (en) * 2006-07-21 2013-10-29 Synopsys, Inc. Lithography aware leakage analysis
US7669173B2 (en) * 2006-12-07 2010-02-23 Infineon Technologies Ag Semiconductor mask and method of making same
CN101738850B (en) * 2008-11-27 2011-12-07 中芯国际集成电路制造(上海)有限公司 Method for collecting optical proximity corrected parameters
US8885917B2 (en) * 2011-12-27 2014-11-11 United Microelectronics Corp. Mask pattern and correcting method thereof
US8806391B2 (en) * 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8863044B1 (en) 2013-09-06 2014-10-14 International Business Machines Corporation Layout assessment method and system
US10192018B1 (en) * 2016-03-31 2019-01-29 Cadence Design Systems, Inc. Method and system for implementing efficient trim data representation for an electronic design
US11080458B2 (en) * 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography simulation method
CN113391516B (en) * 2020-03-13 2022-03-04 长鑫存储技术有限公司 Optical proximity effect correction method, device, equipment and medium
CN113792525B (en) * 2021-11-16 2023-02-28 北京芯愿景软件技术股份有限公司 Integrated circuit layout design method, device and equipment

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5159201A (en) * 1991-07-26 1992-10-27 International Business Machines Corporation Shape decompositon system and method
US5900338A (en) * 1996-02-27 1999-05-04 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6416907B1 (en) * 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
US6425113B1 (en) * 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6430737B1 (en) * 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US6516459B1 (en) * 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231811A (en) 1979-09-13 1980-11-04 Intel Corporation Variable thickness self-aligned photoresist process
DE3067832D1 (en) 1980-07-10 1984-06-20 Ibm Process for compensating the proximity effect in electron beam projection devices
US4456371A (en) 1982-06-30 1984-06-26 International Business Machines Corporation Optical projection printing threshold leveling arrangement
US4812962A (en) 1987-04-09 1989-03-14 Harris Corp. Area feature sorting mechanism for neighborhood-based proximity correction in lithography processing of integrated circuit patterns
US4895780A (en) 1987-05-13 1990-01-23 General Electric Company Adjustable windage method and mask for correction of proximity effect in submicron photolithography
US4902899A (en) 1987-06-01 1990-02-20 International Business Machines Corporation Lithographic process having improved image quality
US5182718A (en) 1989-04-04 1993-01-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light
JP2830330B2 (en) 1989-04-04 1998-12-02 松下電器産業株式会社 Proximity effect correction method
JP2881892B2 (en) 1990-01-16 1999-04-12 富士通株式会社 Projection exposure mask
US5051598A (en) 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
IL97022A0 (en) 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5208124A (en) 1991-03-19 1993-05-04 Hewlett-Packard Company Method of making a mask for proximity effect correction in projection lithography
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
ATE168791T1 (en) 1992-04-06 1998-08-15 Microunity Systems Eng METHOD FOR PRODUCING A LITHOGRAPHIC PATTERN IN A PROCESS FOR PRODUCING SEMICONDUCTOR DEVICES
US5256505A (en) 1992-08-21 1993-10-26 Microunity Systems Engineering Lithographical mask for controlling the dimensions of resist patterns
US6007310A (en) 1993-11-23 1999-12-28 Sarcos, Lc Volumetric pump with sterility seal
US5424154A (en) 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5447810A (en) 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
GB2291219B (en) 1994-07-05 1998-07-01 Nec Corp Photo-mask fabrication and use
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
JPH08297692A (en) 1994-09-16 1996-11-12 Mitsubishi Electric Corp Device and method for correcting optical proximity, and pattern forming method
JP3273456B2 (en) 1995-02-24 2002-04-08 アルプス電気株式会社 Motor driven slide type variable resistor
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
JP3409493B2 (en) 1995-03-13 2003-05-26 ソニー株式会社 Mask pattern correction method and correction device
US5553273A (en) 1995-04-17 1996-09-03 International Business Machines Corporation Vertex minimization in a smart optical proximity correction system
US5657235A (en) 1995-05-03 1997-08-12 International Business Machines Corporation Continuous scale optical proximity correction by mask maker dose modulation
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
US5663017A (en) 1995-06-07 1997-09-02 Lsi Logic Corporation Optical corrective techniques with reticle formation and reticle stitching to provide design flexibility
JP3331822B2 (en) 1995-07-17 2002-10-07 ソニー株式会社 Mask pattern correction method, mask using the same, exposure method, and semiconductor device
JP3210560B2 (en) 1995-10-17 2001-09-17 エスエムケイ株式会社 Tablet
JP2917879B2 (en) 1995-10-31 1999-07-12 日本電気株式会社 Photomask and manufacturing method thereof
JP3934719B2 (en) 1995-12-22 2007-06-20 株式会社東芝 Optical proximity correction method
JP3469422B2 (en) 1996-02-23 2003-11-25 株式会社東芝 Charged beam writing method and writing apparatus
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5972541A (en) 1996-02-27 1999-10-26 Lsi Logic Corporation Reticle and method of design to correct pattern for depth of focus problems
US5862058A (en) 1996-05-16 1999-01-19 International Business Machines Corporation Optical proximity correction method and system
US5707765A (en) 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
US5885734A (en) 1996-08-15 1999-03-23 Micron Technology, Inc. Process for modifying a hierarchical mask layout
US5994002A (en) 1996-09-06 1999-11-30 Matsushita Electric Industrial Co., Ltd. Photo mask and pattern forming method
JP3518275B2 (en) 1996-09-06 2004-04-12 松下電器産業株式会社 Photomask and pattern forming method
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US5900340A (en) * 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5920487A (en) * 1997-03-03 1999-07-06 Motorola Inc. Two dimensional lithographic proximity correction using DRC shape functions
JPH10282635A (en) 1997-04-09 1998-10-23 Sony Corp Method for correcting pattern data, electron beam writing method, photomask and its manufacture, exposure method, semiconductor device and its production and pattern data correcting device
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US5958635A (en) 1997-10-20 1999-09-28 Motorola, Inc. Lithographic proximity correction through subset feature modification
JP3101594B2 (en) 1997-11-06 2000-10-23 キヤノン株式会社 Exposure method and exposure apparatus
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
WO1999047981A1 (en) 1998-03-17 1999-09-23 Asml Masktools Netherlands B.V. METHOD OF PATTERNING SUB-0.25μ LINE FEATURES WITH HIGH TRANSMISSION, 'ATTENUATED' PHASE SHIFT MASKS
JP3241010B2 (en) 1998-11-18 2001-12-25 日本電気株式会社 Optical proximity correction method for semiconductor manufacturing process
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5159201A (en) * 1991-07-26 1992-10-27 International Business Machines Corporation Shape decompositon system and method
US5900338A (en) * 1996-02-27 1999-05-04 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6416907B1 (en) * 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
US6425113B1 (en) * 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6430737B1 (en) * 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US6516459B1 (en) * 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence

Cited By (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030208742A1 (en) * 2001-07-10 2003-11-06 Lacour Patrick Joseph Space classification for resolution enhancement techniques
US6799313B2 (en) * 2001-07-10 2004-09-28 Lacour Patrick Joseph Space classification for resolution enhancement techniques
US6964031B2 (en) * 2001-09-29 2005-11-08 Kabushiki Kaisha Toshiba Mask pattern generating method and manufacturing method of semiconductor apparatus
US20030074646A1 (en) * 2001-09-29 2003-04-17 Toshiya Kotani Mask pattern generating method and manufacturing method of semiconductor apparatus
US7107572B2 (en) 2002-08-06 2006-09-12 Micron Technology, Inc. Methods of forming patterned reticles
US7086031B2 (en) 2002-08-06 2006-08-01 Micron Technology, Inc. Methods of forming patterned reticles
US20050008952A1 (en) * 2002-08-06 2005-01-13 Dulman H. Daniel Methods of forming patterned reticles
US20050008953A1 (en) * 2002-08-06 2005-01-13 Dulman H. Daniel Methods of forming patterned reticles
US20050008950A1 (en) * 2002-08-06 2005-01-13 Dulman H. Daniel Methods of forming patterned reticles
US20050008951A1 (en) * 2002-08-06 2005-01-13 Dulman H. Daniel Methods of forming patterned reticles
US20050008949A1 (en) * 2002-08-06 2005-01-13 Dulman H. Daniel Methods of forming patterned reticles
US7350182B2 (en) 2002-08-06 2008-03-25 Micron Technology, Inc. Methods of forming patterned reticles
US20040031013A1 (en) * 2002-08-06 2004-02-12 Dulman H. Daniel Methods of forming patterned reticles
US7093227B2 (en) 2002-08-06 2006-08-15 Micron Technology, Inc. Methods of forming patterned reticles
US6842889B2 (en) * 2002-08-06 2005-01-11 Micron Technology, Inc. Methods of forming patterned reticles
US7073161B2 (en) 2002-08-06 2006-07-04 Micron Technology, Inc. Methods of forming patterned reticles
US7069535B2 (en) * 2003-06-03 2006-06-27 Lsi Logic Corporation Optical proximity correction method using weighted priorities
US20040250232A1 (en) * 2003-06-03 2004-12-09 Kobozeva Olga A. Optical proximity correction method using weighted priorities
US20050125763A1 (en) * 2003-06-30 2005-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for the online design of a reticle field layout
DE102004008378B4 (en) * 2003-07-23 2014-08-28 Nanya Technology Corporation Optical seam correction method
US7313769B1 (en) * 2004-03-01 2007-12-25 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
US20050202326A1 (en) * 2004-03-09 2005-09-15 International Business Machines Corporation Optimized placement of sub-resolution assist features within two-dimensional environments
US8782574B2 (en) * 2004-03-30 2014-07-15 Youping Zhang System for simplifying layout processing
US20090241087A1 (en) * 2004-03-30 2009-09-24 Youping Zhang System for simplifying layout processing
US8631373B1 (en) 2004-08-18 2014-01-14 Cadence Design Systems, Inc. Yield analysis with situations
US8769474B1 (en) 2004-08-18 2014-07-01 Cadence Design Systems, Inc. Fast pattern matching
US7653892B1 (en) * 2004-08-18 2010-01-26 Cadence Design Systems, Inc. System and method for implementing image-based design rules
US8365103B1 (en) 2004-08-18 2013-01-29 Cadence Design Systems, Inc. System and method for implementing image-based design rules
US8327299B1 (en) 2004-08-18 2012-12-04 Cadence Design Systems, Inc. System and method for implementing image-based design rules
US7831942B1 (en) 2004-08-18 2010-11-09 Cadence Design Systems, Inc. Design check database
US7818707B1 (en) 2004-08-18 2010-10-19 Cadence Design Systems, Inc. Fast pattern matching
US7752577B1 (en) 2004-08-18 2010-07-06 Cadence Design Systems, Inc. Constraint plus pattern
US7707542B1 (en) 2004-08-18 2010-04-27 Cadence Design Systems, Inc. Creating a situation repository
US7661087B1 (en) 2004-08-18 2010-02-09 Cadence Design Systems, Inc. Yield analysis with situations
US20060236287A1 (en) * 2005-03-24 2006-10-19 Shao-Po Wu Flexible shape identification for optical proximity correction in semiconductor fabrication
US7337424B2 (en) * 2005-03-24 2008-02-26 Aprio Technologies, Inc. Flexible shape identification for optical proximity correction in semiconductor fabrication
US20070086481A1 (en) * 2005-10-13 2007-04-19 Microsoft Corporation RTP Payload Format For VC-1
US7948012B2 (en) 2006-03-09 2011-05-24 Tela Innovations, Inc. Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment
US8088680B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch
US20100006903A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions
US20100006950A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having At Least Eight Transistors
US20100012981A1 (en) * 2006-03-09 2010-01-21 Tela Innovations, Inc. Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding Non-Symmetric Diffusion Regions
US20100012985A1 (en) * 2006-03-09 2010-01-21 Tela Innovations, Inc. Semiconductor Device Portion Having Sub-193 Nanometers -Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having At Least Eight Transistors
US20100012982A1 (en) * 2006-03-09 2010-01-21 Tela Innovations, Inc. Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding Non-Symmetric Diffusion Regions
US20100017768A1 (en) * 2006-03-09 2010-01-21 Tela Innovations, Inc. Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region
US20100017772A1 (en) * 2006-03-09 2010-01-21 Tela Innovations, Inc. Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors with Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region
US20100011329A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors
US20100019280A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks
US20100019285A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Cell of Semiconductor Device Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors
US20100019284A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors
US20100023907A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region
US20100025734A1 (en) * 2006-03-09 2010-02-04 Tela Innovations, Inc. Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Equal Number of PMOS and NMOS Transistors
US20100025736A1 (en) * 2006-03-09 2010-02-04 Tela Innovations, Inc. Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and At Least Eight Transistors
US20100006901A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions
US20100096671A1 (en) * 2006-03-09 2010-04-22 Tela Innovations, Inc. Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors
US20100011331A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing with Corresponding Non-Symmetric Diffusion Regions
US20100006948A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Sub-193 Nanometers -Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having At Least Eight Transistors
US10141334B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9917056B2 (en) 2006-03-09 2018-03-13 Tela Innovations, Inc. Coarse grid design methods and structures
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9905576B2 (en) 2006-03-09 2018-02-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first metal structures
US9859277B2 (en) 2006-03-09 2018-01-02 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US9754878B2 (en) 2006-03-09 2017-09-05 Tela Innovations, Inc. Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires
US9741719B2 (en) 2006-03-09 2017-08-22 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US20100006947A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having At Least Eight Transistors
US20100001321A1 (en) * 2006-03-09 2010-01-07 Tela Innovations, Inc. Semiconductor Device Layout Having Restricted Layout Region Including Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Corresponding Non-Symmetric Diffusion Regions
US7842975B2 (en) 2006-03-09 2010-11-30 Tela Innovations, Inc. Dynamic array architecture
US9711495B2 (en) 2006-03-09 2017-07-18 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9673825B2 (en) 2006-03-09 2017-06-06 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7906801B2 (en) 2006-03-09 2011-03-15 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions
US10141335B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures
US7910958B2 (en) 2006-03-09 2011-03-22 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment
US7910959B2 (en) 2006-03-09 2011-03-22 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level
US20090014811A1 (en) * 2006-03-09 2009-01-15 Tela Innovations, Inc. Dynamic Array Architecture
US7923757B2 (en) 2006-03-09 2011-04-12 Tela Innovations, Inc. Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7932544B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions
US10230377B2 (en) 2006-03-09 2019-03-12 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7943966B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7948013B2 (en) 2006-03-09 2011-05-24 Tela Innovations, Inc. Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch
US10186523B2 (en) 2006-03-09 2019-01-22 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid
US7952119B2 (en) 2006-03-09 2011-05-31 Tela Innovations, Inc. Semiconductor device and associated layout having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
US9589091B2 (en) 2006-03-09 2017-03-07 Tela Innovations, Inc. Scalable meta-data objects
US8823062B2 (en) 2006-03-09 2014-09-02 Tela Innovations, Inc. Integrated circuit with offset line end spacings in linear gate electrode level
US9443947B2 (en) 2006-03-09 2016-09-13 Tela Innovations, Inc. Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same
US7989848B2 (en) 2006-03-09 2011-08-02 Tela Innovations, Inc. Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground
US7989847B2 (en) 2006-03-09 2011-08-02 Tela Innovations, Inc. Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths
US9425272B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same
US8022441B2 (en) 2006-03-09 2011-09-20 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level
US8030689B2 (en) 2006-03-09 2011-10-04 Tela Innovations, Inc. Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment
US8035133B2 (en) 2006-03-09 2011-10-11 Tela Innovations, Inc. Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch
US8058671B2 (en) 2006-03-09 2011-11-15 Tela Innovations, Inc. Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8072003B2 (en) 2006-03-09 2011-12-06 Tela Innovations, Inc. Integrated circuit device and associated layout including two pairs of co-aligned complementary gate electrodes with offset gate contact structures
US8089099B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc, Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch
US8088679B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment
US8089103B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type
US8089098B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment
US8088682B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
US8089104B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size
US20100006897A1 (en) * 2006-03-09 2010-01-14 Tela Innovations. Inc. Semiconductor Device Layout Having Restricted Layout Region Including Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors
US8089101B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
US8089100B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes
US8088681B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment
US8089102B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
US8101975B2 (en) 2006-03-09 2012-01-24 Tela Innovations, Inc. Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type
US8110854B2 (en) 2006-03-09 2012-02-07 Tela Innovations, Inc. Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels
US8129756B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures
US8129754B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends
US8129755B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor
US8129819B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
US8129753B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion
US8129757B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
US8129750B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length
US8129751B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances
US8129752B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes
US8134184B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion
US8134185B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends
US8134186B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length
US8134183B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size
US8138525B2 (en) 2006-03-09 2012-03-20 Tela Innovations, Inc. Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor
US8198656B2 (en) 2006-03-09 2012-06-12 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
US8207053B2 (en) 2006-03-09 2012-06-26 Tela Innovations, Inc. Electrodes of transistors with at least two linear-shaped conductive structures of different length
US9425145B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8217428B2 (en) 2006-03-09 2012-07-10 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8253173B2 (en) 2006-03-09 2012-08-28 Tela Innovations, Inc. Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region
US8253172B2 (en) 2006-03-09 2012-08-28 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region
US8258552B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends
US8258550B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact
US9425273B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same
US8258547B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts
US8258549B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length
US8258551B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction
US8258548B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region
US8264008B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size
US8264009B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length
US9336344B2 (en) 2006-03-09 2016-05-10 Tela Innovations, Inc. Coarse grid design methods and structures
US8264007B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances
US9240413B2 (en) 2006-03-09 2016-01-19 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US10217763B2 (en) 2006-03-09 2019-02-26 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8436400B2 (en) 2006-03-09 2013-05-07 Tela Innovations, Inc. Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length
US8921896B2 (en) 2006-03-09 2014-12-30 Tela Innovations, Inc. Integrated circuit including linear gate electrode structures having different extension distances beyond contact
US8952425B2 (en) 2006-03-09 2015-02-10 Tela Innovations, Inc. Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length
US8946781B2 (en) 2006-03-09 2015-02-03 Tela Innovations, Inc. Integrated circuit including gate electrode conductive structures with different extension distances beyond contact
US8921897B2 (en) 2006-03-09 2014-12-30 Tela Innovations, Inc. Integrated circuit with gate electrode conductive structures having offset ends
US7562337B2 (en) * 2006-12-11 2009-07-14 International Business Machines Corporation OPC verification using auto-windowed regions
US20080141211A1 (en) * 2006-12-11 2008-06-12 International Business Machines Corporation Opc verification using auto-windowed regions
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US9633987B2 (en) 2007-03-05 2017-04-25 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US10074640B2 (en) 2007-03-05 2018-09-11 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8966424B2 (en) 2007-03-07 2015-02-24 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9424387B2 (en) 2007-03-07 2016-08-23 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9595515B2 (en) 2007-03-07 2017-03-14 Tela Innovations, Inc. Semiconductor chip including integrated circuit defined within dynamic array section
US9910950B2 (en) 2007-03-07 2018-03-06 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8214778B2 (en) 2007-08-02 2012-07-03 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8759882B2 (en) 2007-08-02 2014-06-24 Tela Innovations, Inc. Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
US8356268B2 (en) 2007-08-02 2013-01-15 Tela Innovations, Inc. Integrated circuit device including dynamic array section with gate level having linear conductive features on at least three side-by-side lines and uniform line end spacings
US20090032898A1 (en) * 2007-08-02 2009-02-05 Tela Innovations, Inc. Methods for Defining Dynamic Array Section with Manufacturing Assurance Halo and Apparatus Implementing the Same
US8283701B2 (en) 2007-08-02 2012-10-09 Tela Innovations, Inc. Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
US8756551B2 (en) 2007-08-02 2014-06-17 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8549455B2 (en) 2007-08-02 2013-10-01 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US20110175144A1 (en) * 2007-08-02 2011-07-21 Tela Innovations, Inc. Integrated Circuit Device Including Dynamic Array Section with Gate Level Having Linear Conductive Features on at Least Three Side-by-Side Lines and Uniform Line End Spacings
US20100252896A1 (en) * 2007-10-26 2010-10-07 Tela Innovations, Inc. Methods, Structures, and Designs for Self-Aligning Local Interconnects used in Integrated Circuits
US7994545B2 (en) 2007-10-26 2011-08-09 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US8680626B2 (en) 2007-10-26 2014-03-25 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US10734383B2 (en) 2007-10-26 2020-08-04 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US9281371B2 (en) 2007-12-13 2016-03-08 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9818747B2 (en) 2007-12-13 2017-11-14 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US10461081B2 (en) 2007-12-13 2019-10-29 Tel Innovations, Inc. Super-self-aligned contacts and method for making the same
US8951916B2 (en) 2007-12-13 2015-02-10 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9530734B2 (en) 2008-01-31 2016-12-27 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US9202779B2 (en) 2008-01-31 2015-12-01 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8701071B2 (en) 2008-01-31 2014-04-15 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8405163B2 (en) 2008-03-13 2013-03-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
US8274099B2 (en) 2008-03-13 2012-09-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
US8735995B2 (en) 2008-03-13 2014-05-27 Tela Innovations, Inc. Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track
US8742462B2 (en) 2008-03-13 2014-06-03 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications
US8742463B2 (en) 2008-03-13 2014-06-03 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts
US8729606B2 (en) 2008-03-13 2014-05-20 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels
US8729643B2 (en) 2008-03-13 2014-05-20 Tela Innovations, Inc. Cross-coupled transistor circuit including offset inner gate contacts
US10727252B2 (en) 2008-03-13 2020-07-28 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US10658385B2 (en) 2008-03-13 2020-05-19 Tela Innovations, Inc. Cross-coupled transistor circuit defined on four gate electrode tracks
US8772839B2 (en) 2008-03-13 2014-07-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US10651200B2 (en) 2008-03-13 2020-05-12 Tela Innovations, Inc. Cross-coupled transistor circuit defined on three gate electrode tracks
US8564071B2 (en) 2008-03-13 2013-10-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact
US8785979B2 (en) 2008-03-13 2014-07-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer
US8785978B2 (en) 2008-03-13 2014-07-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer
US8816402B2 (en) 2008-03-13 2014-08-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor
US8569841B2 (en) 2008-03-13 2013-10-29 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel
US20090224317A1 (en) * 2008-03-13 2009-09-10 Tela Innovations, Inc. Cross-Coupled Transistor Layouts in Restricted Gate Level Layout Architecture
US8680583B2 (en) 2008-03-13 2014-03-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels
US8835989B2 (en) 2008-03-13 2014-09-16 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications
US8836045B2 (en) 2008-03-13 2014-09-16 Tela Innovations, Inc. Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track
US8847331B2 (en) 2008-03-13 2014-09-30 Tela Innovations, Inc. Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures
US8847329B2 (en) 2008-03-13 2014-09-30 Tela Innovations, Inc. Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts
US8853794B2 (en) 2008-03-13 2014-10-07 Tela Innovations, Inc. Integrated circuit within semiconductor chip including cross-coupled transistor configuration
US8853793B2 (en) 2008-03-13 2014-10-07 Tela Innovations, Inc. Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends
US20100187627A1 (en) * 2008-03-13 2010-07-29 Tela Innovations, Inc. Channelized Gate Level Cross-Coupled Transistor Device with Overlapping PMOS Transistors and Overlapping NMOS Transistors Relative to Direction of Gate Electrodes
US20100187616A1 (en) * 2008-03-13 2010-07-29 Tela Innovations, Inc. Linear Gate Level Cross-Coupled Transistor Device with Overlapping PMOS Transistors and Overlapping NMOS Transistors Relative to Direction of Gate Electrodes
US8866197B2 (en) 2008-03-13 2014-10-21 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature
US8872283B2 (en) 2008-03-13 2014-10-28 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
US10020321B2 (en) 2008-03-13 2018-07-10 Tela Innovations, Inc. Cross-coupled transistor circuit defined on two gate electrode tracks
US8405162B2 (en) 2008-03-13 2013-03-26 Tela Innovations, Inc. Integrated circuit including gate electrode level region including cross-coupled transistors having at least one gate contact located over outer portion of gate electrode level region
US20100187618A1 (en) * 2008-03-13 2010-07-29 Tela Innovations, Inc. Linear Gate Level Cross-Coupled Transistor Device with Overlapping PMOS Transistors and Non-Overlapping NMOS Transistors Relative to Direction of Gate Electrodes
US20100187634A1 (en) * 2008-03-13 2010-07-29 Tela Innovations, Inc. Channelized Gate Level Cross-Coupled Transistor Device with Cross-Coupled Transistors Defined on Four Gate Electrode Tracks with Crossing Gate Electrode Connections
US8558322B2 (en) 2008-03-13 2013-10-15 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature
US20100237429A1 (en) * 2008-03-13 2010-09-23 Tela Innovations, Inc. Channelized Gate Level Cross-Coupled Transistor Device with Non-Overlapping PMOS Transistors and Non-Overlapping NMOS Transistors Relative to Direction of Gate Electrodes
US8395224B2 (en) 2008-03-13 2013-03-12 Tela Innovations, Inc. Linear gate level cross-coupled transistor device with non-overlapping PMOS transistors and non-overlapping NMOS transistors relative to directions of gate electrodes
US9871056B2 (en) 2008-03-13 2018-01-16 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US8669595B2 (en) 2008-03-13 2014-03-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications
US8669594B2 (en) 2008-03-13 2014-03-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels
US9081931B2 (en) 2008-03-13 2015-07-14 Tela Innovations, Inc. Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer
US9117050B2 (en) 2008-03-13 2015-08-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
US20100237427A1 (en) * 2008-03-13 2010-09-23 Tela Innovations, Inc. Channelized Gate Level Cross-Coupled Transistor Device with Contiguous p-type Diffusion Regions and Contiguous n-type Diffusion Regions
US8575706B2 (en) 2008-03-13 2013-11-05 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode
US8581303B2 (en) 2008-03-13 2013-11-12 Tela Innovations, Inc. Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer
US9208279B2 (en) 2008-03-13 2015-12-08 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods
US9213792B2 (en) 2008-03-13 2015-12-15 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
US8735944B2 (en) 2008-03-13 2014-05-27 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors
US8264049B2 (en) 2008-03-13 2012-09-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
US9245081B2 (en) 2008-03-13 2016-01-26 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
US20100252893A1 (en) * 2008-03-13 2010-10-07 Tela Innovations, Inc. Channelized Gate Level Cross-Coupled Transistor Device with Cross-Coupled Transistors Defined on Three Gate Electrode Tracks with Crossing Gate Electrode Connections
US8581304B2 (en) 2008-03-13 2013-11-12 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships
US8264044B2 (en) 2008-03-13 2012-09-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having two complementary pairs of co-aligned gate electrodes with offset contacting structures positioned between transistors of different type
US8587034B2 (en) 2008-03-13 2013-11-19 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US8258581B2 (en) 2008-03-13 2012-09-04 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type formed by same gate level structure and two transistors of different type formed by separate gate level structures
US8552508B2 (en) 2008-03-13 2013-10-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US8058691B2 (en) 2008-03-13 2011-11-15 Tela Innovations, Inc. Semiconductor device including cross-coupled transistors formed from linear-shaped gate level features
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8552509B2 (en) 2008-03-13 2013-10-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors
US9536899B2 (en) 2008-03-13 2017-01-03 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US8592872B2 (en) 2008-03-13 2013-11-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
US9779200B2 (en) 2008-03-27 2017-10-03 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8759985B2 (en) 2008-03-27 2014-06-24 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US20090224408A1 (en) * 2008-03-27 2009-09-10 Tela Innovations, Inc. Methods for Multi-Wire Routing and Apparatus Implementing Same
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US9390215B2 (en) 2008-03-27 2016-07-12 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8471391B2 (en) 2008-03-27 2013-06-25 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8566754B2 (en) * 2008-04-24 2013-10-22 Synopsys, Inc. Dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
US20090268958A1 (en) * 2008-04-24 2009-10-29 Synopsys, Inc. dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US8645887B2 (en) 2008-06-05 2014-02-04 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US8677301B2 (en) 2008-06-05 2014-03-18 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8863063B2 (en) 2009-05-06 2014-10-14 Tela Innovations, Inc. Finfet transistor circuit
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US10446536B2 (en) 2009-05-06 2019-10-15 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US20110055778A1 (en) * 2009-08-28 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic Application-Rule Checker
US8943453B2 (en) * 2009-08-28 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic application-rule checker
US9530795B2 (en) 2009-10-13 2016-12-27 Tela Innovations, Inc. Methods for cell boundary encroachment and semiconductor devices implementing the same
US9269702B2 (en) 2009-10-13 2016-02-23 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the same
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8942464B2 (en) * 2010-06-02 2015-01-27 Hitachi High-Technologies Corporation Pattern measuring apparatus, and pattern measuring method and program
US20130223723A1 (en) * 2010-06-02 2013-08-29 Hitachi High-Technologies Corporation Pattern measuring apparatus, and pattern measuring method and program
US8516406B1 (en) 2010-06-12 2013-08-20 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for smart pattern capturing and layout fixing
US8429582B1 (en) * 2010-06-12 2013-04-23 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for smart pattern capturing and layout fixing
US8543965B1 (en) 2010-06-12 2013-09-24 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for smart pattern capturing and layout fixing
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9704845B2 (en) 2010-11-12 2017-07-11 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9519732B1 (en) 2011-11-28 2016-12-13 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing pattern-based design enabled manufacturing of electronic circuit designs
US8789005B1 (en) * 2012-05-04 2014-07-22 Cadence Design Systems, Inc. Method and apparatus for efficiently processing an integrated circuit layout
US8713507B1 (en) 2012-05-04 2014-04-29 Cadence Design Systems, Inc. Method and apparatus for efficiently inserting fills in an integrated circuit layout
US8863045B1 (en) * 2013-06-08 2014-10-14 Shanghai Huali Microelectronics Corporation Optical proximity correction method based on hybrid simulation model

Also Published As

Publication number Publication date
US6523162B1 (en) 2003-02-18

Similar Documents

Publication Publication Date Title
US6523162B1 (en) General purpose shape-based layout processing scheme for IC layout modifications
US8250517B2 (en) Shape-based geometry engine to perform smoothing and other layout beautification operations
JP4494796B2 (en) Micro dimension control using all phase mask and trim mask
EP1264213B1 (en) Method and apparatus for mixed-mode optical proximity correction
US7966584B2 (en) Pattern-producing method for semiconductor device
US6425112B1 (en) Auto correction of error checked simulated printed images
US6631511B2 (en) Generating mask layout data for simulation of lithographic processes
JP3358181B2 (en) Hierarchical and domain balance methods and algorithms for serif mask design in microlithography
US6842881B2 (en) Rule based system and method for automatically generating photomask orders in a specified order format
US20060033049A1 (en) Design pattern data preparing method, mask pattern data preparing method, mask manufacturing method, semiconductor device manufacturing method, and program recording medium
US20080063948A1 (en) Method for achieving compliant sub-resolution assist features
JP2009139938A (en) Method for performing pattern decomposition for full chip design
US6472108B1 (en) Optical proximity correction method
US11763057B2 (en) Critical dimension uniformity
KR20090091713A (en) Simulation site placement for lithographic process models
US6800428B2 (en) Wavelength-independent exposure pattern generation method and exposure pattern generation system for lithography
US7930656B2 (en) System and method for making photomasks
US7263683B1 (en) Simplified optical proximity correction based on 1-dimension versus 2-dimension pattern shape classification
US6413685B1 (en) Method of reducing optical proximity effect
CN115903367A (en) Method for adding SRAF, mask and manufacturing method
JP2006337668A (en) Method for manufacturing semiconductor device, and production program of layout pattern
KR20090008846A (en) Optical proximity correction model fitting system and data processing method
US6470488B1 (en) Method for manufacturing a mask
JP2000047361A (en) Method for correcting reticle drawing
JPH09258428A (en) Mask for exposure and method for designing exposure condition

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: SYNOPSYS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SYNOPSYS MERGER HOLDINGS LLC;REEL/FRAME:015653/0738

Effective date: 20041223

AS Assignment

Owner name: SYNOPSYS MERGER HOLDINGS LLC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NUMERICAL TECHNOLOGIES, INC.;REEL/FRAME:023688/0923

Effective date: 20091216