US20020048944A1 - Multi-level circuit structure - Google Patents

Multi-level circuit structure Download PDF

Info

Publication number
US20020048944A1
US20020048944A1 US10/011,198 US1119801A US2002048944A1 US 20020048944 A1 US20020048944 A1 US 20020048944A1 US 1119801 A US1119801 A US 1119801A US 2002048944 A1 US2002048944 A1 US 2002048944A1
Authority
US
United States
Prior art keywords
plug
interconnect
insulation
layer
site
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/011,198
Inventor
Sanh Tang
Rajendra Narasimhan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/011,198 priority Critical patent/US20020048944A1/en
Publication of US20020048944A1 publication Critical patent/US20020048944A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to metallization processes used in integrated circuit manufacture. More specifically, the current invention relates to methods of forming a via for electrical communication between multiple levels of interconnects and the devices resulting therefrom.
  • interconnects In processing integrated circuits, it is important to be able to establish electrical communication between conductive elements at different locations within a circuit. These elements often take the form of conductive lines or paths known as “interconnects” due to their function of electrically interconnecting circuit nodes. Interconnects at different elevations will be separated by at least one insulation layer, such as an interlayer dielectric. Thus, providing electrical communication between such interconnects will often involve (1) forming the lower interconnect; (2) layering insulation over the lower interconnect; (3) defining an opening, often called a “via,” through the insulation and leading to the lower interconnect; (4) using a conductive material to fill the via, in which case the conductive material may be referred to as a “plug;” and (5) forming the higher interconnect over the plug.
  • Forming these vias entails etching through the insulation in areas exposed by an overlying patterned mask. Ideally, the etch stops once the lower level of interconnects is reached and before any of the conductive material of that level is etched. In reality, however, the top of the interconnects suffer some degree of etching at the exposure. Polymer may be formed as a result of etching this conductive material. The presence of polymer may interfere with further processing and, therefore, at least one cleaning step may be needed to remove the polymer. Even with cleaning, however, some polymer may remain. The presence of the polymer may then interfere with communication between the plug and the subsequently formed upper interconnect.
  • each discrete deposition of one conductor on another represents a potential disruption in continuity of conductive material which, in turn, affects the ability to allow electrical communication.
  • Separate deposition steps may allow contaminants to accumulate between those steps.
  • depositing one kind of conductive material onto a different kind may hamper electrical conductivity.
  • a trench is etched in a layer of insulation or dielectric, wherein the trench is deep and long enough to accommodate an interconnect as well as a plug or other interlayer electrical connection configured to contact a later-formed interconnect at a higher elevation.
  • the entire trench is filled with conductive material. In an area that is not designated as a plug site, the height of the conductive material is reduced. In an area that is designated as a plug site, the height of the conductive material is retained.
  • the recessed portion of conductive material is then covered with additional insulation.
  • Another interconnect may then be formed over the insulative materials so that it contacts the plug.
  • Other embodiments involve etching a plurality of trenches at one level of a semiconductor device, wherein the trenches are deep enough to accommodate interconnects as well as plug sites anywhere along each trench.
  • Another exemplary embodiment comprises etching at least one plug/interconnect structure from a continuous layer of metal and then depositing insulation around the structure or structures.
  • Still another exemplary embodiment comprises using at least one of the processes described above to create multiple levels of interconnects.
  • Such exemplary embodiments address the in-process apparatus or final product resulting from these and other processes.
  • Such exemplary embodiments include a conductive structure such as an interconnect that is integral to or is continuous with a plug structure configured to contact a higher interconnect.
  • FIG. 1A, 1B, 2 , and 3 depict an in-process device undergoing processes known in the art.
  • FIGS. 4 - 8 B illustrate a first exemplary embodiment of the current invention, with FIGS. 4, 5, 6 A, 7 A and 8 A offering cross-sectional views, while FIGS. 6B, 7B, and 8 B offer top-down views.
  • FIGS. 9 - 11 describe a second exemplary embodiment of the current invention.
  • FIG. 12 depicts a third exemplary embodiment of the current invention.
  • FIGS. 13 - 19 B picture a fourth exemplary embodiment of the current invention.
  • FIGS. 1 - 3 offer a brief review of the prior art discussed above in order to more clearly distinguish exemplary embodiments of the current invention.
  • FIG. 1A illustrates that interconnects 20 and 22 are provided over a surface 24 .
  • This surface 24 may define the top of an insulation layer, in which case there may be plugs leading up to the interconnects from lower-level interconnects. These plugs and interconnects are not shown in FIG. 1A for the sake of clarity.
  • the surface 24 could also represent a semiconductor substrate.
  • substrate or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). Further, the term “substrate” also refers to any supporting structure including, but not limited to, the semiconductive substrates described above. Moreover, it is understood that a semiconductor device may comprise conductive and insulative materials as well as a semiconductive material.
  • interconnects 20 , 22 themselves, they can be formed out of the same conductive material which, in turn, can be provided to both interconnect sites in a common deposition step. For example, one skilled in the art can deposit a continuous conductive layer; etch the desired interconnect features 20 , 22 from that layer; and then deposit insulation 26 over the interconnects 20 , 22 . Alternatively, as seen in FIG.
  • a damascene process may be used, wherein at least a portion of insulation 26 ′ is deposited; trenches are etched into the portion of insulation 26 ′, wherein the trenches define sites for interconnects 20 and 22 ; conductive material is deposited into the trenches; and any overflow of conductive material is etched or planarized away.
  • the “MI” designation on interconnects 20 and 22 indicates that both interconnects 20 , 22 , are metal and further resulted from the same metal deposition step. Specifically, interconnects 20 , 22 resulted from the first metal deposition step, hence the term “M 1 .” An exemplary height of these interconnects 20 , 22 is 5,000 Angstroms.
  • the portion of insulation 26 ′ used to define the interconnect sites is also about 5,000 Angstroms thick. Additional amounts of insulation 26 may then be added over the filled trenches to achieve the state of the inprocess device depicted in FIG. 1B. As an exemplary amount, the additional insulation 26 may add another 3,000 Angstroms to the thickness of insulation 26 , resulting in a maximum thickness of 8,000 Angstroms in areas extending to the surface 24 . As an exemplary material, borophosphosilicate glass (BPSG) may serve as insulation 26 and 26 ′.
  • BPSG borophosphosilicate glass
  • the next step in the prior art is to etch vias in areas where it is desired to allow contact between an existing interconnect and an interconnect to be formed later at a higher elevation.
  • This step is illustrated in FIG. 2.
  • This via etch is guided by a patterned mask 27 , provided over insulation 26 .
  • Patterned mask 27 covers the insulation 26 over interconnect 22 but has an opening allowing the etching of via 28 over interconnect 20 .
  • etching the via 28 through the insulation 26 may also result in etching at least a portion of interconnect 20 .
  • Etching the conductive material of interconnect 20 may then result in the formation of polymer 32 or other residue.
  • FIG. 4 illustrates a first stage in an exemplary embodiment of the current invention that reduces if not eliminates such problems.
  • FIG. 4 discloses providing at least one trench in sufficiently thick insulation 26 , wherein each trench's height encompasses an interconnect site (such as 124 or 126 ) as well as any overlying plug site (such as 128 or 130 ) regardless of whether a plug will ultimately appear at those plug sites.
  • the term “site” is understood to designate a region where a structure was, is, or is to be located.
  • the trench etch may be performed by any known technique. It may be desirable to use a process that will selectively etch the insulation 26 to the exclusion of the material used for surface 24 . It may also be preferable to use an anisotropic etch so that the trenches will have a vertical sidewall, which allows for denser packing of devices. Assuming the insulation 26 is BPSG, exemplary etch parameters include flowing equal amounts of CF 4 and CHF 3 into a magnetically enhanced reactive ion etch chamber. The chamber pressure should be about 200 mTorr, the chamber temperature can be at room temperature, the applied magnetic field should be about 50 Gauss, and the applied RF energy should be between 600 W and 1000 W. A patterned mask over the BPSG can determine where the trenches are etched, and the BPSG should be etched under these conditions for at least enough time to reach the surface 24 .
  • a conductive material is then deposited into each trench, and the resulting conductive structures 120 , 122 can be seen in FIG. 5.
  • the conductive structures 120 , 122 are made of metal.
  • the conductive structures 120 , 122 are made of the same metal and result from the same metal deposition step as indicated once again by the “M 1 ” designation.
  • tungsten could be deposited.
  • Exemplary parameters of a tungsten CVD process involve reacting tungsten hexafluoride (WF 6 ) with silane (SiH 4 ) at a pressure of about 4.5 Torr and a temperature of about 450° C. Regardless of the specific deposition process, it continues at least until the trenches are filled.
  • Any metal deposited onto the top of insulation 26 can be removed through etching or by way of planarization techniques, including abrasive planarization methods such as chemical-mechanical planarization (CMP).
  • CMP chemical-mechanical planarization
  • aluminum could be deposited by sputtering, chemical vapor deposition (CVD), or other methods known in the art.
  • Some exemplary embodiments of the current invention already comprise a planarization step to achieve the in-process structure depicted in FIG. 5. As a result, nothing is lost by sputtering aluminum at a high temperature (ranging from 510° C. to 520° C., for example), and a more reliable trench-fill process is gained.
  • the resulting conductive structures 120 , 122 serve as interconnects or other conductive lines or paths.
  • the conductive structures 120 , 122 also provide conductive material for plugs that may be desired anywhere along those paths. Further, because such plugs will be defined along with the interconnects, the result will be a continuous and homogeneous electrically conductive structure including one part extending from one surface 24 to a more elevated surface 132 , where other interconnects could be formed. Accordingly, the height of these conductive structures generally matches the thickness of the insulation 26 —here assumed to be 8,000 Angstroms.
  • FIGS. 6A and 6B demonstrate that a patterned mask 134 is provided over the elevated surface 132 , wherein areas of conductive structures 120 and 122 that should not extend to the elevated surface 132 are exposed.
  • portions of structures that are to extend to the elevated surface 132 are protected by the mask 134 .
  • the result is a mask 134 defining a pattern that is generally inverse to the patterned mask 27 used to define the prior art vias 28 in FIG. 2.
  • the prior art pattern requires a mask opening that corresponds to the width of the via 28 .
  • the mask opening must be correspondingly small, thereby pushing the limits of the lithography steps used to provide such an opening.
  • the mask 134 in FIGS. 6A and B need not meet such tolerances, as the in-process device in those figures allows for a selective etch to remove the conductive material of 122 to the exclusion of insulation 26 . (Compare the opening in mask 27 of FIG. 2 used to form via 28 with the opening in mask 134 of FIG. 6A used to clear plug site 130 .
  • aluminum serves at the conductive material 122 , it could be dry etched anisotropically using a plasma containing chlorine, as is known in the art.
  • Exemplary gasses for the plasma include Cl 2 and BCl 3 . Regardless of the specific parameters of this etch, it is performed long enough to recess the exposed portions of conductive structures 120 and 122 to a point below the elevated surface 132 . Preferably, the exposed portions of conductive structures 120 and 122 are recessed to a height of 5,000 Angstroms.
  • FIG. 6A is a conductive structure 122 that extends through its interconnect site 126 and up to, but not including, its plug site 130 . Another result is that conductive structure 120 has been recessed in all areas pictured except for the plug site 128 under the mask 134 .
  • FIGS. 7A and B illustrate that the mask 134 is subsequently removed and plug site 130 , along with other portions of conductive structures 120 , 122 that were unmasked during the etch, receive an insulation material 135 , such as interlayer dielectrics known in the art. Those dielectrics include oxides and, more specifically, may comprise additional BPSG. Accordingly, processes for depositing this insulation material 135 are also known in the art. Filling these areas may also result in depositing extra insulation onto the elevated surface 132 and onto portions of conductive structures reaching that surface, such as plug site 128 of conductive structure 120 . In that event, the extra insulation is removed through etching or planarization steps to expose the tops of conductive structures that remain fully extended.
  • an insulation material 135 such as interlayer dielectrics known in the art. Those dielectrics include oxides and, more specifically, may comprise additional BPSG. Accordingly, processes for depositing this insulation material 135 are also known in the art. Filling these areas may also result in depositing extra insulation onto the elevated surface
  • At least one additional interconnect 136 may then be formed on the elevated surface 132 , as seen in FIGS. 8A and 8B. As on the lower surface 24 , several interconnects 136 , 136 ′ may be formed on this upper level simultaneously as part of a second metal deposition step M 2 .
  • FIGS. 8A and 8B show that electrical communication may occur between interconnect 136 and conductive structure 120 through the unetched portion thereof. In contrast to the prior art result of FIG. 3, however, eliminating the separate via etch eliminates the polymer 32 that can result from that etch and interfere with electrical communication.
  • interconnects 136 and 136 ′ can be provided in much the same manner as the methods used for conductive structures 120 and 122 , wherein integral plugs can be extended from the conductive structures 136 and 136 ′ at selective sites up to the third level.
  • FIG. 9 An alternative method of forming the first, second, or any level of interconnects involves a non-damascene process, as shown beginning with FIG. 9. That figure illustrates a conductive layer, preferably metal M, deposited as a continuous layer over a surface 24 .
  • the thickness of metal M is generally equal to the height of an interconnect plus an overlying plug. Once again, this thickness is assumed to be 8,000 Angstroms.
  • a patterned mask is then provided over metal M, and an etch is carried out according to the pattern. The mask is then removed. The result is seen in FIG. 10, wherein metal structures 220 and 222 have been formed from the formerly-continuous metal M.
  • FIG. 11 demonstrates that insulation 224 is then provided around the metal structures.
  • any amount of insulation 224 appearing over the metal structures 220 and 222 may be removed by planarization or wet or dry etching.
  • the tops of the conductive structures 220 and 222 and the coplanar surface of the insulation 224 once again define an elevated surface 132 configured to receive an additional level of interconnects. Assuming that metal structure 222 is to be isolated at this cross-section, that isolation may be achieved using etch methods as described above, involving recessing selected portions of the metal structure 222 and filling that recess with oxide.
  • the current invention also includes within its scope processes using a combination of methods to produce combined interconnect/plug structures.
  • the structure in FIG. 12 could be achieved using a damascene-type process (see FIGS. 4 - 7 B and accompanying text) to provide the first interconnect level 326 , comprising conductive structures 320 and 322 and insulation portions 324 and 325 ; whereas the non-damascene process just described above could be used to provide the second interconnect level 426 , comprising conductive structures 420 and 422 and insulation portions 424 and 425 .
  • This is, in fact, a preferable embodiment, as higher levels of metallization are usually less restricted in terms of their critical dimension, and this non-damascene process lends itself to such kinds of metallization.
  • FIG. 13 is the first figure in an embodiment wherein electrical communication is provided between a semiconductor substrate and overlying interconnects.
  • FIG. 13 displays three field-effect transistors 500 , 502 , and 504 constructed on a substrate 506 , portions of which may be doped.
  • FIG. 14 shows that insulation, in this case BPSG 508 , is deposited over the substrate 506 and transistors 500 , 502 , 504 and is subsequently planarized.
  • FIG. 15A A patterned mask (not shown) is provided over the BPSG 508 , and etching the BPSG 508 as guided by that mask results in the openings 510 , 512 , and 514 depicted in FIG. 15A.
  • FIG. 15B also identifies axis A-A; all of the cross-sectional figures of this exemplary embodiment illustrate a cross section along this axis. It can be seen from FIG. 15B's perspective that openings 510 and 514 are elongated trenches that are not necessarily coextensive, whereas opening 512 is a more cylindrical opening.
  • FIG. 15B reveals another opening 516 that is not revealed in FIG. 15A.
  • FIG. 16 demonstrates optional steps for this and other embodiments.
  • the openings 510 , 512 , 514 , and 516 are lined with a titanium layer 518 .
  • this titanium layer 518 can be sintered at a high temperature, thereby forming titanium silicide at the interface between the titanium layer 518 and the substrate 506 , which results in good ohmic contact.
  • nitrogen can be incorporated into the titanium layer 518 so that it may act as an adhesion layer for the subsequently deposited conductive material. Methods of providing these liners are known in the art.
  • a conductive material 522 is deposited into the openings 510 , 512 , 514 , and 516 . Amounts of conductive material 522 that deposit beyond the openings are etched back or removed by planarization.
  • FIG. 17A next shows that areas in which it is desired to maintain electrical access to the substrate 506 from the top of BPSG 508 are protected by patterned photoresist 524 , while other portions of the conductive material 522 are left exposed for the subsequent etch step.
  • FIG. 17B offers another perspective that more clearly demonstrates the coverage of a portion of the patterned photoresist 524 .
  • the photoresist 524 completely covers openings 512 and 516 .
  • Photoresist 524 also extends to cover a portion of the conductive material 522 in the trench-shaped opening 514 . None of the conductive material 522 in opening 510 is protected by photoresist 524 (at least, none of the conductive material 522 viewable in FIGS. 17A and B is protected). Accordingly, the unprotected portions of conductive material 522 in openings 510 and 514 are etched. The photoresist 524 is subsequently removed.
  • the recessed areas of openings 510 are filled with oxide 526 . That oxide 526 can be etched back, as can the BPSG 508 in order to better expose the “plugs” included as an integral part of the conductive material 522 in openings 512 , 514 , and 516 .
  • FIGS. 19A and B illustrate that interconnects 528 and 530 can then be provided over the remaining “plugs.”
  • interconnect 530 contacts the conductive material 522 in opening 516 .
  • a second interconnect 528 contacts the conductive material 522 ,in opening 512 as well as a portion of the conductive material 522 in opening 514 .
  • Other portions of conductive material 522 in opening 514 are covered by oxide 526 , as is the conductive material 522 in opening 510 .
  • exemplary embodiments could be described in terms of a multi-level structure in that a first part of the structure is located in one level of a device and a second part seamless with the first part extends to a second level of the device; exemplary embodiments could also be described as a multi-axial structure, wherein a first part extends primarily along a first axis and an integral second part extends primarily along a second axis, wherein the second axis and first axis are perpendicular.
  • the present invention may be implemented in a variety of integrated circuit devices such as memory devices, including DRAM and SRAM; logic devices, including those having embedded memory; application specific integrated circuits; microprocessors; microcontrollers; digital signal processors; and the like incorporating a memory array. Accordingly, the invention is not limited except as stated in the claims.

Abstract

In a semiconductor device, a conductive structure comprising an interconnect and an overlying plug integrally extending therefrom is provided. The structure can be provided by a damascene process, wherein an opening is defined in insulation deep enough to accommodate the height of an interconnect and its overlying plug. The opening is filled with metal, and non-plug areas of the metal are then recessed down to a standard interconnect height within the trench. The full height of the metal is retained at the plug site. Oxide is then deposited over the recessed portions. Alternatively, a continuous metal layer is provided that is deep enough to accommodate the height of an interconnect and its overlying plug. The metal is then etched to form the interconnect/plug structure, and insulation is deposited thereover. Multiple structures may be provided at the same level using these processes, and multiple levels of these structures may be similarly provided.

Description

    TECHNICAL FIELD
  • The present invention relates generally to metallization processes used in integrated circuit manufacture. More specifically, the current invention relates to methods of forming a via for electrical communication between multiple levels of interconnects and the devices resulting therefrom. [0001]
  • BACKGROUND OF THE INVENTION
  • In processing integrated circuits, it is important to be able to establish electrical communication between conductive elements at different locations within a circuit. These elements often take the form of conductive lines or paths known as “interconnects” due to their function of electrically interconnecting circuit nodes. Interconnects at different elevations will be separated by at least one insulation layer, such as an interlayer dielectric. Thus, providing electrical communication between such interconnects will often involve (1) forming the lower interconnect; (2) layering insulation over the lower interconnect; (3) defining an opening, often called a “via,” through the insulation and leading to the lower interconnect; (4) using a conductive material to fill the via, in which case the conductive material may be referred to as a “plug;” and (5) forming the higher interconnect over the plug. [0002]
  • On a larger scale, it should be appreciated that there are often several interconnects at any particular level of a circuit device. Further, one should note that, while one lower level interconnect may need to connect to one higher interconnect at one point, a second lower level interconnect may need to contact a second higher interconnect at a different point. As a result, after the lower level of interconnects have been formed and covered with insulation, a particular pattern of vias are formed in the insulation. [0003]
  • Forming these vias entails etching through the insulation in areas exposed by an overlying patterned mask. Ideally, the etch stops once the lower level of interconnects is reached and before any of the conductive material of that level is etched. In reality, however, the top of the interconnects suffer some degree of etching at the exposure. Polymer may be formed as a result of etching this conductive material. The presence of polymer may interfere with further processing and, therefore, at least one cleaning step may be needed to remove the polymer. Even with cleaning, however, some polymer may remain. The presence of the polymer may then interfere with communication between the plug and the subsequently formed upper interconnect. [0004]
  • Another problem occurs as a result of the constant need in the art to make eversmaller semiconductor devices to allow a more efficient use of the silicon substrate from which such devices are fabricated. This need requires the widths of features, including vias, to become narrower with each generation of devices. However, a layer of insulation must maintain a minimum vertical distance between upper and lower conductive members; if the insulation between the two such members is too thin, an intolerably high level of capacitance will be generated between the members and interfere with their desired operation. Thus, as circuit dimensions continue to scale down, the width of the via decreases, but its depth, determined by the required thickness of the insulation, must remain generally the same. In other words, the aspect ratio of the via increases as the critical lateral dimensions of devices shrink. Unfortunately, high aspect ratios associated with a via make it difficult to ensure that the via has been completely filled with the conductive plug material. Gaps in the plug will degrade the plug's ability to allow electrical communication between conductive members. [0005]
  • Also of note is the fact that each discrete deposition of one conductor on another represents a potential disruption in continuity of conductive material which, in turn, affects the ability to allow electrical communication. Separate deposition steps may allow contaminants to accumulate between those steps. Further, depositing one kind of conductive material onto a different kind may hamper electrical conductivity. Even assuming that the same type of material is deposited in two separate steps and that contaminants are kept to an absolute minimum, there may still be spaces between the discretely deposited layers that would not exist if the two layers had been deposited as one. All of these factors can adversely affect electrical communication between interconnects at different levels. Specifically, these problems can occur at both the lower level interconnect/plug interface and the plug/higher level interconnect interface. [0006]
  • Thus, there is a need in the art to provide electrical communication between conductive elements while at least reducing the problems discussed above. It would also be desirable to be able to reduce the number of deposition steps needed to provide conductive elements and a connector between them. [0007]
  • SUMMARY OF THE INVENTION
  • Accordingly, at least some exemplary embodiments of the current invention provide methods of connecting conductive elements and further provide the structures resulting from those methods. In one exemplary embodiment, a trench is etched in a layer of insulation or dielectric, wherein the trench is deep and long enough to accommodate an interconnect as well as a plug or other interlayer electrical connection configured to contact a later-formed interconnect at a higher elevation. The entire trench is filled with conductive material. In an area that is not designated as a plug site, the height of the conductive material is reduced. In an area that is designated as a plug site, the height of the conductive material is retained. The recessed portion of conductive material is then covered with additional insulation. Another interconnect may then be formed over the insulative materials so that it contacts the plug. Other embodiments involve etching a plurality of trenches at one level of a semiconductor device, wherein the trenches are deep enough to accommodate interconnects as well as plug sites anywhere along each trench. [0008]
  • Another exemplary embodiment comprises etching at least one plug/interconnect structure from a continuous layer of metal and then depositing insulation around the structure or structures. [0009]
  • Still another exemplary embodiment comprises using at least one of the processes described above to create multiple levels of interconnects. [0010]
  • Yet other embodiments address the in-process apparatus or final product resulting from these and other processes. Such exemplary embodiments include a conductive structure such as an interconnect that is integral to or is continuous with a plug structure configured to contact a higher interconnect.[0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A, 1B, [0012] 2, and 3 depict an in-process device undergoing processes known in the art.
  • FIGS. [0013] 4-8B illustrate a first exemplary embodiment of the current invention, with FIGS. 4, 5, 6A, 7A and 8A offering cross-sectional views, while FIGS. 6B, 7B, and 8B offer top-down views.
  • FIGS. [0014] 9-11 describe a second exemplary embodiment of the current invention.
  • FIG. 12 depicts a third exemplary embodiment of the current invention. [0015]
  • FIGS. [0016] 13-19B picture a fourth exemplary embodiment of the current invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIGS. [0017] 1-3 offer a brief review of the prior art discussed above in order to more clearly distinguish exemplary embodiments of the current invention. FIG. 1A illustrates that interconnects 20 and 22 are provided over a surface 24. This surface 24 may define the top of an insulation layer, in which case there may be plugs leading up to the interconnects from lower-level interconnects. These plugs and interconnects are not shown in FIG. 1A for the sake of clarity. The surface 24 could also represent a semiconductor substrate. In the current application, the term “substrate” or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). Further, the term “substrate” also refers to any supporting structure including, but not limited to, the semiconductive substrates described above. Moreover, it is understood that a semiconductor device may comprise conductive and insulative materials as well as a semiconductive material.
  • As for the [0018] interconnects 20, 22 themselves, they can be formed out of the same conductive material which, in turn, can be provided to both interconnect sites in a common deposition step. For example, one skilled in the art can deposit a continuous conductive layer; etch the desired interconnect features 20, 22 from that layer; and then deposit insulation 26 over the interconnects 20, 22. Alternatively, as seen in FIG. 1B, a damascene process may be used, wherein at least a portion of insulation 26′ is deposited; trenches are etched into the portion of insulation 26′, wherein the trenches define sites for interconnects 20 and 22; conductive material is deposited into the trenches; and any overflow of conductive material is etched or planarized away. The “MI” designation on interconnects 20 and 22 indicates that both interconnects 20, 22, are metal and further resulted from the same metal deposition step. Specifically, interconnects 20, 22 resulted from the first metal deposition step, hence the term “M1.” An exemplary height of these interconnects 20, 22 is 5,000 Angstroms. Accordingly, the portion of insulation 26′ used to define the interconnect sites is also about 5,000 Angstroms thick. Additional amounts of insulation 26 may then be added over the filled trenches to achieve the state of the inprocess device depicted in FIG. 1B. As an exemplary amount, the additional insulation 26 may add another 3,000 Angstroms to the thickness of insulation 26, resulting in a maximum thickness of 8,000 Angstroms in areas extending to the surface 24. As an exemplary material, borophosphosilicate glass (BPSG) may serve as insulation 26 and 26′.
  • Regardless of the method used provide interconnects covered in insulation, the next step in the prior art is to etch vias in areas where it is desired to allow contact between an existing interconnect and an interconnect to be formed later at a higher elevation. This step is illustrated in FIG. 2. At the particular cross-section illustrated in that figure, it is desired to contact [0019] interconnect 20 but not interconnect 22. As a result, only one via 28 is etched at this point in the in-process device 30. This via etch is guided by a patterned mask 27, provided over insulation 26. Patterned mask 27 covers the insulation 26 over interconnect 22 but has an opening allowing the etching of via 28 over interconnect 20. Unfortunately, etching the via 28 through the insulation 26 may also result in etching at least a portion of interconnect 20. Etching the conductive material of interconnect 20 may then result in the formation of polymer 32 or other residue.
  • Even if steps are taken to clean the via [0020] 28 of polymer 32, some polymer 32 may be present in the via 28 when the plug 34 is subsequently deposited, as seen in FIG. 3. Thus, when interconnect 36 is formed over plug 34 as part of a second metal deposition step “M2”, the polymer 32 may interfere with electrical communication between interconnects 20 and 36. What should also be noted is the fact that the prior art method of achieving this structure involves three different depositions of conductive material: one for a lower level of interconnects (such as 20 and 22); one for the plug 34; and one for a higher level of interconnects (such as 36). As a result, there are two interfaces 38, 40, one representing the boundary between interconnect 20 and plug 34; and a second representing the boundary between plug 34 and interconnect 36. Problems discussed in the Background section may be associated with these two interfaces 38, 40.
  • FIG. 4 illustrates a first stage in an exemplary embodiment of the current invention that reduces if not eliminates such problems. Rather than separately defining interconnect sites and via sites, FIG. 4 discloses providing at least one trench in sufficiently [0021] thick insulation 26, wherein each trench's height encompasses an interconnect site (such as 124 or 126) as well as any overlying plug site (such as 128 or 130) regardless of whether a plug will ultimately appear at those plug sites. For purposes of explaining exemplary embodiments of this invention, the term “site” is understood to designate a region where a structure was, is, or is to be located.
  • The trench etch may be performed by any known technique. It may be desirable to use a process that will selectively etch the [0022] insulation 26 to the exclusion of the material used for surface 24. It may also be preferable to use an anisotropic etch so that the trenches will have a vertical sidewall, which allows for denser packing of devices. Assuming the insulation 26 is BPSG, exemplary etch parameters include flowing equal amounts of CF4 and CHF3 into a magnetically enhanced reactive ion etch chamber. The chamber pressure should be about 200 mTorr, the chamber temperature can be at room temperature, the applied magnetic field should be about 50 Gauss, and the applied RF energy should be between 600 W and 1000 W. A patterned mask over the BPSG can determine where the trenches are etched, and the BPSG should be etched under these conditions for at least enough time to reach the surface 24.
  • A conductive material is then deposited into each trench, and the resulting [0023] conductive structures 120, 122 can be seen in FIG. 5. In this exemplary embodiment, the conductive structures 120, 122 are made of metal. Moreover, the conductive structures 120, 122 are made of the same metal and result from the same metal deposition step as indicated once again by the “M1” designation. As an exemplary metal, tungsten could be deposited. Exemplary parameters of a tungsten CVD process involve reacting tungsten hexafluoride (WF6) with silane (SiH4) at a pressure of about 4.5 Torr and a temperature of about 450° C. Regardless of the specific deposition process, it continues at least until the trenches are filled. Any metal deposited onto the top of insulation 26 can be removed through etching or by way of planarization techniques, including abrasive planarization methods such as chemical-mechanical planarization (CMP). As an alternative to depositing tungsten, aluminum could be deposited by sputtering, chemical vapor deposition (CVD), or other methods known in the art.
  • Focusing briefly on sputtering aluminum in a damascene process such as the one described above, it should be noted that exemplary embodiments of the current invention that do so have an advantage over prior art methods that do so. To ensure that a trench is adequately filled with sputtered aluminum, it is desirable to sputter at a high temperature. This allows aluminum to better flow into the trench. Unfortunately, the high temperature also results in a rough surface. In the prior art, an additional (and therefore undesirable) planarization step is necessary to remedy this. To avoid the additional planarization step, prior art methods sputter aluminum into the trench at a relatively low temperature (480° C.) at the risk of poor trench-fill. Some exemplary embodiments of the current invention, however, already comprise a planarization step to achieve the in-process structure depicted in FIG. 5. As a result, nothing is lost by sputtering aluminum at a high temperature (ranging from 510° C. to 520° C., for example), and a more reliable trench-fill process is gained. [0024]
  • The resulting [0025] conductive structures 120, 122 serve as interconnects or other conductive lines or paths. In addition, the conductive structures 120, 122 also provide conductive material for plugs that may be desired anywhere along those paths. Further, because such plugs will be defined along with the interconnects, the result will be a continuous and homogeneous electrically conductive structure including one part extending from one surface 24 to a more elevated surface 132, where other interconnects could be formed. Accordingly, the height of these conductive structures generally matches the thickness of the insulation 26—here assumed to be 8,000 Angstroms.
  • In areas where plugs are not desired, excess conductive material may be removed from those areas while still retaining enough conductive material to serve as an interconnect. For example, it is assumed that no plug is desired for [0026] conductive structure 122 at the particular cross-section displayed in FIG. 5, even though a plug site 130 has been etched and filled with metal along with interconnect site 126. It is further assumed that, while a plug is desired for conductive structure 120 at FIG. 5's particular cross-section, plugs may not be desired at other cross-sections for that conductive structure 120.
  • Thus, in order to isolate portions of [0027] conductive structures 120, 122 from the elevated surface 132, FIGS. 6A and 6B demonstrate that a patterned mask 134 is provided over the elevated surface 132, wherein areas of conductive structures 120 and 122 that should not extend to the elevated surface 132 are exposed. On the other hand, portions of structures that are to extend to the elevated surface 132 (such as plug site 128 of structure 120) are protected by the mask 134. The result is a mask 134 defining a pattern that is generally inverse to the patterned mask 27 used to define the prior art vias 28 in FIG. 2. The prior art pattern, however, requires a mask opening that corresponds to the width of the via 28. Because the narrowest possible via is desired for purposes of shrinking semiconductor devices, the mask opening must be correspondingly small, thereby pushing the limits of the lithography steps used to provide such an opening. In contrast, the mask 134 in FIGS. 6A and B need not meet such tolerances, as the in-process device in those figures allows for a selective etch to remove the conductive material of 122 to the exclusion of insulation 26. (Compare the opening in mask 27 of FIG. 2 used to form via 28 with the opening in mask 134 of FIG. 6A used to clear plug site 130.
  • If aluminum serves at the [0028] conductive material 122, it could be dry etched anisotropically using a plasma containing chlorine, as is known in the art. Exemplary gasses for the plasma include Cl2 and BCl3. Regardless of the specific parameters of this etch, it is performed long enough to recess the exposed portions of conductive structures 120 and 122 to a point below the elevated surface 132. Preferably, the exposed portions of conductive structures 120 and 122 are recessed to a height of 5,000 Angstroms. One result depicted in FIG. 6A is a conductive structure 122 that extends through its interconnect site 126 and up to, but not including, its plug site 130. Another result is that conductive structure 120 has been recessed in all areas pictured except for the plug site 128 under the mask 134.
  • FIGS. 7A and B illustrate that the [0029] mask 134 is subsequently removed and plug site 130, along with other portions of conductive structures 120, 122 that were unmasked during the etch, receive an insulation material 135, such as interlayer dielectrics known in the art. Those dielectrics include oxides and, more specifically, may comprise additional BPSG. Accordingly, processes for depositing this insulation material 135 are also known in the art. Filling these areas may also result in depositing extra insulation onto the elevated surface 132 and onto portions of conductive structures reaching that surface, such as plug site 128 of conductive structure 120. In that event, the extra insulation is removed through etching or planarization steps to expose the tops of conductive structures that remain fully extended.
  • At least one additional interconnect [0030] 136 (as well as 136′) may then be formed on the elevated surface 132, as seen in FIGS. 8A and 8B. As on the lower surface 24, several interconnects 136, 136′ may be formed on this upper level simultaneously as part of a second metal deposition step M2. FIGS. 8A and 8B show that electrical communication may occur between interconnect 136 and conductive structure 120 through the unetched portion thereof. In contrast to the prior art result of FIG. 3, however, eliminating the separate via etch eliminates the polymer 32 that can result from that etch and interfere with electrical communication. Further, if a third level of interconnects is desired, interconnects 136 and 136′ can be provided in much the same manner as the methods used for conductive structures 120 and 122, wherein integral plugs can be extended from the conductive structures 136 and 136′ at selective sites up to the third level.
  • An alternative method of forming the first, second, or any level of interconnects involves a non-damascene process, as shown beginning with FIG. 9. That figure illustrates a conductive layer, preferably metal M, deposited as a continuous layer over a [0031] surface 24. The thickness of metal M is generally equal to the height of an interconnect plus an overlying plug. Once again, this thickness is assumed to be 8,000 Angstroms. A patterned mask is then provided over metal M, and an etch is carried out according to the pattern. The mask is then removed. The result is seen in FIG. 10, wherein metal structures 220 and 222 have been formed from the formerly-continuous metal M. FIG. 11 demonstrates that insulation 224 is then provided around the metal structures. This can be achieved with a spin-on-glass process; a process using flowfill, wherein a silane/hydrogen peroxide chemistry allows insulation to literally flow between structures before solidifying; or some other process for providing an oxide. Any amount of insulation 224 appearing over the metal structures 220 and 222 may be removed by planarization or wet or dry etching. The tops of the conductive structures 220 and 222 and the coplanar surface of the insulation 224 once again define an elevated surface 132 configured to receive an additional level of interconnects. Assuming that metal structure 222 is to be isolated at this cross-section, that isolation may be achieved using etch methods as described above, involving recessing selected portions of the metal structure 222 and filling that recess with oxide.
  • The current invention also includes within its scope processes using a combination of methods to produce combined interconnect/plug structures. For example, the structure in FIG. 12 could be achieved using a damascene-type process (see FIGS. [0032] 4-7B and accompanying text) to provide the first interconnect level 326, comprising conductive structures 320 and 322 and insulation portions 324 and 325; whereas the non-damascene process just described above could be used to provide the second interconnect level 426, comprising conductive structures 420 and 422 and insulation portions 424 and 425. This is, in fact, a preferable embodiment, as higher levels of metallization are usually less restricted in terms of their critical dimension, and this non-damascene process lends itself to such kinds of metallization.
  • In addition, the current invention is not limited to providing electrical communication between interconnects or other lines or paths. FIG. 13 is the first figure in an embodiment wherein electrical communication is provided between a semiconductor substrate and overlying interconnects. FIG. 13 displays three field-[0033] effect transistors 500, 502, and 504 constructed on a substrate 506, portions of which may be doped. FIG. 14 shows that insulation, in this case BPSG 508, is deposited over the substrate 506 and transistors 500, 502, 504 and is subsequently planarized. A patterned mask (not shown) is provided over the BPSG 508, and etching the BPSG 508 as guided by that mask results in the openings 510, 512, and 514 depicted in FIG. 15A. A top-down view of these openings 510, 512, and 514 is offered in FIG. 15B. FIG. 15B also identifies axis A-A; all of the cross-sectional figures of this exemplary embodiment illustrate a cross section along this axis. It can be seen from FIG. 15B's perspective that openings 510 and 514 are elongated trenches that are not necessarily coextensive, whereas opening 512 is a more cylindrical opening. In addition, FIG. 15B reveals another opening 516 that is not revealed in FIG. 15A.
  • FIG. 16 demonstrates optional steps for this and other embodiments. First, the [0034] openings 510, 512, 514, and 516 are lined with a titanium layer 518. At some point in the process, this titanium layer 518 can be sintered at a high temperature, thereby forming titanium silicide at the interface between the titanium layer 518 and the substrate 506, which results in good ohmic contact. In addition, nitrogen can be incorporated into the titanium layer 518 so that it may act as an adhesion layer for the subsequently deposited conductive material. Methods of providing these liners are known in the art. Subsequently, a conductive material 522, assumed to be tungsten or aluminum in this embodiment, is deposited into the openings 510, 512, 514, and 516. Amounts of conductive material 522 that deposit beyond the openings are etched back or removed by planarization.
  • FIG. 17A next shows that areas in which it is desired to maintain electrical access to the [0035] substrate 506 from the top of BPSG 508 are protected by patterned photoresist 524, while other portions of the conductive material 522 are left exposed for the subsequent etch step. FIG. 17B offers another perspective that more clearly demonstrates the coverage of a portion of the patterned photoresist 524. Specifically, the photoresist 524 completely covers openings 512 and 516. Photoresist 524 also extends to cover a portion of the conductive material 522 in the trench-shaped opening 514. None of the conductive material 522 in opening 510 is protected by photoresist 524 (at least, none of the conductive material 522 viewable in FIGS. 17A and B is protected). Accordingly, the unprotected portions of conductive material 522 in openings 510 and 514 are etched. The photoresist 524 is subsequently removed.
  • In FIG. 18, the recessed areas of openings [0036] 510 (and 514 not shown in this cross section) are filled with oxide 526. That oxide 526 can be etched back, as can the BPSG 508 in order to better expose the “plugs” included as an integral part of the conductive material 522 in openings 512, 514, and 516.
  • FIGS. 19A and B illustrate that [0037] interconnects 528 and 530 can then be provided over the remaining “plugs.” For example, interconnect 530 contacts the conductive material 522 in opening 516. A second interconnect 528 contacts the conductive material 522,in opening 512 as well as a portion of the conductive material 522 in opening 514. Other portions of conductive material 522 in opening 514 are covered by oxide 526, as is the conductive material 522 in opening 510.
  • One skilled in the art can appreciate that, although specific embodiments of this invention have been described above for purposes of illustration, various modifications may be made without departing from the spirit and scope of the invention. For example, it may not be necessary in certain embodiments to recess the interconnect/plug structure if there will be no higher interconnect structure extending thereover. Moreover, while the exemplary embodiments discussed above are explained in terms of multiple layers of interconnects or interconnects electrically communicating with portions of a substrate, the current invention applies to other conductive lines, paths, or conductive elements in general. Further, while at least some exemplary embodiments could be described in terms of a multi-level structure in that a first part of the structure is located in one level of a device and a second part seamless with the first part extends to a second level of the device; exemplary embodiments could also be described as a multi-axial structure, wherein a first part extends primarily along a first axis and an integral second part extends primarily along a second axis, wherein the second axis and first axis are perpendicular. In addition, the present invention may be implemented in a variety of integrated circuit devices such as memory devices, including DRAM and SRAM; logic devices, including those having embedded memory; application specific integrated circuits; microprocessors; microcontrollers; digital signal processors; and the like incorporating a memory array. Accordingly, the invention is not limited except as stated in the claims. [0038]

Claims (58)

What is claimed is:
1. A method of forming at least one conductive plug in a semiconductor device, comprising:
including an insulator material as part of said semiconductor device;
defining a trench in said insulator material, said trench having a length sufficient to accommodate an interconnect, and said trench further having a depth sufficient to accommodate said interconnect and said at least one plug over said interconnect anywhere along said length;
filling said trench with a conductive material;
recessing said conductive material except in at least one site respectively designated for said at least one plug; and
providing insulation within said trench and over a recessed portion of said conductive material.
2. A method of processing a circuit device including insulation material, comprising:
etching a plug site in said insulation material of said circuit device;
etching an interconnect site in said insulation material under said plug site;
initiating a deposition of a metal into said interconnect site; and
continuing said deposition of said metal into said plug site.
3. The method in claim 2, further comprising contacting said metal in said plug site with an interconnect over said plug site.
4. The method in claim 2, further comprising:
etching said metal from said plug site;
filling said plug site with an oxide; and
contacting said oxide in said plug site with an interconnect over said plug site.
5. A method of constructing a semiconductor device, comprising:
providing a first plurality of integrated interconnect/plug structures within a first insulation layer of said semiconductor device; and
replacing a plug portion of at least one interconnect/plug structure of said first plurality with additional insulation.
6. The method in claim 5, wherein said step of providing a first plurality of integrated interconnect/plug structures comprises providing said first plurality of integrated interconnect/plug structures using a damascene process.
7. The method in claim 6, further comprising providing a plurality of interconnects on said-first insulation layer.
8. The method in claim 7, wherein said step of providing a plurality of interconnects comprises providing a second plurality of integrated interconnect/plug structures.
9. The method in claim 8, wherein said step of providing a second plurality of integrated interconnect/plug structures further comprises:
providing a conductive layer on said first insulation layer, wherein said conductive layer has a height defining an interconnect height and a plug height; and
etching said second plurality of integrated interconnect/plug structures from said conductive layer.
10. The method in claim 9, wherein said step of providing a second plurality of integrated interconnect/plug structures comprises providing said second plurality of integrated interconnect/plug structures within a second insulation layer.
11. The method in claim 10, wherein said step of providing said second plurality of integrated interconnect/plug structures within a second insulation layer comprises flowing insulation between each of said second plurality of integrated interconnect/plug structures.
12. The method in claim 10, wherein said step of providing said second plurality of integrated interconnect/plug structures within a second insulation layer comprises spinning glass between each of said second plurality of integrated interconnect/plug structures.
13. A method of integrating an interconnect and an overlying plug in a semiconductor wafer structure, comprising:
etching an elongated trench from at least one layer of said semiconductor wafer structure;
filling said trench with metal;
masking a portion of said trench; and
etching some of said metal from an unmasked portion of said trench.
14. The method in claim 13, further comprising a step of depositing insulation within said trench.
15. A method of forming a conductive plug over an interconnect in a semiconductor device, comprising:
providing a layer of insulation as a part of said semiconductor device, said layer of insulation having a thickness sufficient to accommodate a plug site and an interconnect site;
etching said plug site from said layer of insulation; and
filling said plug site with conductive material.
16. The method in claim 15, wherein said step of etching said plug site from said layer of insulation comprises further etching said interconnect site from said layer of insulation.
17. The method in claim 16, wherein said step of filling said plug site with conductive material comprises filling said interconnect site with said conductive material.
18. A method of forming a conductive plug over an interconnect in a memory device, comprising:
providing a layer of insulation as a part of said memory device;
etching a via from said layer of insulation;
etching a portion of said layer of insulation from an area lateral to and extending from said via;
filling said via and said area with conductive material; and
etching said conductive material from said area.
19. The method in claim 18, further comprising:
masking said via before said step of etching said conductive material; and
filling said area with additional insulation.
20. A method of forming a conductive plug over an interconnect in an electronic device, comprising:
providing a layer of insulation over a portion of said electronic device;
etching a plug site from said layer of insulation;
continuing to etch an interconnect site; and
filling said plug site with metal.
21. The method in claim 20, wherein said step of filling said plug site comprises initially filling said interconnect site with said metal.
22. A method of processing a wafer having an insulation layer flanking conductive paths and configured to receive a patterned first mask on top of said insulation layer, wherein said first mask is configured to expose plug sites within said insulation layer, said method comprising:
allowing said conductive paths to extend to said top of said insulation layer of said wafer; and
patterning a second mask on said insulation layer, wherein said second mask is generally inverse to said first mask.
23. The method in claim 22, wherein said step of patterning a second mask comprises masking said plug sites.
24. The method in claim 23, wherein said step of patterning a second mask comprises exposing portions of said conductive paths external to said plug sites.
25. The method in claim 24, wherein said step of patterning a second mask comprises exposing said portions of said conductive paths and portions of said insulation layer external to said plug sites.
26. The method of claim 25, further comprising a step of etching said portions of said conductive paths.
27. The method of claim 26, wherein said etching step further comprises selectively etching said portions of said conductive paths to a general exclusion of said insulation layer.
28. A method of allowing electrical connection to a conductive path of a semiconductor apparatus, comprising
providing a dielectric as a part of processing said semiconductor apparatus;
etching an opening in said dielectric to a depth configured to accommodate said conductive path and a plug over said path;
filling said opening with conductive material;
designating a conductive path site comprising a lower portion of said conductive material within said opening;
designating a plug site comprising a first upper portion of said conductive material within said opening;
etching a second upper portion of said conductive material next to said first upper portion; and
avoiding etching said plug site while etching said second upper portion.
29. The method in claim 28, wherein said step of avoiding etching said plug site comprises masking said plug site.
30. The method in claim 29, further comprising a step of avoiding etching said lower portion of said conductive material while etching said second upper portion.
31. A system of interconnects for a semiconductor structure, comprising:
a first interconnect within said semiconductor structure; and
a second interconnect coupled to said first interconnect through a plug, wherein
said second interconnect and said plug define an integral structure.
32. The system in claim 31, wherein at least a portion of said second interconnect is under said first interconnect.
33. The system in claim 32, wherein said portion of said second interconnect is coupled to said first interconnect through said plug.
34. The system in claim 33, farther comprising a third interconnect laterally contacting a first insulator and at least partially isolated from said first interconnect by a second insulator.
35. The system in claim 34, wherein said second interconnect laterally contacts said first insulator.
36. The system in claim 35, wherein said second insulator laterally contacts said plug, and wherein said second insulator is over a part of said second interconnect.
37. A portion of a memory array, comprising at least one integrated structure comprising a first interconnect within said memory array and an electrical connector extending upward.
38. A portion of a semiconductor device comprising:
at least two portions of insulation defining:
a first opening within said semiconductor device, defining:
a first plug site, and
a first interconnect site in communication with said first plug site,
wherein said first plug site and said first interconnect site are filled with a continuous amount of conductive material; and
a second opening lateral to said first opening, said second opening defining:
a second plug site filled with at least one portion of said at least two portions of insulation, and
a second interconnect site in communication with said second plug site, wherein said second interconnect site is filled with said conductive material.
39. A level of interconnects for a semiconductor device, comprising:
a layer of insulation defining a plurality of trenches within said semiconductor device, wherein each trench of said plurality defines a lower portion and an upper portion, and wherein:
said lower portion is filled with metal,
said metal extends up into at least one area of said upper portion, and
said upper portion is filled with oxide except in said at least one area.
40. The level of interconnects in claim 39, wherein said each trench is lined with a barrier layer.
41. The level of interconnects in claim 39, wherein said each trench is lined with an adhesion layer.
42. A multi-layer interconnect structure for a memory apparatus, comprising:
a first layer of insulation forming a part of said memory apparatus;
a second layer of insulation over said first layer;
a first conductive path within said first layer of insulation, wherein said first conductive path comprises a first integral plug extending toward said second layer;
a discrete portion of insulation within said first layer, over said first conductive path, and under said second layer of insulation; and
a second conductive path within said second layer of insulation, wherein said second conductive path contacts said first plug.
43. The multi-layer interconnect structure of claim 42, wherein said second layer of insulation has a top, and wherein said second conductive path comprises a second integral plug extending toward said top.
44. A semiconductor device, comprising:
a first surface of said semiconductor device;
a first conductive element on said first surface;
a second surface below said first surface; and
a second conductive element on said second surface, wherein said second conductive element comprises a first part extending to said first conductive element and a second part integral to said first part and recessed from said first surface.
45. The semiconductor device in claim 44, further comprising:
a first insulator lateral to said second conductive element; and
a second insulator above said second part of said second conductive element;
wherein a top of said first insulator and a top of said second insulator define said second surface.
46. The semiconductor device in claim 45, wherein said first conductive element is a conductive line.
47. The semiconductor device in claim 45, wherein said second conductive element is an interconnect.
48. A portion of circuitry, comprising:
a surface;
an insulation layer over said surface;
a conductive element over said insulation layer; and
a conductive circuitry structure comprising:
a first part extending from said surface, through said insulation layer, to said conductive element, and
a second part integral to said first part, insulated from said conductive element, and extending laterally from said first part.
49. The portion of circuitry in claim 48, wherein said surface is a semiconductor substrate.
50. A method of forming a vertical and horizontal electrical connection structure for a semiconductor device, comprising:
forming an insulating layer onto a part of said semiconductor device;
defining a trench in said insulating layer;
filling said trench with a conductor; and
reducing a height of said conductor within said trench at all but at least one location.
51. A method of forming a lateral interconnect circuitry structure including at least one vertically-protruding plug, comprising:
forming a circuitry insulating layer having a laterally-extending recess therein;
filling said laterally-extending recess with a conductive material; and
removing a depth of said conductive material from said recess except at at least one location.
52. The method in claim 51, further comprising a step of retaining at least some of said conductive material in a portion of said recess that is external to said at least one location.
53. A method of treating an in-process circuit, comprising:
forming a trench from an insulation material;
sputtering an interconnect material into said trench, wherein said sputtering step occurs at a temperature ranging from 510° C. to 520° C.;
forming a plug over said interconnect material; and
refraining from planarizing said in-process circuit between said sputtering step and said step of forming a plug.
54. The method in claim 53, wherein said sputtering step comprises sputtering aluminum.
55. The method in claim 54, wherein said step of forming a trench comprises forming a trench having a depth sufficient to accommodate a height of an interconnect and a height of a plug anywhere along said trench; and wherein said step of forming a plug comprises:
continuing to sputter aluminum into said trench; and
recessing a surface of said aluminum except at at least one region representing at least one respective plug site.
56. A multi-level circuit structure, comprising:
a first part of said circuit structure positioned in a lower level of a semiconductor device and isolated from a higher level of said device; and
a second part of said circuit structure seamlessly coupled to said first part, wherein said second part extends to said higher level.
57. The structure in claim 56, wherein said first part and said second part are metal.
58. A multi-axial circuit structure, comprising:
a first part of said circuit structure extending primarily along a first axis;
a second part of said circuit structure integral to said first part, wherein said second part extends upward from said first part primarily along a second axis perpendicular to said first axis.
US10/011,198 1999-11-02 2001-11-09 Multi-level circuit structure Abandoned US20020048944A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/011,198 US20020048944A1 (en) 1999-11-02 2001-11-09 Multi-level circuit structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/432,516 US6352916B1 (en) 1999-11-02 1999-11-02 Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench
US10/011,198 US20020048944A1 (en) 1999-11-02 2001-11-09 Multi-level circuit structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/432,516 Division US6352916B1 (en) 1999-11-02 1999-11-02 Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench

Publications (1)

Publication Number Publication Date
US20020048944A1 true US20020048944A1 (en) 2002-04-25

Family

ID=23716491

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/432,516 Expired - Fee Related US6352916B1 (en) 1999-11-02 1999-11-02 Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench
US10/011,198 Abandoned US20020048944A1 (en) 1999-11-02 2001-11-09 Multi-level circuit structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/432,516 Expired - Fee Related US6352916B1 (en) 1999-11-02 1999-11-02 Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench

Country Status (1)

Country Link
US (2) US6352916B1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060148236A1 (en) * 2004-12-30 2006-07-06 Dongbuanam Semiconductor Inc. Semiconductor device with a metal line and method of forming the same
US20100157482A1 (en) * 2008-12-22 2010-06-24 Dai Nippon Printing Co., Ltd. Suspension substrate, suspension, suspension with head and hard disk drive
US8557701B2 (en) * 2011-09-05 2013-10-15 Hynix Semiconductor Inc. Method for fabricating a semiconductor device with formation of conductive lines
US20150194404A1 (en) * 2014-01-06 2015-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion Bump Pads for Bond-on-Trace Processing
US9305890B2 (en) 2014-01-15 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Package having substrate with embedded metal trace overlapped by landing pad
WO2016105421A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Materials and deposition schemes using photoactive materials for interface chemical control and patterning of predefined structures
US9418928B2 (en) 2014-01-06 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
US9508637B2 (en) 2014-01-06 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
WO2017171825A1 (en) * 2016-04-01 2017-10-05 Intel Corporation Semiconductor device having metal interconnects with different thicknesses

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100626378B1 (en) * 2004-06-25 2006-09-20 삼성전자주식회사 Interconnection Structure Of Semiconductor Device And Method Of Forming The Same
US7772108B2 (en) * 2004-06-25 2010-08-10 Samsung Electronics Co., Ltd. Interconnection structures for semiconductor devices and methods of forming the same
CN104576509B (en) * 2013-10-23 2017-09-22 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US9679946B2 (en) * 2014-08-25 2017-06-13 HGST, Inc. 3-D planes memory device
KR101676810B1 (en) 2014-10-30 2016-11-16 삼성전자주식회사 Semiconductor device and display driver IC including the same and display device including the same
CN107112277B (en) * 2014-12-24 2021-03-12 英特尔公司 Structure and method for self-aligning via holes with top and bottom of dense-pitch metal interconnection layer
JP6321579B2 (en) * 2015-06-01 2018-05-09 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing system, substrate processing apparatus, and program
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11177163B2 (en) * 2020-03-17 2021-11-16 International Business Machines Corporation Top via structure with enlarged contact area with upper metallization level
US20230109501A1 (en) * 2021-09-28 2023-04-06 Applied Materials, Inc. Tungsten gapfill using molybdenum co-flow

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5382545A (en) * 1993-11-29 1995-01-17 United Microelectronics Corporation Interconnection process with self-aligned via plug
US5539255A (en) * 1995-09-07 1996-07-23 International Business Machines Corporation Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal
US5846881A (en) 1995-12-28 1998-12-08 Micron Technology, Inc. Low cost DRAM metallization
US5767012A (en) * 1996-06-05 1998-06-16 Advanced Micro Devices, Inc. Method of forming a recessed interconnect structure
JP3607424B2 (en) * 1996-07-12 2005-01-05 株式会社東芝 Semiconductor device and manufacturing method thereof
US6083824A (en) * 1998-07-13 2000-07-04 Taiwan Semiconductor Manufacturing Company Borderless contact
US6087251A (en) * 1998-10-30 2000-07-11 United Microelectronics Corp. Method of fabricating a dual damascene structure

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060148236A1 (en) * 2004-12-30 2006-07-06 Dongbuanam Semiconductor Inc. Semiconductor device with a metal line and method of forming the same
US7371678B2 (en) * 2004-12-30 2008-05-13 Dongbu Electronics Co., Ltd. Semiconductor device with a metal line and method of forming the same
US20100157482A1 (en) * 2008-12-22 2010-06-24 Dai Nippon Printing Co., Ltd. Suspension substrate, suspension, suspension with head and hard disk drive
US8749923B2 (en) * 2008-12-22 2014-06-10 Dai Nippon Printing Co., Ltd. Suspension substrate, suspension, suspension with head and hard disk drive
US8557701B2 (en) * 2011-09-05 2013-10-15 Hynix Semiconductor Inc. Method for fabricating a semiconductor device with formation of conductive lines
US10522495B2 (en) 2014-01-06 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
US10014270B2 (en) 2014-01-06 2018-07-03 Taiwan Semiconductor Manufacturing Company Protrusion bump pads for bond-on-trace processing
US10804192B2 (en) 2014-01-06 2020-10-13 Taiwan Semiconductor Manufacturing Company Protrusion bump pads for bond-on-trace processing
US10700034B2 (en) 2014-01-06 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
US9418928B2 (en) 2014-01-06 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
TWI550768B (en) * 2014-01-06 2016-09-21 台灣積體電路製造股份有限公司 Semiconductor apparatus and method of forming the same
US9508637B2 (en) 2014-01-06 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
US20150194404A1 (en) * 2014-01-06 2015-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion Bump Pads for Bond-on-Trace Processing
US10163774B2 (en) 2014-01-06 2018-12-25 Taiwan Semiconductor Manufacturing Company Protrusion bump pads for bond-on-trace processing
US9275967B2 (en) * 2014-01-06 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Protrusion bump pads for bond-on-trace processing
US10020276B2 (en) 2014-01-06 2018-07-10 Taiwan Semiconductor Manufacturing Company Protrusion bump pads for bond-on-trace processing
US9559076B2 (en) 2014-01-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Package having substrate with embedded metal trace overlapped by landing pad
US9305890B2 (en) 2014-01-15 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Package having substrate with embedded metal trace overlapped by landing pad
US10269622B2 (en) 2014-12-24 2019-04-23 Intel Corporation Materials and deposition schemes using photoactive materials for interface chemical control and patterning of predefined structures
WO2016105421A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Materials and deposition schemes using photoactive materials for interface chemical control and patterning of predefined structures
WO2017171825A1 (en) * 2016-04-01 2017-10-05 Intel Corporation Semiconductor device having metal interconnects with different thicknesses
TWI711119B (en) * 2016-04-01 2020-11-21 美商英特爾股份有限公司 Semiconductor device having metal interconnects with different thicknesses
US11264329B2 (en) * 2016-04-01 2022-03-01 Intel Corporation Semiconductor device having metal interconnects with different thicknesses
US11830818B2 (en) 2016-04-01 2023-11-28 Intel Corporation Semiconductor device having metal interconnects with different thicknesses

Also Published As

Publication number Publication date
US6352916B1 (en) 2002-03-05

Similar Documents

Publication Publication Date Title
US6352916B1 (en) Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench
US8951910B2 (en) Methods for fabricating and forming semiconductor device structures including damascene structures
US6884710B2 (en) Semiconductor device having multi-layer copper line and method of forming same
US6346454B1 (en) Method of making dual damascene interconnect structure and metal electrode capacitor
US6649464B2 (en) Method for manufacturing semiconductor device having capacitor and via contact
US7381637B2 (en) Metal spacer in single and dual damascence processing
US7393777B2 (en) Sacrificial metal spacer damascene process
US6090700A (en) Metallization method for forming interconnects in an integrated circuit
US5863835A (en) Methods of forming electrical interconnects on semiconductor substrates
US6664581B2 (en) Damascene capacitor having a recessed plate
US7074716B2 (en) Method of manufacturing a semiconductor device
US6858937B2 (en) Backend metallization method and device obtained therefrom
US6812092B2 (en) Method for fabricating transistors having damascene formed gate contacts and self-aligned borderless bit line contacts
US6362527B1 (en) Borderless vias on bottom metal
US20040251552A1 (en) Semiconductor device and manufacturing method the same
KR19980079710A (en) Interconnection using metal spacers and manufacturing method thereof
US6200890B1 (en) Method of fabricating copper damascene
US6297144B1 (en) Damascene local interconnect process
EP0929100A2 (en) Process for controlling the height of a stud intersecting an interconnect
KR20030053167A (en) Method for forming metalline in semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION