US20020018393A1 - Semiconductor memory device and memory system for improving bus efficiency - Google Patents

Semiconductor memory device and memory system for improving bus efficiency Download PDF

Info

Publication number
US20020018393A1
US20020018393A1 US09/829,803 US82980301A US2002018393A1 US 20020018393 A1 US20020018393 A1 US 20020018393A1 US 82980301 A US82980301 A US 82980301A US 2002018393 A1 US2002018393 A1 US 2002018393A1
Authority
US
United States
Prior art keywords
receiving
chip selection
memory
command
selection signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/829,803
Other versions
US6438015B2 (en
Inventor
Kye-Hyun Kyung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KYUNG, KYE-HYUN
Publication of US20020018393A1 publication Critical patent/US20020018393A1/en
Application granted granted Critical
Publication of US6438015B2 publication Critical patent/US6438015B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4096Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1072Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers for memories with random access ports synchronised on clock signal pulse trains, e.g. synchronous memories, self timed memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/18Address timing or clocking circuits; Address control signal generation or management, e.g. for row address strobe [RAS] or column address strobe [CAS] signals

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Databases & Information Systems (AREA)
  • Dram (AREA)
  • Memory System Of A Hierarchy Structure (AREA)
  • Memory System (AREA)

Abstract

Disclosed is a memory device, comprising a memory controller, a clock input pin for receiving a clock signal, a first chip selection signal input pin for receiving a first chip selection signal for a row address strobe from the memory controller, a second chip selection signal input pin for receiving a second chip selection signal for a column address strobe from the memory controller, a row command input pin for receiving a row command from the memory controller, a column command input pin for receiving a column command from the memory controller, a plurality of row address input pins for receiving row addresses from the memory controller, and a plurality of column address input pins for receiving column addresses from the memory controller.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a semiconductor memory device and a memory system, and more particularly, to a semiconductor memory device and a memory system for improving bus efficiency. [0002]
  • 2. Description of the Related Art [0003]
  • Memory devices are typically developed to have a high density of integration and large capacity. Central processing units (CPU) are developed to achieve processing at high speed. The operating speed of large memory devices is usually slower than the speed of the CPUs. As a result, there arises a gap between the operating speeds of CPUs and memory devices. The slower operating speeds of memory devices restrict the overall performance of computer systems. In order to achieve speedy memory systems, high-speed memory devices must be developed and the bus efficiency thereof improved. [0004]
  • Synchronous DRAMs are among the fastest large-scale memory devices. [0005]
  • However, in synchronous DRAMs, in order to reduce the number of pins, a row command (RAS) and a column command (CAS) must share an address, and a host of commands must be applied simultaneously with a chip selection signal CS. Hence, synchronous DRAMs degrade the bus efficiency of memory systems and consequently restrict the performance of memory systems. [0006]
  • FIG. 1 shows the pin configuration of a conventional synchronous DRAM, and FIG. 2 shows a memory system adopting the conventional synchronous DRAM of FIG. 1. In FIG. 1, only pins associated with data input and output are shown, and pins are arranged in an arbitrary order. [0007]
  • Referring to FIG. 1, a conventional [0008] synchronous DRAM 100 includes an input pin 11 for receiving a clock signal CK, an input pin 12 for receiving a clock enable signal CKE, an input pin 13 for receiving a chip selection signal CS, an input pin 14 for receiving a row address strobe signal RASB, an input pin 15 for receiving a column address strobe signal CASB, and an input pin 16 for receiving a write enable signal WEB. Also, the conventional synchronous DRAM 100 includes a plurality of address input pins 17-1 through 17-n for receiving addresses Ai (where i is an integer from 1 to n), and a plurality of data input and output pins 18-1 through 18-n for receiving data DQi (where i is an integer from 1 to n).
  • The clock enable signal CKE, the chip selection signal CS, the row address strobe signal RASB, the column address strobe signal CASB, and the write enable signal WEB are referred to as command signals, and are generated by a [0009] memory controller 23 shown in FIG. 2. The memory controller 23 also generates the clock signal CK and the addresses Ai. The data DQi is output from the memory controller 23 during a write operation, and output from the synchronous DRAM 100 during a read operation. In the conventional synchronous DRAM 100, row addresses and column addresses are received via the same input pins, that is, via the address input pins 17-1 through 17-n.
  • Referring to FIG. 2, a conventional memory system includes memory modules [0010] 21-1 through 21-4 on which a plurality of synchronous DRAMs M each having a pin configuration as shown in FIG. 1 are mounted, and the memory controller 23 for controlling the synchronous DRAMs M. In FIG. 2, RASBO, CASB0 and CS0 are for the memory module 21-1, RASB1, CASB1 and CS1 are for the memory module 21-2, RASB2, CASB2 and CS2 are for the memory module 21-3, and RASB3, CASB3 and CS3 are for the memory module 21-4.
  • FIG. 3 is a timing diagram illustrating a protocol used in the conventional memory system shown in FIG. 2 during a read operation; in particular, when data are consecutively read from memory modules [0011] 21-1 and 21-2 among the memory modules shown in FIG. 2.
  • In FIG. 3, it is assumed that tRCD, that is, the time of activation of RASB (that is, the transition from a logic “high” to a logic “low”) to the time of activation of CASB, is two clock cycles ([0012] 2T), that a column address strobe latency CL is two clock cycles (2T), and that a burst length BL is two clock cycles (2T).
  • However, in the conventional memory system shown in FIG. 2, when data is read from the two memory modules [0013] 21-1 and 21-2, there exists a time period in which there is no data on a data bus, such as during a clock cycle T8 as shown in FIG. 3. During such time, no command is issued in the conventional memory system and a “bubble” clock cycle T8 has to be added. Thus, the bus efficiency is degraded and the performance of the memory system is restricted. If the bubble cycle T8 is removed by advancing one clock cycle, it can be seen from FIG. 3 that a column address CA1 for the memory module 21-1 and a row address RA2 for the memory module 21-2 must be concurrently applied. According to the conventional memory design and protocol, the column address lines are shared with the row address and application of concurrent CA1 and RA2 addresses will result in an erroneous read operation. A need therefore exists for a semiconductor memory device having improved bus efficiency.
  • SUMMARY OF THE INVENTION
  • The present invention provides a semiconductor memory device comprising a clock input pin for receiving a clock signal; a first chip selection signal input pin for receiving a first chip selection signal for a row address strobe from the memory controller; a second chip selection signal input pin for receiving a second chip selection signal for a column address strobe from the memory controller; a row command input pin for receiving a row command from the memory controller; a column command input pin for receiving a column command from the memory controller; a plurality of row address input pins for receiving row addresses from the memory controller; and a plurality of column address input pins for receiving column addresses from the memory controller, wherein the row command and the column command are received in response to two consecutive edges of the clock signal. [0014]
  • The first data of the first chip selection signal received in response to the first edge of the clock signal is recognized as a chip selection signal, and the second data of the first chip selection signal received in response to the second edge next to the first edge is recognized as a row command. The first data of the second chip selection signal received in response to the first edge of the clock signal is recognized as a chip selection signal, and the second data of the second chip selection signal received in response to the second edge of the clock signal, which is next to the first edge of the first signal, is recognized as a column command. [0015]
  • The present invention provides a memory system having memory modules on which a plurality of semiconductor memory devices are mounted, and a memory controller for controlling the semiconductor memory devices, wherein each of the semiconductor memory devices separately includes: a first chip selection signal input pin for receiving a first chip selection signal for a row address strobe; and a second chip selection signal input pin for receiving a second chip selection signal for a column address strobe, wherein the first and second chip selection signals are generated by the memory controller and transmitted to each of the memory modules via different bus lines. [0016]
  • Each of the semiconductor memory devices further comprises a row command input pin for receiving a row command; and a column command input pin for receiving a column command, wherein a bus line for transmitting the row command is separated from a bus line for transmitting the column command. [0017]
  • Each of the semiconductor memory devices further comprises a plurality of row address input pins for receiving row addresses; and separately a plurality of column address input pins for receiving column addresses, wherein bus lines for transmitting the row addresses are separated from bus lines for transmitting the column addresses.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The advantages and features of the present invention will become more apparent in view of the detail description of the invention when read with reference to the drawings in which: [0019]
  • FIG. 1 shows a common pin configuration of a conventional synchronous DRAM; [0020]
  • FIG. 2 shows a memory system having the conventional synchronous DRAM shown in FIG. 1; [0021]
  • FIG. 3 is a timing diagram illustrating a protocol for a read operation of the conventional memory system shown in FIG. 2; [0022]
  • FIG. 4 illustrates a pin configuration of a semiconductor memory device according to the present invention; [0023]
  • FIG. 5 illustrates a memory system according to the present invention having the semiconductor memory device of FIG. 4; and [0024]
  • FIGS. 6 and 7 are timing diagrams illustrating protocols used in the memory system according to the present invention shown in FIG. 5 during a read operation. [0025]
  • DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
  • Referring to FIG. 4, a [0026] semiconductor memory device 400 according to the present invention is a dynamic random access memory (DRAM) having an illustrative pin configuration which includes a first chip selection signal input pin 43 for receiving a first chip selection signal RCS for a row address strobe from a memory controller, and a separate second chip selection signal input pin 44 for receiving a second chip selection signal CCS for a column address strobe from a memory controller. The figure shows only pins associated with data input and the actual arrangement shown is not critical to the invention.
  • According to a preferred embodiment of the present invention, the [0027] semiconductor memory device 400 also separately includes a row command input pin 45 for receiving a row command RC from a memory controller, and a column command input pin 46 for receiving a column address strobe CC from a memory controller.
  • The [0028] semiconductor memory device 400 also separately includes a plurality of row address input pins 47-1 through 47-n for receiving row addresses RAi (where i is an integer from 1 to n) from a memory controller, and a plurality of column address input pins 48-1 through 48-n for receiving column addresses CAi (where i is an integer from 1 to n) from a memory controller.
  • The [0029] semiconductor memory device 400 further includes a clock input pin 41 for receiving a clock signal CK to synchronize signals received via the above-described pins, a clock enable pin 42 for receiving a clock enable signal CKE, and a plurality of data input output pins 49-1 through 49-n for receiving data DQi (where i is an integer from 1 to n) from a memory controller or outputting data DQi (where i is an integer from 1 to n) to a memory controller. Preferably, the clock signal CK and the clock enable signal CKE are generated by the memory controller. However, they can be generated by other logic circuits as necessary.
  • In particular, the [0030] semiconductor memory device 400 according to a preferred embodiment of the present invention receives the row command RC and the column command CC in two ticks of a clock signal. In other words, the row command RC and the column command CC are input to the semiconductor memory device in response to two consecutive edges of a clock signal CK. Also, the row addresses RAi (where i is an integer from 1 to n) and the column addresses CAi (where i is an integer from 1 to n) are each input to the semiconductor memory device in two ticks of a clock signal, that is, in response to the two consecutive edges of a clock signal CK. The first chip selection signal RCS for a row address strobe, and the second chip selection signal CCS for a column address strobe are each input to the semiconductor memory device in two ticks of a clock signal, that is, in response to the two consecutive edges of a clock signal CK.
  • In the [0031] semiconductor memory device 400 according to a preferred embodiment of the present invention, an active operation is performed by the first logic level of the row command RC, and a precharging operation is performed by the second logic level of the row command RC. Also, reading is performed by the first logic level of the column command CC, and writing is performed by the second logic level of the column command CC. Here, the first logic level is a logic “low”, and the second logic level is a logic “high”. However, the semiconductor memory device according to the present invention can be structured so that the first logic level is a logic “high”, and the second logic level is a logic “low”, as desired.
  • In the [0032] semiconductor memory device 400, the first data of the first chip selection signal RCS received in response to a first tick, that is, a first edge of the clock signal CK, is recognized as a chip selection signal, and the second data of the first chip selection signal RCS received in response to a second tick, that is, a second edge of the clock signal CK, is recognized as a row command. Also, the first data of the second chip selection signal CCS is received in response to another first tick, that is, another first edge of the clock signal CK is recognized as a chip selection signal and the second data of the second chip selection signal CCS received in response to another second tick (another second edge of the clock signal CK is recognized as a column command).
  • FIG. 5 is a view illustrating a memory system according to the present invention adopting the semiconductor memory device shown in FIG. 4. Referring to FIG. 5, the memory system according to an embodiment of the present invention includes memory modules [0033] 51-1 through 51-4 on each of which a plurality of semiconductor memory devices M are mounted, and a memory controller 53 for controlling the semiconductor memory devices M. Here, four memory modules are shown, and four semiconductor memory devices are mounted on each of the memory modules. Each of the semiconductor memory devices M is the semiconductor memory device of FIG. 4, and preferably has a pin configuration as shown in FIG. 4.
  • The [0034] memory controller 53 generates the first chip selection signal RCS for a row address strobe and the second chip selection signal CCS for a column address strobe. The first and second chip selection signals RCS and CCS are applied to each of the memory modules 51-1 through 51-4 via different bus lines. In FIG. 5, RCS0 and CCS0 are for application to the memory module 51-1, RCSI and CCS1 are for application to the memory module 51-2, RCS2 and CCS2 are for the memory module 51-3, and RCS3 and CCS3 are for the memory module 51-4.
  • The [0035] memory controller 53 generates a row command RC and a column command CC and transmits the two commands to each of the semiconductor memory devices M via separate bus lines. The memory controller 53 also generates a row address RAi (where i is an integer from 1 to n) and a column address CAi (where i is an integer from 1 to n) and transmits the two addresses to each of the semiconductor memory devices M via respective separate bus lines. The memory controller 53 also generates a clock signal CK and a clock enable signal CKE and transmits the two signals to each of the semiconductor memory devices M via divided bus lines. It is apparent to one skilled in the art that the CK and CKE signals can be generated by other logic circuits instead of generated for the memory controller.
  • During a write operation, data Dqi (where i is an integer from 1 to n) output by the [0036] memory controller 53, that is, write data, is written to one of the memory device selected from the semiconductor memory devices M via data bus lines. During a read operation, data DQi is read from one of the memory devices selected among the semiconductor memory devices M, that is, read data, is transmitted to the memory controller 53 via data bus lines.
  • FIGS. 6 and 7 show timing diagrams illustrating protocols for a read operation in the memory system according to the embodiment as shown in FIG. 5. Here, an example of data being read from the two memory modules [0037] 51-1 and 51-2 among the memory modules shown in FIG. 5 is depicted. In FIG. 6, a read timing diagram with respect to the memory module 51-1, and a read timing diagram with respect to the memory module 51-2 are separately shown. In FIG. 7, a read timing diagram with respect to the memory module 51-1, and a read timing diagram with respect to the memory module 51-2 are shown together.
  • In FIGS. 6 and 7, it is assumed that tRCD —the time from activation (that is, a point in time of transition from a logic “high” to a logic “low”) of the first chip selection signal RCS for a row address strobe to the point of time of activation of the second chip selection signal CCS for a column address strobe —is two clock cycles ([0038] 2T), that a column address strobe latency CL is two clock cycles (2T), and that a burst length BL is two clock cycles (2T).
  • A read operation of the memory system shown in FIG. 5 will now be described referring to the timing diagram of FIG. 6. First, in order to access a particular semiconductor memory device of the memory module [0039] 51-1, RCS0 is activated to a logic “low” level in cycle T2, while RC is activated to a logic “high” level. In cycles T2 and T3, two consecutive row addresses RAi are input to the memory module 51-1. Next, CCS0 is activated to the logic “low” level in cycle T4, and two consecutive column addresses CAi are input to the memory module 51-1 in cycles T4 and T5. Meanwhile, CC remains at the logic “low” state. Accordingly, at cycles T7 and T8, two consecutive data DQi are read from a semiconductor memory device on the memory module 51-1.
  • To access a particular semiconductor memory device of the memory module [0040] 51-2, RCS1 is activated to the logic “low” level in cycle T4, while RC is activated to the logic “high” level. In cycles T4 and T5, two consecutive row addresses RAi are input to the memory module 51-2. Next, CCS1 is activated to the logic “low” level in cycle T6, and two consecutive column addresses CAi are input to the memory module 51-2 in cycles T6 and T7. Meanwhile, CC remains at the logic “low” state. Accordingly, in cycles T9 and T10, two consecutive data DQi are read from a semiconductor memory device of the memory module 51-2.
  • Hence, in the memory system according to the present invention, when data is read from the two memory modules [0041] 51-1 and 51-2, four data DQi on a data bus are consecutively read as shown in the timing diagrams of FIGS. 6 and 7, and thus there are no data-empty spaces on the data bus, thereby resulting in improved bus efficiency.
  • It is to be understood that all physical quantities disclosed herein, unless explicitly indicated otherwise, are not to be construed as exactly equal to the quantity disclosed, but rather about equal to the quantity disclosed. Further, the mere absence of a qualifier such as “about” or the like, is not to be construed as an explicit indication that any such disclosed physical quantity is an exact quantity, irrespective of whether such qualifiers are used with respect to any other physical quantities disclosed herein. [0042]
  • While preferred embodiments have been shown and described, various modifications and substitutions may be made thereto without departing from the spirit and scope of the invention. Accordingly, it is to be understood that the present invention has been described by way of illustration only, and such illustrations and embodiments as have been disclosed herein are not to be construed as limiting to the claims. [0043]

Claims (16)

What is claimed is:
1. A memory device which is controlled by a memory controller, comprising:
a clock input pin for receiving a clock signal;
a first chip selection signal input pin for receiving a first chip selection signal for a row address strobe from the memory controller;
a second chip selection signal input pin for receiving a second chip selection signal for a column address strobe from the memory controller;
at least one row command input pin for receiving a row command from the memory controller;
at least one column command input pin for receiving a column command from the memory controller;
a plurality of row address input pins for receiving row addresses from the memory controller; and
a plurality of column address input pins for receiving column addresses from the memory controller;
wherein the row command and the column command are received in response to two consecutive edges of the clock signal, in synchronization with the first and second chip selection signals, respectively.
2. The memory device of claim 1, wherein the row address and the column address are received in response to two consecutive edges of the clock signal, in synchronization with the first and second chip selection signals, respectively.
3. The memory device of claim 1, wherein the first data of the first chip selection signal received in response to the first edge of the clock signal is recognized as a chip selection signal, and the second data of the first chip selection signal received in response to the second edge next to the first edge is recognized as a row address strobe command.
4. A memory device of claim 1, wherein the first data of the second chip selection signal received in response to the first edge of the clock signal is recognized as a chip selection signal, and the second data of the second chip selection signal received in response to the second edge of the clock signal, which is next to the first edge of the first signal, is recognized as a column address strobe command.
5. The memory device which is controlled by a memory controller, comprising:
a clock input pin for receiving a clock signal;
a first chip selection signal input pin for receiving a first chip selection signal from the memory controller;
a second chip selection signal input pin for receiving a second chip selection signal from the memory controller;
at least one first command input pin for receiving a first command from the memory controller; and
at lease one second command input pin for receiving a second command from the memory controller;
wherein the first and second commands are received in response to at least one edge of the clock signal, in synchronization with the first and second chip selection signals, respectively.
6. The memory device of claim 5, further comprising:
a plurality of first address input pins for receiving first addresses from the memory controller; and
a plurality of second address input pins for receiving second addresses from the memory controller;
wherein the first and second addresses are received in response to at least one edge of the clock signal, in synchronization with the first and second chip selection signals, respectively.
7. A memory device which is controlled by a memory controller' comprising:
a clock input pin for receiving a clock signal;
a plurality of chip selection signal input pins for receiving respective chip selection signals from the memory controller; and
a plurality of command input pins for receiving respective commands from the memory controller,
wherein the respective commands are received in response to at least one edge of the clock signal, in synchronization with their respective chip selection signals.
8. A memory system having memory modules on which a plurality of semiconductor memory devices are mounted, and a memory controller for controlling the semiconductor memory devices, wherein each of the semiconductor memory devices comprises:
a first chip selection signal input pin for receiving a first chip selection signal; and
a second chip selection signal input pin for receiving a second chip selection signal;
wherein the first and second chip selection signals are generated by the memory controller and transmitted to each of the memory modules via different bus lines.
9. The memory system of claim 8, wherein each of the semiconductor memory devices further comprises:
at least one first command input pin for receiving a first command, and
at least one second command input pin for receiving a second command;
wherein a bus line for transmitting the first command is separated from a bus line for transmitting the second command.
10. The memory system of claim 9, wherein each of the semiconductor memory devices further comprises:
a plurality of first address input pins for receiving first addresses; and
a plurality of second address input pins for receiving second addresses;
wherein bus lines for transmitting the first addresses are separated from bus lines for transmitting the second addresses.
11. A memory system having memory modules on which a plurality of semiconductor memory devices are mounted, and a memory controller for controlling the semiconductor memory devices, wherein each of the semiconductor memory devices comprises a plurality of chip selection signal input pins for receiving their respective chip selection signals.
wherein the chip selection signals are generated by the memory controller and transmitted to their respective memory modules via different bus lines.
12. The memory system of claim 11, wherein each of the semiconductor memory devices further comprises a plurality of command input pins for receiving respective commands,
wherein bus lines for transmitting the respective commands are separated from each other.
13. A method of memory data access for a plurality of memories in a semiconductor memory array, comprising the steps of:
receiving at a first pin of a first memory a first chip selection signal for a row address strobe;
receiving at a second pin of the first memory a second chip selection signal for a column address strobe;
receiving at least one row command pin of the first memory a row command;
receiving at least one column command pin of the first memory a column command;
receiving at row address pins of the first memory row addresses; and receiving at column address pins of the first memory column addresses.
14. The method of claim 13, wherein the row command and the column command are received from a memory controller via separate bus lines.
15. The method of claim 13, wherein the row addresses and the column addresses are separately received from separate address lines.
16. The method of claim 13, wherein the first chip selection signal and the second chip selection signal are received via separate bus lines.
US09/829,803 2000-08-05 2001-04-10 Semiconductor memory device and memory system for improving bus efficiency Expired - Fee Related US6438015B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR00-45455 2000-08-05
KR1020000045455A KR100699810B1 (en) 2000-08-05 2000-08-05 Semiconductor memory device and memory system for improving bus efficiency

Publications (2)

Publication Number Publication Date
US20020018393A1 true US20020018393A1 (en) 2002-02-14
US6438015B2 US6438015B2 (en) 2002-08-20

Family

ID=19681898

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/829,803 Expired - Fee Related US6438015B2 (en) 2000-08-05 2001-04-10 Semiconductor memory device and memory system for improving bus efficiency

Country Status (8)

Country Link
US (1) US6438015B2 (en)
JP (1) JP2002109882A (en)
KR (1) KR100699810B1 (en)
CN (1) CN1263039C (en)
DE (1) DE10125724B4 (en)
FR (1) FR2812752B1 (en)
IT (1) ITMI20010974A1 (en)
TW (1) TW544690B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040193788A1 (en) * 1997-10-10 2004-09-30 Rambus Inc. Apparatus and method for pipelined memory operations
US20110055617A1 (en) * 2009-08-26 2011-03-03 Qualcomm Incorporated Hybrid Single and Dual Channel DDR Interface Scheme by Interleaving Address/Control Signals During Dual Channel Operation
TWI578316B (en) * 2012-03-20 2017-04-11 英特爾股份有限公司 Method and system for operational control for memory device and memory device thereof
CN114115437A (en) * 2020-08-26 2022-03-01 长鑫存储技术有限公司 Memory device
US11886357B2 (en) 2020-08-26 2024-01-30 Changxin Memory Technologies, Inc. Memory for reducing cost and power consumption

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6553449B1 (en) * 2000-09-29 2003-04-22 Intel Corporation System and method for providing concurrent row and column commands
JP3866618B2 (en) 2002-06-13 2007-01-10 エルピーダメモリ株式会社 Memory system and control method thereof
KR100468761B1 (en) * 2002-08-23 2005-01-29 삼성전자주식회사 Semiconductor memory system having memory module connected to devided system bus
US7542322B2 (en) * 2004-09-30 2009-06-02 Intel Corporation Buffered continuous multi-drop clock ring
US7788438B2 (en) 2006-10-13 2010-08-31 Macronix International Co., Ltd. Multi-input/output serial peripheral interface and method for data transmission
KR101557273B1 (en) 2009-03-17 2015-10-05 삼성전자주식회사 Semiconductor package
CN101515472B (en) * 2008-02-19 2012-05-02 南亚科技股份有限公司 Method for accessing memory chip
KR101660430B1 (en) * 2009-08-14 2016-09-27 삼성전자 주식회사 Semiconductor package
US20090296514A1 (en) * 2008-05-29 2009-12-03 Chih-Hui Yeh Method for accessing a memory chip
KR101038994B1 (en) * 2009-10-29 2011-06-03 주식회사 하이닉스반도체 Semiconductor memory, memory system and control method the same
KR101132797B1 (en) * 2010-03-30 2012-04-02 주식회사 하이닉스반도체 Semiconductor module comprising module control circuit and module control method of semiconductor module
JP6066620B2 (en) * 2012-08-10 2017-01-25 学校法人慶應義塾 Bus system and electronic device
US10020036B2 (en) * 2012-12-12 2018-07-10 Nvidia Corporation Address bit remapping scheme to reduce access granularity of DRAM accesses
KR102108845B1 (en) 2013-10-07 2020-05-11 에스케이하이닉스 주식회사 Semiconductor memory device and memory system including the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890004820B1 (en) * 1984-03-28 1989-11-27 인터내셔널 비지네스 머신즈 코포레이션 Stacked double density memory module using industry standard memory chips
GB8927164D0 (en) * 1989-12-01 1990-01-31 Inmos Ltd Semiconductor chip packages
JP3344494B2 (en) * 1993-03-23 2002-11-11 インターナショナル・ビジネス・マシーンズ・コーポレーション Single clock memory with page mode
JP3183159B2 (en) * 1996-03-29 2001-07-03 日本電気株式会社 Synchronous DRAM
JPH1031886A (en) * 1996-07-17 1998-02-03 Nec Corp Random access memory
US5877780A (en) * 1996-08-08 1999-03-02 Lu; Hsuehchung Shelton Semiconductor chip having multiple independent memory sections, at least one of which includes simultaneously accessible arrays
EP0880142B1 (en) * 1997-05-20 2011-04-27 Bull S.A. Dynamic random access memory (DRAM) having variable configuration for data processing system and corresponding expansion support for the interleaved-block configuration thereof
US6067255A (en) * 1997-07-03 2000-05-23 Samsung Electronics Co., Ltd. Merged memory and logic (MML) integrated circuits including independent memory bank signals and methods
US6970968B1 (en) * 1998-02-13 2005-11-29 Intel Corporation Memory module controller for providing an interface between a system memory controller and a plurality of memory devices on a memory module
US6295231B1 (en) * 1998-07-17 2001-09-25 Kabushiki Kaisha Toshiba High-speed cycle clock-synchronous memory device

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040193788A1 (en) * 1997-10-10 2004-09-30 Rambus Inc. Apparatus and method for pipelined memory operations
US6963956B2 (en) * 1997-10-10 2005-11-08 Rambus Inc. Apparatus and method for pipelined memory operations
US20060059299A1 (en) * 1997-10-10 2006-03-16 Barth Richard M Apparatus and method for pipelined memory operations
US7330951B2 (en) 1997-10-10 2008-02-12 Rambus Inc. Apparatus and method for pipelined memory operations
US7353357B2 (en) 1997-10-10 2008-04-01 Rambus Inc. Apparatus and method for pipelined memory operations
US20110055617A1 (en) * 2009-08-26 2011-03-03 Qualcomm Incorporated Hybrid Single and Dual Channel DDR Interface Scheme by Interleaving Address/Control Signals During Dual Channel Operation
WO2011025895A1 (en) 2009-08-26 2011-03-03 Qualcomm Incorporated Hybrid single and dual-channel ddr interface scheme by interleaving address/control signals during dual-channel operation
US8098539B2 (en) 2009-08-26 2012-01-17 Qualcomm Incorporated Hybrid single and dual channel DDR interface scheme by interleaving address/control signals during dual channel operation
CN102483725A (en) * 2009-08-26 2012-05-30 高通股份有限公司 Hybrid single and dual-channel ddr interface scheme by interleaving address/control signals during dual-channel operation
TWI421697B (en) * 2009-08-26 2014-01-01 Qualcomm Inc Hybrid single and dual-channel ddr interface scheme by interleaving address/control signals during dual-channel operation
TWI578316B (en) * 2012-03-20 2017-04-11 英特爾股份有限公司 Method and system for operational control for memory device and memory device thereof
US9652170B2 (en) 2012-03-20 2017-05-16 Intel Corporation Memory device responding to device commands for operational controls
CN114115437A (en) * 2020-08-26 2022-03-01 长鑫存储技术有限公司 Memory device
US11886357B2 (en) 2020-08-26 2024-01-30 Changxin Memory Technologies, Inc. Memory for reducing cost and power consumption
US11914417B2 (en) 2020-08-26 2024-02-27 Changxin Memory Technologies, Inc. Memory

Also Published As

Publication number Publication date
TW544690B (en) 2003-08-01
KR100699810B1 (en) 2007-03-27
KR20020012035A (en) 2002-02-15
JP2002109882A (en) 2002-04-12
DE10125724A1 (en) 2002-02-14
FR2812752B1 (en) 2005-01-07
ITMI20010974A0 (en) 2001-05-11
US6438015B2 (en) 2002-08-20
CN1337707A (en) 2002-02-27
DE10125724B4 (en) 2011-06-09
FR2812752A1 (en) 2002-02-08
ITMI20010974A1 (en) 2002-11-11
CN1263039C (en) 2006-07-05

Similar Documents

Publication Publication Date Title
US6438015B2 (en) Semiconductor memory device and memory system for improving bus efficiency
US6034916A (en) Data masking circuits and methods for integrated circuit memory devices, including data strobe signal synchronization
US7421558B2 (en) System controlling interface timing in memory module and related method
US5926838A (en) Interface for high speed memory
JP4082519B2 (en) Semiconductor integrated circuit device, data processing system, and memory system
US8762675B2 (en) Memory system for synchronous data transmission
US6459651B1 (en) Semiconductor memory device having data masking pin and memory system including the same
US6064600A (en) Methods and apparatus for reading memory device register data
US20060036828A1 (en) Memory device sequencer and method supporting multiple memory device clock speeds
JP2010135065A (en) Dynamic random access memory system
US6466507B2 (en) DRAM with intermediate storage cache and separate read and write I/O
US9218871B2 (en) Semiconductor memory device, information processing system including the same, and controller
US6477631B1 (en) Memory device with pipelined address path
US11048651B2 (en) Method of memory time division control and related device
US6243768B1 (en) Method and apparatus for controlling data transfer between a synchronous DRAM-type memory and a system bus
US5805603A (en) Synchronous semiconductor memory device realizing high speed and accurate operation
US20090319708A1 (en) Electronic system and related method with time-sharing bus
KR20130102816A (en) An data processing device and method for protecting data loss thereof
EP0831402A1 (en) Dynamically configuring timing to match memory bus loading conditions
JPH10134576A (en) Semiconductor memory device
US20210271616A1 (en) Control method of multiple memory devices and associated memory system
US6976120B2 (en) Apparatus and method to track flag transitions for DRAM data transfer
US6646908B2 (en) Integrated memory chip with a dynamic memory
WO2023018653A1 (en) Low latency dynamic random access memory (dram) architecture with dedicated read-write data paths
CN115966224A (en) Multi-die package

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KYUNG, KYE-HYUN;REEL/FRAME:011716/0630

Effective date: 20010324

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140820