DE60036291T2 - Gasverteilungsvorrichtung für die halbleiterbearbeitung - Google Patents

Gasverteilungsvorrichtung für die halbleiterbearbeitung Download PDF

Info

Publication number
DE60036291T2
DE60036291T2 DE60036291T DE60036291T DE60036291T2 DE 60036291 T2 DE60036291 T2 DE 60036291T2 DE 60036291 T DE60036291 T DE 60036291T DE 60036291 T DE60036291 T DE 60036291T DE 60036291 T2 DE60036291 T2 DE 60036291T2
Authority
DE
Germany
Prior art keywords
gas
distributor head
baffle plate
gas supply
distribution system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60036291T
Other languages
English (en)
Other versions
DE60036291D1 (de
Inventor
Rajinder San Jose DHINDSA
Fangli Cupertino HAO
Eric Pleasanton LENZ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE60036291D1 publication Critical patent/DE60036291D1/de
Application granted granted Critical
Publication of DE60036291T2 publication Critical patent/DE60036291T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft Reaktionskammern, die zur Bearbeitung von Halbleitersubstraten dienen, wie beispielsweise von Wafers für integrierte Schaltkreise, insbesondere Verbesserungen in dem in diesen Reaktionskammern verwendeten Gasverteilungssystem.
  • Hintergrund der Erfindung
  • Die Halbleiterbearbeitung umfasst Ablagerungsprozesse wie die chemische Aufdampfung (CVD) von Metall, dielektrischen und Halbleitermaterialien, das Ätzen solcher Schichten, das Ashing (Entfernen) von Photoresistmaskenschichten usw.. Im Falle des Ätzens wird in der Regel das Plasmaätzen zum Ätzen von Metall, dielektrischen und Halbleitermaterialien eingesetzt. Ein Parallelplattenplasmareaktor umfasst in der Regel eine Gaskammer mit einer oder mehreren Leitwänden, einer Verteilerelektrode, durch die das Ätzgas geführt wird, einen Sockel, auf dem der Silikon-Wafer auf einer unteren Elektrode aufliegt, eine RF-Stromquelle und eine Gaseinspritzquelle für die Zuführung von Gas in die Gaskammer. Das Gas wird von der Elektrode ionisiert, um Plasma zu bilden, und das Plasma ätzt den unter der Verteilerelektrode gelagerten Wafer.
  • Verteilerelektroden für die Plasmabearbeitung von Halbleitersubstraten sind in den allgemein erteilten US-Patenten Nr. 5,074,456 ; 5,472,565 ; 5,534,751 und 5,569,356 offenbart. Andere Verteilerelektroden-Gasverteilungssysteme sind in den US-Patenten Nr. 4,209,357 ; 4,263,088 ; 4,270,999 ; 4,297,162 ; 4,534,816 ; 4,579,618 ; 4,590,042 ; 4,593,540 ; 4,612,077 ; 4,780,169 ; 4,854,263 ; 5,006,220 ; 5,134,965 ; 5,494,713 ; 5,529,657 ; 5,593,540 ; 5,595,627 ; 5,614,055 ; 5,716,485 ; 5,746,875 and 5,888,907 offenbart.
  • Ein häufiges Erfordernis in der Herstellung integrierter Schaltkreise ist das Ätzen von Öffnungen, wie etwa Kontakten und Kontaktlöchern, in dielektrischen Materialien. Die dielektrischen Materialien umfassen dotiertes Siliciumoxid, wie fluoriertes Siliciumoxid (FSG), undotiertes Siliciumoxid, wie Siliciumdioxid, Silicatgläser wie Borphosphat-Silicatglas (BPSG) und Phosphat-Silicatglas (PSG), dotiertes oder undotiertes, thermisch gezogenes Siliciumoxid, dotiertes oder undotiertes TEOS-abgelagertes Siliciumoxid, usw.. Die dielektrischen Dotierstoffe umfassen Bor, Phosphor und/oder Arsen. Das Dielektrikum kann eine leitende oder halbleitende Schicht überlagern, wie beispielsweise polykristallines Silicium, Metalle, wie beispielsweise Aluminium, Kupfer, Titan, Wolfram, Molybdän oder Legierungen derselben, Nitride wie Titannitrid, Metall-Silicide, wie beispielsweise Titan-Silicid, Kobalt-Silicid, Wolfram-Silicid, Molybdän-Silicid usw.. Eine Plasmaätztechnik, bei der ein Parallelplatten-Plasmareaktor zum Ätzen von Öffnungen in Siliciumoxid verwendet wird, ist in U.S.-Patent Nr. 5,013,398 offenbart.
  • In U.S.-Patent Nr. 5,736,457 sind Einzel- und Doppel-"Damaszener"-Metallisierungsverfahren offenbart. Im "Einzeldamaszener"-Verfahren werden Kontaktlöcher und Leiter in getrennten Schritten ausgebildet, wobei ein Metallisierungsraster für Leiter oder Kontaktlöcher in eine dielektrische Schicht geätzt wird, eine Metallschicht in die geätzten Rillen oder Kontaktlöcher in der dielektrischen Schicht gefüllt wird und das überschüssige Metall durch chemisch-mechanische Planarisierung (CMP) oder in einem Hinterätzverfahren entfernt wird. Im "Doppel-Damaszener"-Verfahren werden die Metallisierungsraster für die Kontaktlöcher und Leiter in eine dielektrische Schicht geätzt, und die geätzten Rillen und Kontaktlochöffnungen in einem einzigen Metallbefüllungs- und Überschussmetallentfernungsverfahren mit Metall gefüllt.
  • Es ist wünschenswert, das Plasma gleichmäßig über die Oberfläche des Wafers zu verteilen, um über die gesamte Oberfläche des Wafers gleichmäßige Ätzraten zu erhalten. Die aktuellen Gasverteilerkammerausführungen umfassen mehrere Leitwände, die für eine gleichmäßige Verteilung von Ätzgas optimiert sind, um den gewünschten Ätzeffekt auf dem Wafer zu erzielen. Allerdings sind die aktuellen Leitwand- und Verteilerelektroden-Ausführungen am besten für eine empirische Optimierung für eine gleichmäßige Gasverteilung für einen bestimmten Spalt zwischen dem Wafer und der Verteilerelektrode geeignet und schwierig an unterschiedliche Spalten zwischen Wafer und Verteiler anzupassen. Überdies umfassen herkömmliche Gasverteilungsausführungen Leitwände mit Hunderten von Öffnungen oder komplexen, schwierig herzustellenden Geometrien, um eine regelmäßige Verteilung von Ätzgas auf der Rückseite der Verteilerelektrode zu gewährleisten. Beim Ätzen großer 300-mm-Wafer (12 Inch) ist die Regelung des Prozessgases, um eine gleichmäßige Druckverteilung über den Verteilerkopf zu erzielen, noch schwieriger. Die Zahl der Öffnungen und Leitwände muss deutlich erhöht werden, um eine gleichmäßige Verteilung des Ätzgases zu erreichen. Mit höherer Zahl von Öffnungen in den Leitwänden und einer höheren Zahl von Leitwänden nehmen auch die Komplexität und die Produktionskosten einer derartigen Gasverteilungsvorrichtung markant zu.
  • Der Leser wird auf JP-A-11054440 verwiesen.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung schafft ein Gasverteilungssystem in einer leicht zu produzierenden Ausführung, das eine nur geringe Zahl an Leitwandplatten benötigt und dennoch die erforderliche Gasverteilung durch einen Verteilerkopf bewirkt. Die Gasströmung kann für Substrate und/oder Spalten jeder Größe zwischen dem Verteilerkopf und dem zu bearbeitenden Halbleitersubstrat optimiert werden. Außerdem vermag die vorliegende Erfindung die Wärmeübertragung von einer Verteilerelektrode auf eine gekühlte Tragplatte zu verbessern, wodurch eine bessere Temperaturgleichmäßigkeit über der Elektrodenoberfläche erzielt wird. Zudem schafft die vorliegende Erfindung einen im allgemeinen kontinuierlichen elektrischen Kontakt unter den Bauteilen eines Verteilerelektroden-Gasverteilungssystems. In Anspruch 1 ist ein Gasverteilungssystem gemäß der vorliegenden Erfindung definiert, und ein Bearbeitungsverfahren eines Substrats in einer Reaktionskammer, die ein derartiges Gasverteilungssystem umfasst, ist in Anspruch 15 definiert.
  • Eine Gasverteilungsvorrichtung gemäß der vorliegenden Erfindung umfasst eine Tragplatte und einen Verteilerkopf, die so befestigt sind, dass sie eine Gasverteilungskammer begrenzen. Die Kammer umfasst eine Leitwandanordnung mit einer oder mehreren Leitwandplatten, die dazu dienen, eine gewünschte Druckverteilung über den Verteilerkopf zu erzielen. Mehrere Gaszuführungen liefern Prozessgas in die Gasverteilungskammer, wo das Prozessgas durch die Leitwandanordnung und durch den Verteilerkopf abwärts strömt.
  • Ein erstes Ausführungsbeispiel der Erfindung sieht eine Vertiefung in der Rückseite des Verteilerkopfes vor und umfasst eine Leitwandanordnung mit einer oberen Leitwandplatte. Ein Dichtelement, wie beispielsweise ein O-Ring, ist an einer mittleren Stelle zwischen der oberen Leitwandplatte und der Tragplatte angeordnet. Das Dichtelement teilt den Raum dazwischen in einen inneren und einen äußeren Bereich. Das Gas von einer ersten Gaszuführung leitet Gas in den inneren Bereich und das Gas von einer zweiten Gaszuführung leitet Gas in den äußeren Bereich. Die Anordnung lässt unterschiedliche Gaschemien und/oder Gasdrücke auf die inneren und äußeren Bereiche zu. Daraus folgt, dass sich eine bessere Kontrolle der Gaschemie und/oder des Gasdrucks über das Substrat erzielen lässt, indem die Prozessparameter vorgewählt oder im Laufe der Substratbearbeitung angepasst werden.
  • Wenn gewünscht, können die mittleren und/oder unteren Leitwandplatten so angeordnet werden, dass sie drei Luftkammern ausbilden. Die erste Luftkammer befindet sich zwischen der oberen und der mittleren Leitwandplatte. Die zweite Luftkammer befindet sich zwischen der mittleren und der unteren Leitwandplatte, und die dritte Luftkammer befindet sich zwischen der unteren Leitwandplatte und dem Verteilerkopf. Die Luftkammern können dazu verwendet werden, eine gleichmäßigere Prozessgas-Druckverteilung über den Verteilerkopf zu schaffen.
  • In einem zweiten Ausführungsbeispiel der vorliegenden Erfindung umfasst das Trägerelement eine Vertiefung in seiner Unterseite, welche die Gasverteilungskammer begrenzt. Das Trägerelement weist einen ersten Gasauslass auf, der ein erstes Prozessgas in einen mittleren Bereich der Vertiefungskammer, und einen zweiten Gasauslass, der ein zweites Prozessgas in einen Randbereich der Vertiefung liefert. Innerhalb der Leitwandkammer sind eine obere Leitwandplatte und eine untere Leitwandplatte befestigt. Die obere Leitwandplatte ist so angeordnet, dass sie Gas ausschließlich von der ersten Gaszuführung empfängt, und die untere Leitwandplatte ist so angeordnet, dass sie Gas ausschließlich von der zweiten Gaszuführung empfängt. Eine erste Gaskanalgruppe in der oberen Leitwandplatte ist in Fluidverbindung mit Gaskanälen in der zweiten Leitwandplatte, um eine Gruppe strömungsverbundener Kanäle zu schaffen, durch die das erste Prozessgas direkt von der oberen Leitwandplatte zur Unterseite der unteren Leitwandplatte strömt. Das zweite Prozessgas strömt durch eine zweite Gaskanalgruppe in der unteren Leitwandplatte zu ihrer Unterseite angrenzend an die Rückseite des Verteilerkopfes. In dieser Anordnung mischt sich das erste Prozessgas im wesentlichen nicht mit dem zweiten Prozessgas, bevor es zur Unterseite der unteren Leitwand strömt. Der Raum zwischen der unteren Leitwand und dem Verteilerkopf kann beabstandete ringförmige Kanäle aufweisen, die die selektive Auswahl der durch den Verteilerkopf strömenden Gase ermöglichen, z.B. um eine gleichmäßige oder ungleichmäßige Gaschemie und/oder Gasdruck über den Verteilerkopf zu erreichen. Das Gas von der ersten Gaszuführung und der zweiten Gaszuführung strömt durch eine dritte Öffnungsgruppe im Verteilerkopf in einen das Substrat überspannenden Bereich.
  • Kurze Beschreibung der Zeichnungen
  • Die Ziele und Vorteile der Erfindung lassen sich durch die Lektüre der nachstehenden detaillierten Beschreibung in Verbindung mit den Zeichnungen verstehen:
  • 1 ist eine Querschnittansicht einer Gasverteilungskammer gemäß der vorliegenden Erfindung;
  • 2 ist eine auseinandergezogene, perspektivische Querschnittansicht eines ersten Ausführungsbeispiels der vorliegenden Erfindung;
  • 3 ist eine Querschnittansicht des ersten Ausführungsbeispiels der vorliegenden Erfindung;
  • 4 ist eine auseinandergezogene, perspektivische Ansicht eines zweiten Ausführungsbeispiels der vorliegenden Erfindung;
  • 5 ist eine Querschnittansicht des zweiten Ausführungsbeispiels;
  • 6 ist eine perspektivische Querschnittansicht einer unteren Leitwandplatte des zweiten Ausführungsbeispiels der vorliegenden Erfindung;
  • 7A–B stellen ein Ätzverfahren dar, das mit dem Gasverteilungssystem der Erfindung ausgeführt werden kann.
  • Detaillierte Beschreibung der bevorzugten Ausführungsbeispiele
  • Für ein besseres Verständnis der Erfindung bezieht sich die nachstehende detaillierte Beschreibung auf die begleitenden Zeichnungen, worin bevorzugte exemplarische Ausführungsbeispiele der vorliegenden Erfindung illustriert und beschrieben sind. Die Bezugszeichen zur Identifizierung gleicher Elemente in den Zeichnungen werden außerdem durchgehend verwendet.
  • Gemäß der vorliegenden Erfindung kann Prozessgas von einer oder mehreren Gaszuführungen gleichmäßig zu einem Substrat verteilt werden, das unterhalb eines Verteilerkopfes angeordnet ist. Der Verteilerkopf kann für jede Art von Halbleiterbearbeitungsvorrichtungen angewendet werden, in denen die Verteilung von Prozessgas über ein Halbleitersubstrat gewünscht wird. Solche Vorrichtungen umfassen CVD-Systeme, Asher, kapazitiv gekoppelte Plasmareaktoren, induktiv gekoppelte Plasmareaktoren, ECR-Reaktoren und dergleichen.
  • Ein Gasverteilungssystem für einen Parallelplattenplasmareaktor ist in 1 dargestellt, worin eine Tragplatte 20 und ein Verteilerkopf 22 so aneinander befestigt sind, dass sie eine dichte Gasverteilungskammer 24 ausbilden. Eine Leitwandanordnung 26, einschließlich einer oder mehrerer Leitwandplatten, ist zwischen der Tragplatte 20 und dem Verteilerkopf 22 angeordnet. Gemäß der vorliegenden Erfindung sind die Geometrie und die Anordnung der Leitwandanordnung 26 so konfiguriert, dass sie Gas gleichmäßig auf eine Rückseite 28 des Verteilerkopfes 22 verteilen. In Halbleiter-Wafer-Verfahren, wie beispielsweise in der chemischen Aufdampfung oder in Trockenätz-Plasamverfahren, ist die kontrollierte Verteilung des Prozessgases über das Substrat erwünscht, um die Konsistenz und die Ausbeute solcher Prozesse zu erhöhen.
  • Wie in 2 und 3 zu sehen, umfasst in einem ersten Ausführungsbeispiel der vorliegenden Erfindung die Leitwandanordnung 26 eine Leitwandplatte 30A und optional die Leitwandplatten 30B und 30C. Die Leitwandplatten 30A30C sind in einer Vertiefung 32 angeordnet, die von einer peripheren, aufwärts vorragenden Seite 34 des Verteilerkopfes 22 begrenzt wird. Die obere Leitwandplatte 30A ist von einer unteren Oberfläche 36 der Tragplatte 20 durch einen O-Ring 38 beabstandet. Der O-Ring 38 teilt den Raum zwischen der oberen Leitwandplatte 30A und der Tragplatte 20 in zwei Bereiche, von denen ein jeder mit Prozessgas unterschiedlicher Gaschemien, unterschiedlichen Drücken und/oder unterschiedlichen Strömungsraten versorgt werden kann. Gas von einer ersten Gaszuführung 40 strömt in einen mittleren Bereich 42 zwischen der oberen Leitwandplatte 30A und der Tragplatte 20. Das Gas von einer zweiten Gaszuführung 44 strömt in einen ringförmigen Kanal 44a und dann in einen Randbereich 46 zwischen der oberen Leitwandplatte 30A und der Tragplatte 20. Die mittlere und untere Platte 30B, 30C können unterhalb der oberen Leitwandplatte 30A angeordnet sein, um die offenen Luftkammern 48A, 48B dazwischen und eine offene Luftkammer 48C zwischen der unteren Leitwandplatte 30C und dem Verteilerkopf 22 zu begrenzen.
  • Jede Gaszuführung schafft eine Druckverteilung über die Oberfläche der oberen Leitwandplatte 30A, worin der Gasdruck am höchsten angrenzend an den Gaszuführungsauslass ist und vom Auslass weg gehend abnimmt. So kann der relative Gasdruck zwischen den peripheren 46 und mittleren 42 Bereichen der oberen Oberfläche der oberen Leitwandplatte 30A unter Anwendung erster und zweiter Massenströmungsregler 50A, 50B angepasst werden, die an die erste und zweite Gaszuführung 40, 44 angeschlossen sind. Jeder Massenströmungsregler 50A, 50B kann mit einer gewünschten Gasmischung versorgt werden, indem die Strömungsraten von zwei oder mehr Gasen von den Gaszuführungen 50C, 50D, 50E, 50F, usw. angepasst werden.
  • Das Prozessgas wird über den mittleren Bereich 42 und den Randbereich 46 zwischen der oberen Leitwandplatte 30A und der Tragplatte 20 verteilt und geht durch die Öffnungen 52A in der oberen Leitwandplatte 30A in die offene Luftkammer 48A zwischen der oberen und mittleren Leitwandplatte 30A, 30B. Danach strömt das Gas nach unten durch die Öffnungen 52B in der mittleren Leitwandplatte 30B in eine offene Luftkammer 48B zwischen der mittleren und unteren Leitwandplatte 30B, 30C, dann durch die Öffnungen 52C in der unteren Leitwandplatte 30C in eine offene Luftkammer 48C zwischen der unteren Leitwandplatte 30C und dem Verteilerkopf 22 und schließlich durch die Öffnungen 54 im Verteilerkopf 22, ehe es ein Substrat erreicht. Jedesmal wenn ein Gas in eine offene Luftkammer eindringt, wird eine ungleichmäßige Druckverteilung gedämpft, da sich jeder ungleichmäßige Druck von Bereichen hohen Drucks zu Bereichen niedrigen Drucks etwas ausgleicht. So kann durch die Konfiguration des Gasverteilungssystems zur Begrenzung einer Mehrzahl von Luftkammern 48 zwischen den Leitwandplatten 30 auf der Rückseite 28 des Verteilerkopfes 22 eine im wesentlichen gleichmäßige Druckverteilung erreicht werden.
  • Ein zweites Ausführungsbeispiel des Gasverteilungssystems ist in 46 dargestellt. Die Leitwandanordnung des zweiten Ausführungsbeispiels umfasst zwei Leitwandplatten 56A, 56B. Die obere Leitwandplatte 56A umfasst Abschnitte in Kontakt mit der Tragplatte 20, die untere Leitwandplatte 56B umfasst Abschnitte in Kontakt mit dem Verteilerkopf 22. Der Oberfläche-Oberfläche-Kontakt zwischen der Tragplatte 20, der Leitwandanordnung 26 und dem Verteilerkopf 22 erleichtert die Wärmeübertragung zwischen dem Verteilerkopf 22, der Leitwandanordnung 26 und der Tragplatte 20 und kann einen elektrisch leitenden Pfad zwischen dem Verteilerkopf 22, der Leitwandanordnung 26 und der Tragplatte 20 schaffen, wenn der Verteilerkopf als obere Elektrode verwendet wird.
  • Während der Bearbeitung fungiert die Temperatur-geregelte Tragplatte 20 als Wärmesenke, die Wärme vom Verteilerkopf 22 durch die Leitwandanordnung 26 abzieht. Beispielsweise kann Kühlmittel durch die Kühlkanäle 58 in der Tragplatte 20 zirkulieren, um die während der Bearbeitung eines Substrats erzeugte Wärme zu verteilen.
  • Im zweiten Ausführungsbeispiel ist eine erste Gaszuführung 60 so konfiguriert, dass sie einer mittleren Vertiefung 62 in der oberen Leitwandplatte 56A Gas zuführt. Eine zweite Gaszuführung 64 führt einer ringförmigen Sammelleitung 66 Gas zu, welche das Gas in einen Randbereich 68 oberhalb der unteren Leitwandplatte 56B verteilt. Die Sammelleitung 66 kann in die Tragplatte 20 integriert sein oder eine getrennte Komponente des Gasverteilungssystems umfassen.
  • Die obere Leitwandplatte 56A umfasst radial verlaufende Kanäle 70, die das Gas von der im allgemeinen zentral angeordneten ersten Gaszuführung 60 zur Peripherie der oberen Leitwandplatte 56A verteilen. Die Kanäle 70 sind zwischen den Kontaktflächen 72 ausgebildet, welche die untere Oberfläche 36 der Tragplatte 20 kontaktieren.
  • Die Wärme und der elektrische Strom strömen durch die Oberflächen 72 von der oberen Leitwandplatte 56A zur Tragplatte 20. Gleichermaßen umfasst die obere Oberfläche der unteren Leitwandplatte 56B radial verlaufende Kanäle 74, über die Gas von der peripher angeordneten Sammelleitung 66 zu einem ringförmigen Kanal 76 in einem mittleren Teil der unteren Leitwandplatte 56B verteilt wird. Die radial verlaufenden Kanäle 74 sind zwischen den Kontaktflächen 78 ausgebildet, die mit der oberen Leitwandplatte 56A thermisch und elektrisch verbunden sind. Auch wenn die Kanäle 70, 74 und 76 in den oberen Oberflächen der oberen und unteren Leitwände dargestellt sind, könnten diese genau so in den unteren Oberflächen der Tragplatte 20 und in der oberen Leitwandplatte ausgebildet sein.
  • Die Öffnungen 80 in den radial verlaufenden Kanälen 70 in der oberen Leitwandplatte stehen in Strömungsverbindung mit einer ersten Gruppe von Öffnungen 82 in der unteren Leitwandplatte 56B. Das heißt, die Öffnungen 80 in der oberen Leitwandplatte 56A und die erste Öffnungsgruppe 82 in der unteren Leitwandplatte 56B begrenzen einen im allgemeinen durchgehenden und ununterbrochenen Fluidweg von der ersten Gaszuführung 60 durch die oberen und unteren Leitwandplatten 56A, 56B. Das Gas von der zweiten Gaszuführung 64 strömt durch eine zweite Öffnungsgruppe 84 in die Kanäle 74 in der unteren Leitwandplatte 56B. Die strömungsverbundenen Öffnungen 80, 82 und die zweite Öffnungsgruppe 84 sind so angeordnet, dass sie signifikante Mischungen zwischen Gas von der ersten Gaszuführung 60 und der zweiten Gaszuführung 64 verhindern. Eine solche Anordnung erlaubt die Migration von Gas zwischen der oberen und unteren Leitwandplatte. Zur Verhinderung einer solchen Migration könnten die oberen und unteren Leitwandplatten adhäsiv oder metallurgisch auf eine Art und Weise miteinander verbunden sein, die eine Mischung der beiden Gase verhindert.
  • Die strömungsverbundenen Öffnungen 80, 82 werden vorzugsweise durch Ausrichten der Öffnungen 80 in der oberen Leitwandplatte an der ersten Öffnungsgruppe 82 in der unteren Leitwandplatte ausgebildet, wofür eine geeignete Technik verwendet wird, wie beispielsweise zueinander passende Ausrichtungselemente, etwa Positionierstifte. Andere Techniken zur Verbindung der Öffnungen 80 mit den Öffnungen 82 umfassen jedoch das Einfügen einer strukturierten Dichtung zwischen den oberen und unteren Leitwänden oder die Bereitstellung einzelner Rohre, die zwischen den Öffnungen in den oberen und unteren Leitwandplatten befestigt sind.
  • Die Unterseite der unteren Leitwandplatte 56B umfasst ringförmige, nach unten vorspringende Wandabschnitte 86, welche die Oberseite des Verteilerkopfes 22 thermisch und elektrisch kontaktieren. Sowohl die strömungsverbundenen Öffnungen 80, 82 wie die zweite Öffnungsgruppe 84 öffnen sich in die radial beabstandeten, ringförmigen Kanäle 88, die von den nach unten vorspringenden Wandabschnitten 86 ausgebildet werden. Die Kanäle 88 könnten in der oberen Oberfläche des Verteilerkopfes ausgebildet sein, oder der Raum zwischen der unteren Leitwandplatte und dem Verteilerkopf könnte eine offene Luftkammer mit oder ohne Kontaktabschnitte dazwischen zur Ableitung von Wärme vom Verteilerkopf und/oder zur Zuführung elektrischer Energie zum Verteilerkopf sein.
  • Während der Halbleiterbearbeitung strömt das Gas von der ersten Gaszuführung 60 durch die strömungsverbundenen Öffnungen 80, 82 in der oberen Leitwandplatte 56A und der unteren Leitwandplatte 56B, und das Gas von der zweiten Gaszuführung 64 strömt durch die zweite Öffnungsgruppe 84 in der unteren Leitwandplatte 56B. Das Gas von der ersten und zweiten Gaszuführung 60, 64 mischt sich in den Kanälen 88 in der Unterseite der unteren Leitwandplatte über der oberen Oberfläche des Verteilerkopfes 22 und strömt durch eine dritte Öffnungsgruppe 90 im Verteilerkopf 22 zum Substrat.
  • Auf der oberen Leitwandplatte 56A ist der Gasdruck am höchsten in der Nähe der zentral angeordneten ersten Gaszuführung 60 und am niedrigsten nahe der Peripherie der oberen Leitwandplatte 56A. Das Prozessgas strömt abwärts durch die strömungsverbundenen Öffnungen 82, 84 in den oberen und unteren Leitwandplatten 56A, 56B zu den offenen Kanälen 88 in der Unterseite der unteren Leitwandplatte 56B. Wenn im Betrieb die erste und zweite Gaszuführung Gas mit gleichem Druck liefern, bewirkt das Gas von der ersten Gaszuführung 60 eine Druckverteilung, bei der der Druck in der Nähe des Zentrums der unteren Leitwandplatte 56B hoch und an der Peripherie der unteren Leitwandplatte 56B niedrig ist, wohingegen das Gas von der zweiten Gaszuführung 64 eine Druckverteilung bewirkt, bei der der Druck an der Peripherie hoch und im Zentrum der unteren Leitwand niedrig ist. Folglich kann mit Hilfe der Leitwandanordnung der Erfindung der an der Rückseite des Verteilerkopfes herrschende Druck gleichmäßiger über die Rückseite des Verteilerkopfes verteilt werden.
  • In einem alternativen Bearbeitungsschema kann das Gasverteilungssystem eine kontrollierte, ungleichmäßige Gasdruckverteilung über die Rückseite 28 des Verteilerkopfes 22 schaffen. Wenn beispielsweise ein hoher Gasdruck um die Peripherie der Rückseite 28 des Verteilerkopfes 22 wünschenswert ist, kann die Strömung durch die zweite Gaszuführung 64 selektiv im Verhältnis zur Strömung durch die erste Gaszuführung 60 erhöht werden. Wenn umgekehrt ein relativ hoher Gasdruck nahe dem Zentrum der Rückseite 28 des Verteilerkopfes 22 wünschenswert ist, kann die Strömung durch die erste Gaszuführung 60 im Verhältnis zu der Strömung durch die zweite Gaszuführung 64 erhöht werden. Im Falle einer Einzel-Wafer-Bearbeitung kann somit das Gasverteilungssystem einer oder mehreren ringförmigen Zonen über dem Wafer unterschiedliche Gaschemien zuführen. Da die Gaschemie, die Strömungsrate und der Druck außenumfänglich um jede einzelne ringförmige Zone gleichmäßig gestaltet werden können, aber radial zwischen den Zonen variieren können, besteht die Möglichkeit, eine gleichmäßige Bearbeitung eines Wafers während Prozessen zu erreichen, bei denen die Bearbeitungsbedingungen an der Wafer-Oberfläche über den Wafer variieren.
  • In 7A–B ist das Ätzen einer doppelten Damaszenerstruktur in einem Einzelschritt gemäß der Erfindung schematisch dargestellt. In 7A ist ein Vor-Ätz-Zustand dargestellt, in dem eine Öffnung 500 in Entsprechung zu einem Trench in einer Photoresistmaskenschicht 520 vorgesehen ist, die über einem Stapel einer ersten dielektrischen Schicht 540, wie etwa Siliciumoxid, einer ersten Stoppschicht 560, wie etwa Siliciumnitrid, einer zweiten dielektrischen Schicht 580, wie etwa Siliciumoxid, einer zweiten Stoppschicht 600, wie etwa Siliciumnitrid, und einem Substrat 620, wie etwa einem Silicium-Wafer, liegt. Für das Ätzen der Kontaktlöcher durch die erste Stoppschicht 560 in einem einzelnen Ätzschritt umfasst die erste Stoppschicht 560 eine Öffnung 640. In 7B ist die Struktur nach dem Ätzen dargestellt, wobei sich die Öffnung 500 durch die dielektrische Schicht 540 zur ersten Stoppschicht 560 erstreckt und die Öffnung 640 durch die zweite dielektrische Schicht 580 zur zweiten Stoppschicht 600. Eine solche Anordnung kann als "selbstangepasste Doppel-Damaszener"-Struktur bezeichnet werden.
  • Während des Ätzverfahrens können die Prozessgasbedingungen infolge der ersten und zweiten Gaszuführungen im ersten und zweiten Ausführungsbeispiel in Relation zueinander geändert werden, z.B. während des Ätzens des Trenchs 500 kann eine Mischung aus Ar, Sauerstoff und Fluorkohlenwasserstoffen (z.B. CHF3 und C4F8) zugeführt werden, und während des Ätzens der Kontaktlöcher 640 kann die Strömung des Sauerstoffs in den mittleren Bereich des Wafers verringert werden. Beim Ätzen von dielektrischen Schichten mit niedrigem k-Wert kann das Prozessgas einen Kohlenwasserstoff enthalten, wie C2H4, und das Verhältnis der Kohlenwasserstoff- zur Sauerstoff-Gasströmungsrate kann radial variiert werden, um eine gleichmäßige Ätzung zu erreichen. Gemäß der Erfindung kann somit die Strömung der Gase zum Zentrum und zum Rand des Wafers angepasst werden, um Rand-beständige Ätzbedingungen und Zentrums-beständige Ätzbedingungen in der Plasmakammer auszugleichen. Beispielsweise kann es bei einem herkömmlichen Plasma-Ätzer zu Rand-beständigen Bedingungen kommen, bis der Photoresist erodiert ist, woraufhin es zu Zentrums-beständigen Bedingungen kommen kann. Mit der Gasverteilungsvorrichtung gemäß der Erfindung kann mehr Sauerstoff in der Mitte zugeführt werden, wenn der Wafer eine Photoresistschicht besitzt, wohingegen wenn die Photoresistschicht weg erodiert ist, der Sauerstoffstrom zur Mitte reduziert werden kann. Daraus folgt, dass ein gleichmäßigeres Ätzen erreicht werden kann, indem die Rand-beständigen und die Zentrums-beständigen Ätzbedingungen ausgeglichen werden.
  • Das Verfahren der Erfindung ist auf unterschiedliche Plasmaverfahren anwendbar, einschließlich des Plasmaätzens unterschiedlicher dielektrischer Schichten, wie dotiertes Siliciumoxid, etwa fluoriertes Siliciumoxid (FSG), undotiertes Siliciumoxid, etwa Siliciumdioxid, Spin-on-Glas (SOG), Silicatgläser, etwa Borphosphat-Silicatglas (BPSG) und Phosphatsilicatglas (PSG), dotiertes oder undotiertes thermisch gezogenes Siliciumoxid, dotiertes oder undotiertes TEOS-abgelagertes Siliciumoxid, usw. Die dielektrischen Dotierstoffe umfassen Bor, Phosphor und/oder Arsen. Das Dielektrikum kann eine leitende oder halbleitende Schicht überlagern, wie beispielsweise polykristallines Silicium, Metalle, wie Aluminium, Kupfer, Titan, Wolfram, Molybdän oder Legierungen daraus, Nitride, wie Titannitrid, Metallsilicide, wie Titansilicid, Kobaltsilicid, Wolframsilicid, Molybdänsilicid, usw..
  • Das Plasma kann ein hochdichtes Plasma sein, das in unterschiedlichen Arten von Plasmareaktoren produziert wurde. Solche PLasmareaktoren haben in der Regel Hochenergiequellen, die zur Produktion des hochdichten Plasmas RF-Energie, Mikrowellenenergie, Magnetfelder usw. verwenden. Beispielsweise könnte das hochdichte Plasma in einem transformatorgekoppelten Plasma (TCPTM) Reaktor hergestellt werden, der auch als induktiv gekoppelter Plasmareaktor bezeichnet wird, oder in einem Elektro-Zyklotron-Resonanz (ECR) Plasmareaktor, einem Helicon-Plasmareaktor oder dergleichen. Ein Beispiel eines Hochfluss-Plasmareaktors, der ein hochdichtes Plasma erzeugen kann, ist in dem in der allgemeinen Domäne befindlichen U.S.-Patent Nr. 5,820,723 offenbart, dessen Offenbarung diesem Patent durch Bezugnahme einverleibt ist.
  • Die vorliegende Erfindung wurde unter Bezugnahme auf bevorzugte Ausführungsbeispiele beschrieben. Es ist jedoch für einschlägig bewanderte Fachleute ohne weiteres erkennbar, dass die Erfindung in anderen spezifischen Formen ausgeführt werden kann, als dies oben beschrieben wurde, ohne deshalb vom Geltungsbereich der Erfindung abzuweichen. Das bevorzugte Ausführungsbeispiel ist illustrativ und darf in keiner Weise als restriktiv betrachtet werden. Der Geltungsbereich der Erfindung ist nicht durch die vorangehende Beschreibung, sondern durch die angehängten Ansprüche definiert, und alle Variationen und Äquivalente, die in den Bereich der Ansprüche fallen, sollen davon erfasst sein.

Claims (31)

  1. Gasverteilungssystem zur Verwendung in einer Reaktionskammer in der Halbleitersubstratbearbeitung, die Folgendes umfasst: ein Trägerelement (20) und einen vom Trägerelement gehaltenen Verteilerkopf (22), wobei entweder das Trägerelement in einer unteren Oberfläche (36) desselben eine Vertiefung oder der Verteilerkopf in seiner Rückseite (28) eine Vertiefung (32) aufweist, wobei das Trägerelement eine erste Gaszuführung (40, 60) besitzt, die sich in einen zentralen Bereich der Vertiefung öffnet, und eine zweite Gaszuführung (44, 64), die sich in einen peripheren Bereich der Vertiefung öffnet; eine Leitwandanordnung (26), die in der Vertiefung so angeordnet ist, dass Gas von der ersten Gaszuführung durch die ersten Öffnungen (52A, 80, 82) in der Leitwandanordnung geführt wird und Gas von der zweiten Gaszuführung durch zweite Öffnungen (52A, 82, 84) in der Leitwandanordnung geführt wird; und wobei der Verteilerkopf so angeordnet ist, dass sich das durch die erste und zweite Öffnung geführte Gas mischt und durch eine dritte Gruppe von Öffnungen (54, 90) im Verteilerkopf geführt wird.
  2. Gasverteilungssystem gemäß Anspruch 1, wobei der Verteilerkopf eine obere Elektrode und das Trägerelement ein temperaturgeregeltes Element einer Plasmareaktionskammer ist.
  3. Gasverteilungssystem gemäß Anspruch 1, wobei das Trägerelement einen an einem temperaturgeregelten Element befestigten Trägerring aufweist.
  4. Gasverteilungssystem gemäß Anspruch 1, wobei die Leitwandanordnung obere und untere Leitwandplatten (56A, 56B) umfasst und die zweite Gaszuführung Gas an einen oder mehrere Gasstromkanäle (74) liefert, die zwischen den oberen und unteren Leitwandplatten angeordnet sind, wobei das Gas von der zweiten Gaszuführung durch die Kanäle in eine Richtung von einem Außenbereich der Leitwandplatten zu einem Innenbereich der Leitwandplatten strömt.
  5. Gasverteilungssystem gemäß Anspruch 4, wobei die Kanäle in einer unteren Oberfläche der oberen Leitwandplatte und/oder in einer oberen Oberfläche (78) der unteren Leitwandplatte ausgebildet sind.
  6. Gasverteilungssystem gemäß Anspruch 5, wobei die obere Oberfläche der unteren Leitwandplatte in Wärmekontakt mit der unteren Oberfläche der oberen Leitwandplatte ist.
  7. Gasverteilungssystem gemäß Anspruch 5, wobei der Verteilerkopf eine Elektrode ist und die oberen und unteren Leitwandplatten aus einem elektrisch leitenden Material gefertigt sind und die obere Oberfläche der unteren Leitwandplatte in elektrischem Kontakt mit der unteren Oberfläche der oberen Leitwandplatte ist.
  8. Gasverteilungssystem gemäß Anspruch 1, wobei die Leitwandanordnung obere und untere Leitwandplatten umfasst und sich das durch die ersten und zweiten Öffnungen geführte Gas in den Gasstromkanälen (88) mischt, die zwischen der unteren Leitwandplatte und dem Verteilerkopf angeordnet sind.
  9. Gasverteilungssystem gemäß Anspruch 8, wobei die Kanäle in einer unteren Oberfläche der unteren Leitwandplatte und/oder in einer oberen Oberfläche des Verteilerkopfes ausgebildet sind, wobei die untere Oberfläche der unteren Leitwandplatte in Kontakt mit der oberen Oberfläche des Verteilerkopfes ist.
  10. Gasverteilungssystem gemäß Anspruch 8, wobei der Verteilerkopf eine Elektrode ist und die oberen und unteren Leitwandplatten aus elektrisch leitendem Material sind und die Kanäle in einer unteren Oberfläche der unteren Leitwandplatte und/oder in einer oberen Oberfläche des Verteilerkopfes ausgebildet sind, wobei die untere Oberfläche der unteren Leitwandplatte in elektrischem und Wärmekontakt mit der oberen Oberfläche des Verteilerkopfes ist.
  11. Gasverteilungssystem gemäß Anspruch 1, wobei der Verteilerkopf eine Verteilerelektrode umfasst.
  12. Gasverteilungssystem gemäß Anspruch 1, wobei die Leitwandanordnung eine Leitwandplatte und ein Dichtungselement (38) umfasst, wobei das Dichtungselement einen Raum zwischen der Leitwandplatte und dem Trägerelement in mittlere und periphere Bereiche (42, 46) trennt und wobei sich die erste Gaszuführung in den mittleren Bereich und die zweite Gaszuführung in den peripheren Bereich öffnet.
  13. Gasverteilungssystem gemäß Anspruch 12, wobei das Dichtungselement ein O-Ring ist.
  14. Gasverteilungssystem gemäß Anspruch 1, welches des weiteren einen mit der ersten Gaszuführung verbundenen ersten Massenstromregler, einen mit der zweiten Gaszuführung verbundenen zweiten Massenstromregler und einen mit dem ersten und dem zweiten Massenstromregler verbundenen Regler umfasst, um Gaschemie und/oder Strömungsraten des von den ersten und zweiten Gaszuführungen gelieferten Prozessgases einzustellen.
  15. Verfahren zur Bearbeitung eines Substrats in einer Reaktionskammer, die ein Gasverteilungssystem gemäß Definition in Anspruch 1 umfasst, wobei das Verfahren Folgendes umfasst: Einbringen eines Halbleitersubstrats in die Reaktionskammer; Einbringen von Prozessgas in die ersten und zweiten Gaszuführungen, so dass das Prozessgas durch die Leitwandanordnung strömt, ohne sich zu mischen, bis das Prozessgas durch die Leitwandanordnung geführt wird, woraufhin das gemischte Prozessgas durch den Verteilerkopf und in das Innere der Reaktionskammer geführt wird; und Bearbeiten des Halbleitersubstrats mit dem durch den Verteilerkopf geführten Prozessgas.
  16. Verfahren gemäß Anspruch 15, bei dem der Verteilerkopf eine Verteilerelektrode ist, welche das durch sie geführte Prozessgas in einen Plasmazustand aktiviert.
  17. Verfahren gemäß Anspruch 16, welches des weiteren das Ätzen einer Schicht auf dem Halbleitersubstrat durch Aufbringen einer RF-Energie auf die Verteilerelektrode umfasst, so dass das Prozessgas in Kontakt mit einer exponierten Oberfläche des Halbleitersubstrats ein Plasma bildet.
  18. Verfahren gemäß Anspruch 15, bei dem das Halbleitersubstrat einen Siliciumwafer umfasst und das Verfahren das Trockenätzen einer dielektrischen halbleitenden oder leitenden Materialschicht auf dem Wafer umfasst.
  19. Verfahren gemäß Anspruch 15, wobei das Verfahren das Abscheiden einer Materialschicht auf dem Halbleitersubstrat umfasst.
  20. Verfahren gemäß Anspruch 15, wobei der Verteilerkopf eine Verteilerelektrode und das Trägerelement ein temperaturgeregeltes Element umfasst, wobei das Verfahren das Abführen von Wärme von der Verteilerelektrode durch Hindurchführen eines Kühlmittels durch das temperaturgeregelte Element umfasst.
  21. Verfahren gemäß Anspruch 15, bei dem die Leitwandanordnung obere und untere Leitwandplatten umfasst, die zweite Gaszuführung Gas zu einem oder mehreren Gasstromkanälen zwischen den oberen und unteren Leitwandplatten zuführt und wobei das Gas von der zweiten Gaszuführung durch die Kanäle in eine Richtung von einem Außenbereich der Leitwandplatte zu einem Innenbereich der Leitwandplatten strömt.
  22. Verfahren gemäß Anspruch 15, welches des weiteren die Einstellung von Strömungsrate und/oder Gasdruck des der ersten Gaszuführung zugeführten Prozessgases und die Einstellung von Strömungsrate und/oder Gasdruck des der zweiten Gaszuführung zugeführten Prozessgases umfasst, so dass über der Rückseite des Verteilerkopfes eine erwünschte Gasdruckverteilung gegeben ist.
  23. Verfahren gemäß Anspruch 15, bei dem die Leitwandanordnung obere und untere Leitwandplatten umfasst und das Verfahren des weiteren die Kühlung des Verteilerkopfes durch Abführen von Wärme vom Verteilerkopf über Kontaktflächen von Verteilerkopf, unterer Leitwandplatte, oberer Leitwandplatte und/oder Trägerelement umfasst.
  24. Verfahren gemäß Anspruch 15, bei dem die Leitwandanordnung obere und untere Leitwandplatten umfasst, wobei sich das durch die ersten und zweiten Öffnungen geführte Gas in radial beabstandeten, ringförmigen Gasstromkanälen zwischen der unteren Leitwandplatte und dem Verteilerkopf mischt.
  25. Verfahren gemäß Anspruch 24, bei dem die Kanäle in einer unteren Oberfläche der unteren Leitwandplatte und/oder in einer oberen Oberfläche des Verteilerkopfes ausgebildet sind, wobei die untere Oberfläche der unteren Leitwandplatte in Kontakt mit der oberen Oberfläche des Verteilerkopfes ist, so dass während der Bearbeitung des Halbleitersubstrats Wärme vom Verteilerkopf abgezogen wird.
  26. Verfahren gemäß Anspruch 15, bei dem das durch die erste Gaszuführung strömende Prozessgas die selbe Gaschemie aufweist wie das durch die zweite Gaszuführung strömende Prozessgas.
  27. Verfahren gemäß Anspruch 15, bei dem das durch die erste Gaszuführung strömende Prozessgas eine andere Gaschemie aufweist als das durch die zweite Gaszuführung strömende Prozessgas.
  28. Verfahren gemäß Anspruch 15, bei dem das Substrat ein Halbleiterwafer ist, der in zumindest ersten und zweiten Schritten geätzt wird, wobei das Prozessgas durch die erste Gaszuführung geführt wird, welche im Verhältnis zu dem durch die zweite Gaszuführung geführten Prozessgas reguliert wird, um randbeständige und mittenbeständige Ätzbedingungen während der ersten und zweiten Schritte auszugleichen.
  29. Verfahren gemäß Anspruch 15, bei dem Öffnungen durch exponierte Teile einer dielektrischen Schicht des Substrats auf eine elektrisch leitende oder halbleitende Schicht des Substrats geätzt werden.
  30. Verfahren gemäß Anspruch 29, bei dem der Ätzschritt als Teil eines Prozesses zur Herstellung einer Damaszenerstruktur ausgeführt wird.
  31. Verfahren gemäß Anspruch 29, bei dem das Halbleitersubstrat Schichten einer Photoresistmaskenschicht (520), einer ersten dielektrischen Schicht (540), einer ersten Stoppschicht (560), einer zweiten dielektrischen Schicht (580) und einer zweiten Stoppschicht (620) umfasst, wobei der Ätzschritt so ausgeführt wird, dass in einer ersten Phase des Ätzschritts ein in der Photoschicht strukturierter Graben durch die erste dielektrische Schicht zur ersten Stoppschicht geätzt wird, und in einer zweiten Phase des Ätzschritts Kontaktlöcher (640) bzw. Kontaktöffnungen (500) durch die zweite dielektrische Schicht zur Stoppschicht geätzt werden, wobei das von der ersten und der zweiten Gaszuführung zugeführte Prozessgas so eingestellt wird, dass die Prozessgaszusammensetzung und/oder die Strömungsraten in der ersten Phase sich von der Prozessgaszusammensetzung und/oder den Strömungsraten in der zweiten Phase unterscheiden.
DE60036291T 1999-06-30 2000-06-12 Gasverteilungsvorrichtung für die halbleiterbearbeitung Expired - Lifetime DE60036291T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/343,690 US6245192B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing
US343690 1999-06-30
PCT/US2000/016147 WO2001003159A1 (en) 1999-06-30 2000-06-12 Gas distribution apparatus for semiconductor processing

Publications (2)

Publication Number Publication Date
DE60036291D1 DE60036291D1 (de) 2007-10-18
DE60036291T2 true DE60036291T2 (de) 2008-05-29

Family

ID=23347196

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60036291T Expired - Lifetime DE60036291T2 (de) 1999-06-30 2000-06-12 Gasverteilungsvorrichtung für die halbleiterbearbeitung

Country Status (10)

Country Link
US (2) US6245192B1 (de)
EP (1) EP1200981B1 (de)
JP (1) JP4732646B2 (de)
KR (1) KR100697158B1 (de)
CN (1) CN1238881C (de)
AU (1) AU5608700A (de)
DE (1) DE60036291T2 (de)
IL (1) IL147033A0 (de)
TW (1) TW460915B (de)
WO (1) WO2001003159A1 (de)

Families Citing this family (576)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20050026436A1 (en) * 2000-12-21 2005-02-03 Hogan Timothy J. Method for improving ash rate uniformity in photoresist ashing process equipment
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
IL153154A (en) * 2001-03-28 2007-03-08 Tadahiro Ohmi Plasma processing device
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030173346A1 (en) * 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7156951B1 (en) 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
JP2004143521A (ja) * 2002-10-24 2004-05-20 Sony Corp 薄膜形成装置
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR100500246B1 (ko) 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
KR100941959B1 (ko) * 2003-05-31 2010-02-11 주성엔지니어링(주) 액정표시장치용 박막증착장치
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
KR100563818B1 (ko) * 2003-08-01 2006-03-28 동부아남반도체 주식회사 플라즈마 에칭 챔버의 배플 플레이트를 위한 치구
KR100979920B1 (ko) 2003-09-08 2010-09-03 주성엔지니어링(주) 액정표시장치용 증착 장치
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
EP1788118A3 (de) * 2003-11-25 2007-07-04 Applied Materials, Inc. Thermale chemische Dampfablagerung von Silikonnitrid
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050223983A1 (en) * 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252447A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7543371B2 (en) * 2004-06-23 2009-06-09 Hitachi Global Storage Technologies Netherlands B.V. Apparatus for a disk drive actuator pivot set height tooling with an active servo compensation
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP2006080347A (ja) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp プラズマ処理装置
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
TWI257541B (en) * 2004-10-05 2006-07-01 Realtek Semiconductor Corp Display data output up/down frequency method, display control chip and display device
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
DE102005004312A1 (de) * 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4701776B2 (ja) * 2005-03-25 2011-06-15 東京エレクトロン株式会社 エッチング方法及びエッチング装置
WO2006121264A1 (en) * 2005-05-09 2006-11-16 Asm Genitech Korea Ltd. Multiple inlet tomic layer deposition reactor
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
KR100599056B1 (ko) * 2005-07-21 2006-07-12 삼성전자주식회사 포토레지스트 제거 장치 및 방법
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
TWI423308B (zh) * 2005-09-01 2014-01-11 Panasonic Corp A plasma processing apparatus, a plasma processing method, and a dielectric window for use therefor and a method of manufacturing the same
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
EP1970468B1 (de) * 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US20080260968A1 (en) * 2007-04-23 2008-10-23 Atto Co., Ltd. Method of forming amorphous carbon layer using cross type hydrocarbon compound and method of forming low-k dielectric layer using the same
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
CN101499407B (zh) * 2008-02-02 2010-07-28 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP2009230810A (ja) * 2008-03-24 2009-10-08 Hitachi Global Storage Technologies Netherlands Bv 垂直磁気記録ヘッドの製造方法
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
CN101315880B (zh) * 2008-07-17 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及采用该气体分配装置的等离子体处理设备
KR101223945B1 (ko) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 클러스터 분사식 가공 방법, 반도체 소자, 미소 기전 소자, 및 광학 부품
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
KR101592623B1 (ko) * 2008-12-10 2016-02-11 램 리써치 코포레이션 실리콘 전극 세척용 이머시브 산화 및 에칭 프로세스
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US20100252047A1 (en) 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN105088191B (zh) * 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
TW201511122A (zh) * 2009-09-25 2015-03-16 Applied Materials Inc 用於感應耦合電漿反應器中的高效率氣體解離之方法及設備
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
CN102051595B (zh) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 化学气相沉积装置及其喷头
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TW201134979A (en) * 2010-04-13 2011-10-16 Ind Tech Res Inst Gas distribution shower module and film deposition apparatus
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
CN101914762B (zh) * 2010-08-31 2013-03-06 广东省中科宏微半导体设备有限公司 一种用于金属有机物化学气相沉积设备的进气喷头结构
US8444456B2 (en) * 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
CN102061458B (zh) * 2010-11-29 2012-05-30 保定天威集团有限公司 基板镀膜设备的气体分布系统及方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9543123B2 (en) * 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
WO2013002885A1 (en) 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
TWI830183B (zh) * 2011-10-05 2024-01-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
KR101805582B1 (ko) * 2011-12-21 2017-12-07 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
KR101804126B1 (ko) * 2011-12-21 2017-12-05 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
WO2014018480A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP6144902B2 (ja) 2012-12-10 2017-06-07 東京エレクトロン株式会社 マイクロ波放射アンテナ、マイクロ波プラズマ源およびプラズマ処理装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101534A1 (de) 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
CN108546932A (zh) * 2013-02-15 2018-09-18 诺发系统公司 带温度控制的多室喷头
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
KR102098071B1 (ko) * 2013-09-27 2020-04-07 엘지디스플레이 주식회사 가스분사유닛 및 이를 구비하는 기판처리장치
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
JP6356415B2 (ja) 2013-12-16 2018-07-11 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102386812B1 (ko) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR102292661B1 (ko) * 2014-06-20 2021-08-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104835876B (zh) * 2015-04-27 2018-01-05 北京金晟阳光科技有限公司 气体均匀布气装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI571529B (zh) * 2015-12-18 2017-02-21 國立清華大學 具導流板之封閉式流道反應槽系統
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017200696A1 (en) 2016-05-20 2017-11-23 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102493945B1 (ko) 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
WO2019033052A1 (en) 2017-08-11 2019-02-14 Applied Materials, Inc. APPARATUS AND METHODS FOR IMPROVING CHEMICAL VAPOR PHASE (CVD) DEPOSITION UNIFORMITY
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP1605832S (de) 2017-11-06 2018-06-04
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR101943375B1 (ko) * 2017-11-30 2019-01-30 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
EP3784815A4 (de) 2018-04-27 2021-11-03 Applied Materials, Inc. Schutz von komponenten vor korrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210138119A (ko) 2019-04-08 2021-11-18 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트 프로파일들을 개질하고 임계 치수들을 튜닝하기 위한 방법들
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (de) 2019-04-26 2023-01-18 Applied Materials, Inc. Verfahren zum schutz von luft- und raumfahrtkomponenten gegen korrosion und oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
SG11202112203VA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Dynamic multi zone flow control for a processing system
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242710A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation Showerhead insert for uniformity tuning
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102505474B1 (ko) 2019-08-16 2023-03-03 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN114901860A (zh) * 2019-11-08 2022-08-12 应用材料公司 用于气体输送调节的腔室部件
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885691A (zh) * 2019-11-29 2021-06-01 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR102396430B1 (ko) * 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (de) 2020-07-03 2023-05-10 Applied Materials, Inc. Verfahren zur sanierung von luft- und raumfahrtkomponenten
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114293174A (zh) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115513033A (zh) * 2022-09-09 2022-12-23 江苏微导纳米科技股份有限公司 一种喷淋组件、半导体设备及晶片的加工方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS63262469A (ja) 1987-04-17 1988-10-28 Fujitsu Ltd ガス供給装置
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
JPH0456770A (ja) 1990-06-25 1992-02-24 Hitachi Electron Eng Co Ltd プラズマcvd装置のクリーニング方法
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
EP0821395A3 (de) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma Bearbeitungsgerät
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
JP3036477B2 (ja) 1997-07-31 2000-04-24 日本電気株式会社 半導体製造装置
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system

Also Published As

Publication number Publication date
US6245192B1 (en) 2001-06-12
CN1359531A (zh) 2002-07-17
EP1200981A1 (de) 2002-05-02
CN1238881C (zh) 2006-01-25
KR100697158B1 (ko) 2007-03-21
AU5608700A (en) 2001-01-22
KR20020028921A (ko) 2002-04-17
IL147033A0 (en) 2002-08-14
EP1200981B1 (de) 2007-09-05
TW460915B (en) 2001-10-21
US6432831B2 (en) 2002-08-13
WO2001003159A9 (en) 2002-05-02
JP4732646B2 (ja) 2011-07-27
DE60036291D1 (de) 2007-10-18
JP2003504841A (ja) 2003-02-04
US20010027026A1 (en) 2001-10-04
WO2001003159A1 (en) 2001-01-11

Similar Documents

Publication Publication Date Title
DE60036291T2 (de) Gasverteilungsvorrichtung für die halbleiterbearbeitung
DE69734619T2 (de) Verfahren mit einem induktiv gekoppelten Plasmareaktor
DE69635972T2 (de) Plasma-Ätz-Verfahren
DE69635640T2 (de) Plasmabearbeitungsgerät
DE60033312T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE60313861T2 (de) Veränderbare Temperaturprozesse für verstellbare elektrostatische Haltevorrichtung
DE69928289T2 (de) Ätzkammern mit plasma dichte und geringer kontamination und herstellungsverfahren derselben
DE602004006639T2 (de) Verfahren zur herstellung einer elektrostatischen mems-einspannvorrichtung
DE69736977T2 (de) Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger
DE112011101976B4 (de) Verfahren für das selektive Ätzen eines Isolatorstapels für einen Metallverbinder
DE10083204B3 (de) Plasmaprozesskammer und Bearbeitungsverfahren darin
DE69631523T2 (de) Mehrschichtiger elektrostatischer substrathalter und verfahren zu seiner herstellung
DE102012206598B4 (de) Herstellung von metall-hartmasken
DE102007063232B4 (de) Verfahren zum Polieren eines Substrats
DE202010012763U1 (de) Festgeklemmte monolithische Gasverteilungselektrode
DE102005014748B4 (de) Technik zum elektrochemischen Abscheiden einer Legierung mit chemischer Ordnung
DE69820041T2 (de) Verfahren und geraet zur ueberwachung von niederschlaege auf der innenoberflaeche einer plasmabarbeitungskammer
DE112008003029T5 (de) Werkstückträger mit Fluidzonen zur Temperatursteuerung
DE602004003365T2 (de) Halterungssystem einsetzbar über einen breiten temperaturbereich
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
DE69815163T2 (de) Verfahren und Vorrichtung zur Abscheidung von Titanschichten
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE4403553C2 (de) Elektronen-Zyklotron-Resonanz-Apparatur
DE10208166A1 (de) Verfahren zur Herstellung von Metallleitungen mit verbesserter Gleichförmigkeit auf einem Substrat
DE102004057762B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur mit Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet

Legal Events

Date Code Title Description
8364 No opposition during term of opposition