DE60030480T2 - Gerät und verfahren zum selektiven verdichten von testergebnissen - Google Patents
Gerät und verfahren zum selektiven verdichten von testergebnissen Download PDFInfo
- Publication number
- DE60030480T2 DE60030480T2 DE60030480T DE60030480T DE60030480T2 DE 60030480 T2 DE60030480 T2 DE 60030480T2 DE 60030480 T DE60030480 T DE 60030480T DE 60030480 T DE60030480 T DE 60030480T DE 60030480 T2 DE60030480 T2 DE 60030480T2
- Authority
- DE
- Germany
- Prior art keywords
- test
- compactor
- scan chains
- scan
- register
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
- G01R31/318544—Scanning methods, algorithms and patterns
- G01R31/318547—Data generators or compressors
Description
- Technisches Gebiet
- Diese Erfindung bezieht sich allgemein auf das Testen integrierter Schaltungen und insbesondere auf die Kompaktierung von Testantworten, die beim Testen auf Fehler in integrierten Schaltungen verwendet werden.
- Hintergrund
- Während integrierte Schaltungen mit immer höheren Niveaus der Schaltungsdichte hergestellt werden, werden effiziente Testschemata wichtiger, die eine sehr hohe Fehlüberdeckung sicherstellen, während sie die Testkosten und den zusätzlichen Chip-Platzbedarf minimieren. Allerdings wird es mit herkömmlichen Testparadigmen schwieriger, eine hohe Fehlüberdeckung mehrerer Typen von Fehlermodellen zu erzielen, während die Komplexität der Schaltungen weiter zunimmt. Diese Schwierigkeit ergibt sich aus mehreren Gründen. Zunächst haben größere integrierte Schaltungen ein sehr hohes und weiter steigendes Logik/Anschlussstift-Verhältnis, das bei den Chipanschlußstiften einen Testdatenübertragungs-Engpaß erzeugt. Zweitens erfordern größere Schaltungen ein ungeheuer großes Volumen an Testdaten, die daraufhin in einem externen Testgerät gespeichert werden müssen. Drittens erfordert das Anlegen der Testdaten an eine große Schaltung eine zunehmend lange Testanwendungszeit. Außerdem kann viertens das gegenwärtige externe Testgerät solche größeren Schaltungen nicht bei ihrer Betriebsgeschwindigkeit testen.
- Integrierte Schaltungen werden gegenwärtig unter Verwendung einer Anzahl strukturierter Entwurf-für-Testfähigkeit-Techniken (DFT-Techniken) getestet. Diese Techniken beruhen auf dem allgemeinen Konzept, alle oder einige Zustandsvariablen (Speicherelemente wie Flipflops und Zwischenspeicher) direkt steuerbar und beobachtbar zu machen. Falls dies eingerichtet werden kann, kann eine Schaltung, soweit es das Testen von Kombinationsfehlern betrifft, als ein Kombinationsnetz oder nahezu als ein Kombinationsnetz behandelt werden. Die am häufigsten verwendete DFT-Methodik beruht auf Scan-Ketten. Wie im Patent der Vereinigten Staaten Nr. 4.503.537 gezeigt ist, nimmt sie an, daß während des Testens alle (oder nahezu alle) Speicherelemente zu einem oder zu mehreren Schieberegistern verbunden sind. Eine Schaltung, die für den Test entworfen worden ist, hat zwei Betriebsarten: eine Normalbetriebsart und eine Testbetriebsart oder Scan-Betriebsart. In der Normalbetriebsart führen die Speicherelemente ihre regulären Funktionen aus. In der Scan-Betriebsart werden die Speicherelemente zu Scan-Zellen, die verbunden sind, um eine Anzahl von Schieberegistern zu bilden, die Scan-Ketten genannt werden. Diese Scan-Ketten werden verwendet, um eine Menge von Testmustern in die Schaltung zu schieben und um Schaltungs- oder Testantworten auf die Testmuster herauszuschieben. Diese Testantworten werden daraufhin mit fehlerfreien Antworten verglichen, um zu bestimmen, ob die Schaltung im Test (CUT) richtig arbeitet.
- Die Scan-Entwurfs-Methodik hat wegen ihrer einfachen automatischen Testmustererzeugung (ATPG) und Silicium-Austestfähigkeiten umfassende Anwendung erlangt. ATPG-Software-Hilfsmittel sind heute so effizient, daß es möglich ist, Testsätze (eine Sammlung von Testmustern) zu erzeugen, die eine fast vollständige Fehlerüberdeckung mehrerer Typen von Fehlermodellen einschließlich Hängenbleib-, Übergangs-, Wegverzögerungsfehlern und Überbrückungsfehlern sicherstellen. Wenn sich ein ATPG-Hilfsmittel auf einen besonderen potentiellen Fehler in einer Schaltung konzentriert, müssen üblicherweise nur eine kleine Anzahl von Scan-Zellen (deterministisch spezifizierte Zellen), z.B. 2–5%, spezifiziert werden, um den besonderen Fehler zu erfassen. Die verbleibenden Scan-Zellen in den Scan-Ketten (zufällig spezifizierte Zellen) werden mit zufälligen Bitwerten gefüllt. Auf diese Weise wird das Muster vollständig spezifiziert, wobei wahrscheinlicher einige zusätzliche Fehler erfaßt werden können, und kann in einem Tester gespeichert werden.
-
1 ist ein Blockschaltplan eines herkömmlichen Systems10 zum Testen digitaler Schaltungen mit Scan-Ketten. In der Scan-Betriebsart legt ein externes automatisches Testgerät (ATE) oder ein Tester12 über Scan-Ketten18 in der Schaltung eine Menge vollständig spezifizierter Testmuster14 einzeln an eine CUT16 an. Daraufhin wird die Schaltung unter Verwendung des Testmusters als Eingabe in der Normalbetriebsart betrieben, wobei die Testantwort auf die Testmuster in den Scan-Ketten gespeichert wird. Während die Schaltung wieder in der Scan-Betriebsart ist, wird die Antwort daraufhin zu dem Tester12 geleitet, der die Antworten, ebenfalls einzeln, mit einer fehlerfreien Referenzantwort20 vergleicht. Für große Schaltungen wird dieser Zugang wegen großer Testsatzgrößen und langer Testanwendungs zeiten undurchführbar. Es ist berichtet worden, daß das Volumen der Testdaten in einem großen Entwurf ein Kilobit pro einzelnes Logikgatter übersteigen kann. Die wesentliche Beschränkung dieses Zugangs ist, daß er zum Testen einer komplexen Schaltung einen teuren, speicheraufwändigen Tester und eine lange Testzeit erfordert. - Diese Zeit- und Speicherbeschränkungen können in gewissem Umfang durch Annahme eines Systems des eingebauten Selbsttests (BIST-Systems) überwunden werden, wie es in
2 gezeigt ist. Im BIST ist zusätzliche On-Chip-Schaltungsanordnung enthalten, um Testmuster zu erzeugen, Testantworten zu bewerten und den Test zu steuern. Zum Beispiel wird ein Pseudozufallsmustergenerator21 verwendet, um die Testmuster zu erzeugen, anstatt deterministische Testmuster zu haben. Zusätzlich wird ein Mehreingangs-Signaturregister (MISR)22 verwendet, um aus Testantworten eine resultierende Signatur zu erzeugen und zu speichern. Im herkömmlichen Logik-BIST, wo als Testmuster Pseudozufallsmuster verwendet werden, können 95–96% Überdeckung von Hängenbleibfehlern erzielt werden, sofern Testpunkte zum Adressieren zufallsmusterresistenter Fehlern genutzt werden. Durchschnittlich können je 1000 Gatter einer oder zwei Testpunkte erforderlich sein. Im BIST pflanzen sich alle Antworten zu beobachtbaren Ausgaben fort, wobei das Signaturregister bekannt sein muß. Unbekannte Werte verfälschen die Signatur und müssen daher durch zusätzliche Testlogik begrenzt werden. Obgleich Pseudozufallstestmuster einen erheblichen Prozentsatz von Hängenbleibfehlern zu überdecken scheinen, müssen diese Muster durch deterministische Muster ergänzt werden, die sich auf die verbleibenden zufallsmusterresistenten Fehler konzentrieren. Sehr häufig übersteigt der zum Speichern der Zusatzmuster im BIST erforderliche Testerspeicher 50% des Speichers, der in dem oben beschriebenen deterministischen Zugang erforderlich ist. Eine weitere Beschränkung des BIST ist, daß durch Pseudozufallsmuster andere Typen von Fehlern wie etwa Übergangs- oder Wegverzögerungsfehler nicht effizient behandelt werden. Wegen der Komplexität der Schaltungen und der im BIST inhärenten Beschränkungen ist es äußerst schwierig, wenn nicht unmöglich, eine Menge von Testmustern bereitzustellen, die schwer zu testende Fehler vollständig überdecken. - Einige der DFT-Techniken enthalten Kompaktierer zum Komprimieren der Testantworten aus den Scan-Ketten. Allgemein gibt es zwei Typen von Kompaktierern: Zeitkompaktierer und räumliche Kompaktierer. Zeitkompaktierer besitzen üblicherweise eine Rückkopplungsstruktur mit Speicherelementen zum Speichern einer Signatur, die die Ergebnisse des Tests reprä sentiert. Beispiele von Zeitkompaktierern, die in einer BIST-Struktur verwendet werden, sind im U.S.-Patent 5,831,992 beschrieben. Nachdem die Signatur fertiggestellt ist, wird sie gelesen und mit einer fehlerfreien Signatur verglichen, um zu bestimmen, ob in der integrierten Schaltung ein Fehler vorhanden ist. Räumliche Kompaktierer komprimieren allgemein eine Sammlung von Bits (die ein Vektor genannt wird) von Scan-Ketten. Die kompaktierte Ausgabe wird in Echtzeit analysiert, während die Testantworten aus den Scan-Ketten herausgeschoben werden. Wie im U.S.-Patent 5,790,562 und in einigen weiteren Arbeiten, die auf multiplexierten Paritätsbäumen oder nichtlinearen Bäumen, die Elementargatter wie etwa UND-, ODER-, NAND- und NOR-Gatter umfassen, beruhen, gezeigt ist, können räumliche Kompaktierer für eine gegebene Schaltung im Test angepaßt werden, um die Aliasing-Erscheinung zu verringern.
- Lineare räumliche Kompaktierer sind aus Exklusiv-ODER-(XOR-) oder aus Exklusiv-NOR-(XNOR-)Gattern aufgebaut, um aus den m primären Ausgaben der Schaltung im Test n Testausgaben zu erzeugen, wobei n < m ist. Lineare Kompaktierer unterscheiden sich von nichtlinearen Kompaktierern dadurch, daß sich der Ausgangswert eines linearen Kompaktierers bei einer Änderung in nur einer Eingabe in den Kompaktierer ändert. Bei nichtlinearen Kompaktierern kann eine Änderung in einem Eingabewert bei der Ausgabe des Kompaktierers unerfaßt bleiben. Allerdings können sogar lineare Kompaktierer Fehler in einer integrierten Schaltung maskieren. Zum Beispiel ist eine Grundcharakteristik eines XOR-(Paritäts-)Baums, daß sich irgendeine Kombination einer ungeraden Anzahl von Fehlern an seinen Eingängen zu ihren Ausgängen fortpflanzt, während irgendeine Kombination einer geraden Anzahl von Fehlern unerfaßt bleibt.
- Ein idealer Kompaktierungsalgorithmus weist die folgenden Merkmale auf (1) Er ist leicht als Teil der On-Chip-Testschaltungsanordnung zu implementieren, (2) er ist kein begrenzender Faktor in Bezug auf die Testzeit, (3) er stellt eine logarithmische Kompression der Testdaten bereit und (4) er verliert keine Fehler betreffenden Informationen. Allerdings gibt es allgemein keinen bekannten Kompaktierungsalgorithmus, der alle obigen Kriterien erfüllt. Insbesondere ist schwer sicherzustellen, daß die von einer fehlerhaften Schaltung erhaltene komprimierte Ausgabe nicht die gleiche wie die der fehlerfreien Schaltung ist. Diese Erscheinung wird häufig als Fehlermaskierung oder Aliasing bezeichnet und hinsichtlich der Wahrscheinlichkeit ihres Auftretens gemessen. Ein Beispiel einer Fehlermaskierung tritt auf, wenn der räumliche Kompaktierer zwei Fehlereffekte gleichzeitig liest. Die mehreren Fehlereffekte heben sich gegenseitig weg, und die Kompaktiererausgabe ist dieselbe, wie wenn keine Fehler aufgetreten wären.
- Unbekannte Zustände sind ebenfalls problematisch für die Fehlerfassung. Ein unbekannter Zustand an einem oder an mehreren Eingängen eines XOR-Baums erzeugt an seinem Ausgang unbekannte Werte und maskiert folglich die Fortpflanzung von Fehlern an anderen Eingängen. Eine häufige Anwendung von Raumkompaktierern ist das Kombinieren der Beobachtungspunkte, die als Teil der Entwurf-für-Test-Methodik in die CUT eingeführt werden. Außerdem können die räumlichen Kompaktierer verwendet werden, um durch Begrenzung der Anzahl ihrer parallelen Eingänge die Größe der Zeitkompaktierer zu verringern.
- Die populärsten in der Praxis verwendeten Zeitkompaktierer sind zweifellos Schieberegister mit linearer Rückkopplung (LFSR). In seiner Grundform ist das LFSR (siehe
3 ) so geändert, daß es eine externe Eingabe annimmt, um als ein Polynomteiler zu wirken. In4 ist eine alternative Implementierung (Typ-II-LFSR genannt) gezeigt. Die durch ein Polynom dargestellte Eingabefolge wird durch das charakteristische Polynom des LFSR dividiert. Während die Division fortschreitet, erscheint an dem Ausgang des LFSR die Quotientenfolge, während der Rest in dem LFSR gehalten wird. Wenn das Testen abgeschlossen ist, kann der Inhalt des LFSR als eine Signatur behandelt werden. -
5 zeigt einen weiteren Zeitkompaktierer (der eine natürliche Erweiterung des Kompaktierers auf LFSR-Grundlage ist), der ein Mehreingangs-LFSR genannt wird und auch als ein Mehreingangs-Signaturregister (MISR) bekannt ist. Wie etwa in dem U.S.-Patent 4,503,537 und in dem U.S.-Patent 5,612,963 gezeigt ist, wird das MISR zum Testen von Schaltungen in der Mehr-Scan-Ketten-Umgebung verwendet. MSIR weisen eine Anzahl zu den Flipflops hinzugefügter XOR-Gatter auf. Die CUT-Scan-Ketten-Ausgänge sind dann mit diesen Gattern verbunden. -
6 zeigt ein Beispiel eines räumlichen Pipeline-Kompaktierers mit einer Bank von Flip-flop-Trennstufen von XOR-Gattern. Ein Takt (nicht gezeigt) steuert die Flipflops und erlaubt vor dem Lesen der kompaktierten Ausgabe eine Ein-Zyklus-Verzögerung. - Die Beschränkung räumlicher Kompaktierer wie etwa des in
6 gezeigten ist, daß unbekannte Zustände die Fehlerüberdeckung verringern können. Zeitkompaktierer, wie sie etwa in den3 ,4 und5 gezeigt sind, sind vollständig unfähig, unbekannte Zustände zu behandeln, da ein unbekannter Zustand an irgendeinem Eingang die durch den Kompaktierer erzeugte komprimierte Ausgabe verfälschen kann. Sowohl bei Zeitkompaktierern als auch bei räumlichen Kompaktierern können Mehrfachfehlereffekte die Fehlerüberdeckung verringern. Außerdem haben diese Kompaktierer eine beschränkte Fähigkeit, den Fehler zu lokalisieren, falls in der integrierten Schaltung ein Fehlereffekt erfaßt wird. - Somit ist es eine Aufgabe der Erfindung, einen effizienten Kompaktierer zu schaffen, der auswählen kann, welche Scan-Ketten analysiert werden. Diese Fähigkeit auszuwählen ermöglicht, daß der Kompaktierer selbst dann eine gültige komprimierte Ausgabe erzeugt, wenn er an seinen Eingängen unbekannte Zustände oder Mehrfachfehlereffekte empfängt. Außerdem kann der Kompaktierer diagnostisch verwendet werden, um den Ort von Fehlern in einer integrierten Schaltung zu bestimmen.
- Zusammenfassung
- Es wird ein Kompaktierer offenbart, der Testantworten in einer oder in mehren Scan-Ketten auswählt, um sie zu einer komprimierten Ausgabe zu kompaktieren, während eine oder mehrere weitere Testantworten maskiert werden. Somit können Testantworten, die unbekannte Zustände enthalten, maskiert werden, um sicherzustellen, daß der Kompaktierer eine gültige komprimierte Ausgabe erzeugt. Zusätzlich können Testantworten maskiert werden, um sicherzustellen, daß keine Fehlermaskierung auftritt. Außerdem kann der Kompaktierer Testantworten von einzelnen Scan-Ketten analysieren, um Fehler in einer integrierten Schaltung diagnostisch aufzufinden.
- Ein Kompaktierer enthält eine Selektorschaltungsanordnung, die steuert, welche Scan-Ketten analysiert werden. Die Selektorschaltungsanordnung leitet gewünschte Testantworten von Scan-Ketten an einen Kompaktierer, während sie andere Testantworten maskiert. In einer Ausführungsform kann die Selektorschaltungsanordnung ein Identifizierungsregister enthalten, das mit eine eindeutigen Kennung einer Scan-Kette geladen wird. Anhand des Zustands eines Flag-Registers wird entweder nur die Testantwort, die in der identifizierten Scan-Kette gespeichert ist, an den Kompaktierer übergeben oder werden alle Testantworten mit Ausnahme der Testantwort, die mit der identifizierten Scan-Kette assoziiert ist, an den Kompaktierer übergeben.
- In einer weiteren Ausführungsform enthält die Selektorschaltungsanordnung ein Flag, das steuert, ob nur ausgewählte Testantworten kompaktiert werden oder ob alle Testantworten kompaktiert werden.
- In einer abermals weiteren Ausführungsform wird ein Steuerregister verwendet, das jede in der Kompaktierung enthaltene Scan-Kette einzeln identifiziert. In dieser Ausführungsform kann in der Kompaktierung eine variable Anzahl (z.B. 1, 2, 3, 4 ...) von Testantworten in Scan-Ketten enthalten sein. Alternativ kann das Steuerregister eine eindeutige Kennung speichern, die decodiert wird, um eine Testantwort auszuwählen, die kompaktiert wird.
- In einer nochmals weiteren Ausführungsform enthält die Selektorschaltungsanordnung eine Steuerleitung, die Bits von Scan-Ketten auf taktzyklusbezogener Grundlage maskiert. Folglich können nur einzelne Bits einer Testantwort maskiert werden, während die verbleibenden Bits der Testantwort kompaktiert werden.
- Die vorstehenden und weitere Aufgaben, Merkmale und Vorteile der Erfindung gehen besser aus der folgenden ausführlichen Beschreibung hervor, die anhand der folgenden Zeichnung fortfährt.
- Beschreibung von bevorzugten Ausführungsbeispielen
- Die Erfindung wird im folgenden anhand von Ausführungsbeispielen unter Bezugnahme auf Figuren einer Zeichnung näher erläutert. Hierbei zeigen:
-
1 ein Blockschaltplan eines Systems des Standes der Technik zum Testen integrierter Schaltungen; -
2 ein Blockschaltplan eines Systems des Standes der Technik, das ein eingebautes Testsystem verwendet; -
3 ein Stromlaufplan eines Typ-I-LFSR-Kompaktierers des Standes der Technik; -
4 ein Stromlaufplan eines Typ-II-LFSR-Kompaktierers des Standes der Technik; -
5 ein Stromlaufplan einer Architektur des Standes der Technik eines Mehreingangs-Signaturregister-Kompaktierers (MISR-Kompaktierers), der gezeigt ist, wie er Eingaben von Scan-Ketten empfängt; -
6 ein Stromlaufplan eines räumlichen Pipeline-Kompaktierers des Standes der Technik; -
7 ein Blockschaltplan eines selektiven Kompaktierers gemäß der Erfindung; -
8 eine Ausführungsform eines selektiven Kompaktierers, der eine Selektorschaltungsanordnung und einen räumlichen Kompaktierer zum Maskieren von Testantworten von Scan-Ketten enthält; -
9 eine weitere Ausführungsform eines selektiven Kompaktierers, der eine Selektorschaltungsanordnung und einen Zeitkompaktierer zum Maskieren von Testantworten von Scan-Ketten enthält; -
10 eine abermals weitere Ausführungsform eines selektiven Kompaktierers, der eine Selektorschaltungsanordnung und einen hintereinandergeschalteten Kompaktierer zum Maskieren einzelner Bits von Testantworten von Scan-Ketten enthält; -
11 eine weitere Ausführungsform eines selektiven Kompaktierers, der eine Selektorschaltungsanordnung und mehrere Kompaktierer zum Maskieren von Testantworten enthält; -
12 ist eine weitere Ausführungsform eines selektiven Kompaktierers mit einer Selektorschaltungsanordnung, die irgendeine variable Anzahl von Testantworten aus den Scan-Ketten maskiert; -
13 eine weitere Ausführungsform eines selektiven Kompaktierers mit programmierbarer Auswahl von Scan-Ketten; und -
14 ein Ablaufplan eines Verfahrens zum selektiven Kompaktieren von Testantworten von Scan-Ketten. - Ausführliche Beschreibung
-
7 zeigt einen Blockschaltplan einer integrierten Schaltung24 , die mehrere Scan-Ketten26 in einer Schaltung28 im Test enthält. Mit den Scan-Ketten26 ist ein selektiver Kompaktierer30 gekoppelt, der eine Selektorschaltung32 und einen Kompaktierer36 enthält. Da die Scan-Ketten26 mit vorbestimmten Testmustern von einem ATE (nicht gezeigt) geladen wer den, ist das veranschaulichte System eine deterministische Testumgebung. Die Testmuster werden an die Kernlogik der integrierten Schaltung angelegt, um Testantworten zu erzeugen, die ebenfalls in den Scan-Ketten26 gespeichert werden (wobei jede Scan-Kette eine Testantwort enthält). Die Testantworten enthalten Informationen, die mit Fehlern in der Kernlogik der integrierten Schaltung24 assoziiert sind. Leider können die Testantworten ebenfalls unbekannte Zustände und/oder Mehrfachfehlereffekte enthalten, die die effektive Überdeckung der Testantworten negativ beeinflussen können. Falls z.B. eine Speicherzelle nicht initialisiert wird, kann sie einen unbekannten Zustand zu der Testantwort fortpflanzen. Die Testantworten werden zu der Selektorschaltung32 des selektiven Kompaktierers30 geleitet. Die Selektorschaltung32 enthält eine Steuerlogik34 , die steuert, welche der Testantworten über die Selektorschaltung zu dem Kompaktierer36 geleitet werden. Die Steuerlogik34 kann die Selektorschaltung32 in der Weise steuern, daß Testantworten mit unbekannten Zuständen oder Mehrfachfehlereffekten maskiert werden. Die Steuerlogik wird durch eine oder mehrere Steuerleitungen gesteuert. Obgleich dies nicht gezeigt ist, können die Steuerleitungen direkt mit einem Kanal eines ATE verbunden sein oder mit einer weiteren Logik in der integrierten Schaltung verbunden sein. Zum Beispiel können die Steuerleitungen mit einer linearen Maschine endlicher Zustände (z.B. LSFR-Typ 1, LSFR-Typ 2, Zellularautomaten usw.) in Kombination mit einem Phasenschieber gekoppelt sein. Der Kompaktierer36 empfängt die gewünschten Testantworten von der Selektorschaltung32 und kompaktiert die Antworten zur Analyse zu einer komprimierten Ausgabe. Die komprimierte Ausgabe wird mit einer gewünschten Ausgabe verglichen, um zu bestimmen, ob die Schaltung im Test irgendwelche Fehler enthält. Die Selektorschaltungsanordnung, der Kompaktierer und die Schaltung im Test sind alle in einer einzigen integrierten Schaltung gezeigt. Allerdings können sich die Selektorschaltungsanordnung und der Kompaktierer außerhalb der integrierten Schaltung wie etwa in dem ATE befinden. -
8 zeigt ein Beispiel einer integrierten Schaltung40 , die einen selektiven Kompaktierer42 enthält, der mit mehreren Scan-Ketten44 in einer Schaltung im Test gekoppelt ist. Obgleich nur 8 Scan-Ketten gezeigt sind, kann die Testschaltung40 irgendeine Anzahl von Scan-Ketten enthalten. Der selektive Kompaktierer42 enthält eine Selektorschaltung46 und einen Kompaktierer48 . Der Kompaktierer48 ist ein linearer räumlicher Kompaktierer, wobei aber mit der Selektorschaltung46 , wie im Folgenden weiter beschrieben wird, irgendein herkömmliches paralleles Test-Antwort-Kompaktierungsschema verwendet werden kann. Die Selektor schaltung46 enthält eine Steuerlogik50 , die ein Eingangsregister52 enthält, das in diesem Beispiel als ein Schieberegister gezeigt ist. Das Eingangsregister52 besitzt einen Takteingang54 und einen Dateneingang56 . Bei jedem Zyklus eines Takts an dem Takteingang54 werden Daten vom Dateneingang56 in das Eingangsregister52 geschoben. Das Register52 besitzt mehrere Felder einschließlich eines Scan-Identifikationsfelds58 , eines "Eine/Nicht-eine"-Felds60 und eines "Nicht-alle/Alle"-Felds62 . Ein Steuerregister64 besitzt dem Eingangsregister52 entsprechende Bitstellen, wobei das Steuerregister64 beim Empfang eines Aktualisierungssignals auf einer Aktualisierungsleitung66 jede Bitstelle vom Eingangsregister52 parallel lädt. Somit enthält das Steuerregister64 ebenfalls Felder58 ,60 und62 . Obgleich das Steuerregister64 allgemein als ein Schieberegister gezeigt ist, ist die Aktualisierungsleitung66 tatsächlich eine Steuerleitung zu einem (nicht gezeigten) Multiplexer, der erlaubt, daß jede Bitstelle im Register64 ihre eigenen Daten in jedem Taktzyklus neu lädt, wenn die Aktualisierungsleitung deaktiviert ist. Wenn die Aktualisierungsleitung aktiviert ist, leitet der Multiplexer den Inhalt des Registers52 zu entsprechenden Bitstellen des Steuerregisters64 . Das Steuerregister64 wird dann synchron mit dem Takt geladen. - Die Selektorschaltung
46 enthält allgemein bei68 gezeigte Logikgatter, die mit dem Steuerregister64 gekoppelt sind. Die Logikgatter68 sprechen auf die verschiedenen Felder58 ,60 ,62 des Steuerregisters64 an. Zum Beispiel enthält das Scan-Identifikationsfeld58 eine ausreichende Anzahl von Bits, um irgendeine der Scan-Ketten44 eindeutig zu identifizieren. Das Scan-Identifikationsfeld58 des Steuerregisters64 ist mit einem Decodierer verbunden, der bei70 als eine Reihe von UND-Gattern und Invertern gezeigt ist. Der Decodierer70 stellt an einem Decodiererausgang je nach dem Scan-Identifikationsfeld eine logische Eins bereit, während die anderen Ausgaben des Decodierers eine logische Null sind. - Das Eine/Nicht-eine-Feld
60 des Steuerregisters64 wird verwendet, um entweder nur eine Testantwort, die mit der in dem Scan-Identifikationsfeld58 identifizierten Scan-Kette assoziiert ist, zu leiten oder alle Testantworten mit Ausnahme der in dem Scan-Identifikationsfeld identifizierten Scan-Kette zu leiten. Das Alle/Nicht-alle-Feld62 ist effektiv ein Überschreiben der anderen Felder. Insbesondere steuert das Feld62 , ob alle Testantworten in den Scan-Ketten44 zu dem Kompaktierer48 geleitet werden oder ob nur die Testantworten, wie sie durch das Scan-Identifikationsfeld58 und das Eine/Nicht-eine-Feld60 gesteuert werden. Wenn das Feld62 gelöscht ist, werden nur Testantworten, wie sie durch das Scan- Identifikationsfeld58 und durch das Feld60 gesteuert werden, zu dem Kompaktierer48 geleitet. Umgekehrt werden alle Testantworten von allen Scan-Ketten44 unabhängig von dem Scan-Identifikationsfeld58 und dem Eine/Nicht-eine-Feld60 zu dem Kompaktierer48 geleitet, falls das Feld62 auf eine logische Eins eingestellt ist. -
9 zeigt eine weitere Ausführungsform eines selektiven Kompaktierers80 , der mit Scan-Ketten82 gekoppelt ist. Der selektive Kompaktierer enthält eine Selektorschaltung84 , die gleich der in Bezug auf8 beschriebenen Selektorschaltung46 ist. Außerdem enthält der selektive Kompaktierer80 einen Zeitkompaktierer84' , der im Gebiet als ein zirkulärer Kompaktierer gut verstanden ist. Der Zeitkompaktierer84' enthält mehrere Flipflops86 und XOR-Gatter88 , die in Reihe geschaltet sind. Mit den Flipflops86 ist eine Rücksetzleitung90 zum Zurücksetzen des Kompaktierers84' gekoppelt. Die Rücksetzleitung kann während des Lesens der Scan-Ketten mehrmals zurückgesetzt werden. Das Ausgangsregister92 stellt bei Aktivierung einer Leseleitung94 eine gültige Ausgabe des Kompaktierers bereit. - Sowohl anhand von
8 als auch von9 werden die Scan-Ketten82 im Betrieb durch das Schieben von Daten in Scan-Kanälen (nicht gezeigt) von einem ATE (nicht gezeigt) seriell mit vorbestimmten Testmustern geladen. Gleichzeitig wird das Eingangsregister52 mit einer Scan-Identifikation und mit den Steuer-Flags in den Feldern60 ,62 geladen. Die Testmuster in den Scan-Ketten44 ,82 werden an die Schaltung im Test angelegt und die Testantworten in den Scan-Ketten gespeichert. Vor dem Schieben der Testantworten aus den Scan-Ketten wird die Aktualisierungsleitung66 aktiviert, so daß die Felder58 ,60 ,62 zu dem Steuerregister64 verschoben werden. Dadurch steuert das Steuerregister die Logikgatter68 zum Auswählen der Testantworten, die zu den Kompaktierern48 ,84 geleitet werden. Falls das Feld62 in einem solchen Zustand ist, daß die Auswahl nicht überschrieben wird, werden bestimmte der Testantworten maskiert. In dem Beispiel aus8 stellt der räumliche Kompaktierer48 die entsprechende komprimierte Ausgabe seriell und gleichzeitig mit dem Schieben der Testantworten aus den Scan-Ketten bereit. Umgekehrt stellt der selektive Kompaktierer80 in9 die geeignete komprimierte Ausgabe erst bereit, wenn die Leseleitung94 aktiviert wird. Der selektive Kompaktierer80 stellt im Gegensatz zu einer seriellen eine parallele komprimierte Ausgabe bereit. Der selektive Kompaktierer80 kann während des Auslesens der Testantworten mehrmals (z.B. jeden achten Taktzyklus) gelesen werden. -
10 zeigt eine weitere Ausführungsform eines selektiven Kompaktierers100 . Der selektive Kompaktierer enthält wieder eine Selektorschaltung102 und einen Kompaktierer104 . Der Kompaktierer104 ist ein Typ eines räumlichen Kompaktierers, der ein hintereinandergeschalteter Kompaktierer genannt wird. N Scan-Ketten106 enthalten M Scan-Zellen108 , von denen jede ein Bit der Testantwort speichert. Die Selektorschaltung102 enthält Logikgatter110 , die in diesem Fall als UND-Gatter gezeigt sind und mit einer Steuerleitung112 gekoppelt sind. Der Kompaktierer104 ist ein Zeitkompaktierer mit einem einzelnen seriellen Ausgang114 . Die Steuerleitung112 wird zum Maskieren der Testantworten verwendet. Insbesondere maskiert die Steuerleitung112 entweder alle entsprechenden Scan-Zellen in den Scan-Ketten oder erlaubt, daß alle Scan-Zellen zu dem Kompaktierer80 geleitet werden. Die Steuerleitung112 arbeitet so, daß sie eher jede Spalte von Scan-Zellen maskiert als eine gesamte Scan-Kette zu maskieren. Somit können auf taktzyklusbezogener Grundlage einzelne Bits aus irgendeiner Scan-Kette maskiert werden, während die verbleibenden Bits dieser Scan-Kette an den Kompaktierer104 angelegt werden. Bei aktivierter Steuerleitung112 werden alle Bits aus den Scan-Ketten zu dem Kompaktierer geleitet. Bei deaktivierter Steuerleitung112 werden alle Bits aus den Scan-Ketten maskiert. Obgleich10 nur eine einzelne Steuerleitung zeigt, können zusätzliche Steuerleitungen verwendet werden, um verschiedene Gruppen von Scan-Ketten zu maskieren. Obgleich die Steuerleitung112 als aktiv hoch gezeigt ist, kann sie außerdem als aktiv tief konfiguriert sein. -
11 zeigt eine abermals weitere Ausführungsform des selektiven Kompaktierers120 . Ein automatisches Testgerät122 stellt Testmuster für die Scan-Ketten124 bereit. Die Scan-Ketten124 sind ein Teil der Schaltung im Test126 . Die Muster, die durch das ATE in die Scan-Ketten124 geladen werden, werden zum Erfassen von Fehlern in der Kernlogik der Schaltung126 verwendet. Die Testantworten werden in den Scan-Ketten124 gespeichert und auf serielle Weise zu dem selektiven Kompaktierer120 getaktet. Der selektive Kompaktierer enthält eine Selektorschaltung128 und einen Kompaktierer130 . Die Selektorschaltung128 enthält eine Steuerlogik, die ein Eingangsregister132 , mehrere Steuerregister134 ,136 und mehrere Decodierer137 und139 enthält. Das Register132 wird mit einem Muster von Bits geladen, die bei Aktivierung einer Aktualisierungsleitung (nicht gezeigt) zu den Steuerregistern134 ,136 verschoben werden. Die Steuerregister134 ,136 werden durch die Decodierer137 und139 gelesen und decodiert, um eines oder mehrere Logikgatter138 auszuwählen. Um die Decodierer137 und139 zu überschreiben und alle Testantworten zu dem Kompaktierer130 zu leiten, wird ein Flag140 verwendet. Obgleich nur ein einzelnes Flag140 gezeigt ist, können mehrere Flags verwendet werden, um die Decodierer getrennt zu steuern. In diesem Beispiel enthält der Kompaktierer130 mehrere räumliche Kompaktierer wie etwa die Kompaktierer142 und144 . Jedes Steuerregister kann mit verschiedenen Daten geladen werden, so daß die Kompaktierer142 ,144 unabhängig voneinander gesteuert werden können. -
12 zeigt eine abermals weitere Ausführungsform der vorliegenden Erfindung mit einem selektiven Kompaktierer150 . Die Steuerlogik152 steuert variabel, welche Testantworten maskiert und welche Testantworten kompaktiert werden. Somit aktiviert das Aktivieren der entsprechenden Bitstelle in der Steuerlogik152 das entsprechende mit diesem Bit assoziierte Logikgatter und erlaubt, daß die Testantwort zu dem Kompaktierer geleitet wird. Umgekehrt maskiert irgendein Bit, das nicht aktiviert wird, die entsprechende Testantwort. -
13 zeigt eine weitere Ausführungsform eines selektiven Kompaktierers156 , der eine Selektorschaltung158 und einen Kompaktierer160 enthält. In diesem Fall wird ein Eingangsschieberegister162 mit einer Bitstelle, die jeder Scan-Kette164 entspricht, verwendet, um die Scan-Ketten selektiv zu maskieren. An die Leitung166 wird ein Takt angelegt, um an die Datenleitung168 angelegte Daten seriell in das Schieberegister162 zu verschieben. Zur geeigneten Zeit wird eine Aktualisierungsleitung165 aktiviert, um die Daten von dem Schieberegister zu einem Steuerregister169 zu verschieben. Jede Bitstelle, die in dem Steuerregister169 aktiviert wird, erlaubt, daß eine Testantwort aus den Scan-Ketten164 zu dem Kompaktierer geleitet wird. Alle anderen Testantworten werden maskiert. Somit kann der selektive Kompaktierer irgendeine variable Anzahl von Testantworten maskieren. - Jede der oben beschriebenen Ausführungsformen kann als ein Diagnosehilfsmittel zum Auffinden von Fehlern in der Schaltung im Test verwendet werden. Zum Beispiel kann jede Testantwort durch Maskieren aller anderen Testantworten in den mit demselben Kompaktierer verbundene Scan-Ketten einzeln analysiert werden. Durch einzelnes Betrachten der Testantwort kann diejenige Bitstelle in der Testantwort bestimmt werden, die Fehlereffekte enthält.
-
14 zeigt einen Ablaufplan eines Verfahrens zum selektiven Kompaktieren von Testantworten. Im Prozeßblock170 lädt ein ATE vorbestimmte Testmuster in Scan-Ketten in einer integrierten Schaltung. Üblicherweise wird dieses Laden dadurch ausgeführt, daß die Testmu ster seriell in die Scan-Ketten geschoben werden. Die Testmuster werden an die Schaltung im Test angelegt (Prozeßblock172 ) und die Testantworten in den Scan-Ketten gespeichert (Prozeßblock174 ). Im Prozeßblock176 steuert die Selektorschaltung, welche Testantworten maskiert werden. Insbesondere steuert die Selektorschaltung, welche Scan-Ketten maskiert werden oder welche Bits in den Scan-Ketten maskiert werden. Zum Beispiel maskiert die Selektorschaltung in8 die gesamte Scan-Kette, die in dem Scan-Identifikationsfeld58 identifiziert ist. In10 sind nur einzelne Bits einer Scan-Kette maskiert. Auf jeden Fall maskiert die Selektorschaltung im Prozeßblock176 üblicherweise unbekannte Daten oder Mehrfachfehlereffekte, so daß sich der gewünschte Fehlereffekt zu dem Ausgang fortpflanzen kann (wobei in einigen Betriebsarten alle Testantworten zu dem Ausgang geleitet werden können). Falls die Selektorschaltung ein Steuerregister enthält, kann das Steuerregister gleichzeitig mit dem Laden der Testmuster in die Scan-Ketten geladen werden oder kann es vor dem Lesen der Testantworten geladen werden. Im Prozeßblock178 werden die Testantworten (von denen eine oder mehrere maskiert worden sind) zu dem Kompaktierer geleitet, wobei der Kompaktierer eine komprimierte Ausgabe erzeugt, die mit den Testantworten assoziiert ist. Im Prozeßblock180 wird die durch den Kompaktierer erzeugte komprimierte Ausgabe mit einer idealen Antwort verglichen. Falls sie angepaßt sind, wird angenommen, daß die integrierte Schaltung fehlerfrei ist. - Nachdem die Prinzipien der veranschaulichten Ausführungsformen veranschaulicht und beschrieben worden sind, ist für den Fachmann auf dem Gebiet klar, daß die Ausführungsformen in Bezug auf Anordnung und Einzelheit geändert werden können, ohne von diesen Prinzipien abzuweichen. Zum Beispiel können irgendwelche der veranschaulichten Kompaktierer mit irgendeiner der veranschaulichten Selektorschaltungen mit minimaler Änderung verwendet werden, um einen selektiven Kompaktierer zu erzeugen. Außerdem kann die Selektorschaltung unter Verwendung anderer Logikgatter leicht geändert werden, um die Auswahlfunktionalität zu erzielen. Obgleich die Aktualisierungsleitungen mit einer getrennten Bank von Flipflops gekoppelt gezeigt sind, können die Aktualisierungsleitungen statt dessen z.B. mit Eingangsregistern mit Dreizustandsausgängen zum Steuern der Logik in der Selektorschaltung gekoppelt werden. Obgleich die Scan-Ketten als serielle Schieberegister gezeigt sind, kann nochmals weiter eine Logik hinzugefügt werden, um Testantwortdaten parallel an den selektiven Komparator auszugeben. Obgleich mehrere räumliche und Zeitkompaktierer gezeigt wurden, können außerdem Kompaktierer mit Merkmalen sowohl von räumlichen als auch von Zeitkompaktierern verwendet werden. Tatsächlich kann mit der Selektorschaltungsanordnung irgendein herkömmlicher oder neu entwickelter Kompaktierer verwendet werden.
- Angesichts der vielen möglichen Ausführungsformen wird erkannt, daß die veranschaulichten Ausführungsformen nur Beispiele der Erfindung enthalten.
- Eher ist die Erfindung durch die folgenden Ansprüche definiert. Somit beanspruchen die Erfinder als die Erfindung alle diese Ausführungsformen, die im Umfang dieser Ansprüche liegen.
Claims (23)
- Vorrichtung zum selektiven Kompaktieren von Testantworten einer integrierten Schaltung (
24 ,40 ), umfassend: mehrere Scan-Ketten (26 ,44 ,82 ,106 ,124 ) in der integrierten Schaltung (24 ,40 ) zum Speichern von Testantworten, die Fehler in der integrierten Schaltung (24 ,40 ) anzeigen; mindestens einen räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) zum Komprimieren der in den Scan-Ketten (26 ,44 ,82 ,106 ,124 ) gespeicherten Testantworten; und eine zwischen die Scan-Ketten (26 ,44 ,82 ,106 ,124 ) und den räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) geschaltete Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ), die eine oder mehrere der aus den Scan-Ketten (26 ,44 ,82 ,106 ,124 ) zu dem räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) empfangenen Testanworten maskiert; wobei die Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ) ein Register (64 ,134 ,136 ,169 ) und einen an das Register (64 ,134 ,136 ,169 ) angekoppelten Decodierer (70 ,139 ,137 ) enthält, wobei der Decodierer (70 ,139 ,137 ) auf den Inhalt des Registers (64 ,132 ,134 ,169 ) anspricht, um zu steuern, ob die Testantworten aus den Scan-Ketten (26 ,44 ,82 ,106 ,124 ) zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) weitergeleitet werden. - Vorrichtung nach Anspruch 1, wobei die Selektorschaltung (
32 ,46 ,84 ,102 ,128 ,158 ) ein Register (64 ,134 ,136 ,169 ) enthält, das eine Identifikation einer der Scan-Ketten (26 ,44 ,82 ,106 ,124 ) und ein Flag speichert, das, wenn es aktiviert ist, es nur der Testantwort, die mit einer Scan-Kette (26 ,44 ,82 ,106 ,124 ) assoziiert ist, die in dem Register identifiziert ist, erlaubt, zu dem räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet zu werden, und das, wenn es deaktiviert ist, es allen Testantworten erlaubt, zu dem räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) weitergeleitet zu werden, mit Ausnahme der in dem Register (64 ,134 ,136 ,169 ) identifizierten Testantwort. - Vorrichtung nach einem der vorherigen Ansprüche, wobei jede Scan-Kette (
26 ,44 ,82 ,106 ,124 ) einen Ausgang aufweist und die Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ) eine Menge von Logikgattern mit an die Ausgänge der Scan-Ketten (26 ,44 ,82 ,106 ,124 ) angekoppelten ersten Eingängen, an eine oder mehrere Steuerleitungen angekoppelten zweiten Eingängen und an den räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) angekoppelten Ausgängen enthält, wobei, wenn die eine oder mehreren Steuerleitungen aktiviert sind, die Logikgatter Daten an den Ausgängen der Scan-Ketten (26 ,44 ,82 ,106 ,124 ) zu dem räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) leiten und wenn die eine oder mehreren Steuerleitungen deaktiviert sind, die Logikgatter verhindern, daß die Daten an den Ausgängen der Scan-Ketten (26 ,44 ,82 ,106 ,124 ) zu dem räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet werden. - Vorrichtung nach einem der vorhergehenden Ansprüche, wobei jede Scan-Kette (
26 ,44 ,82 ,106 ,124 ) einen Ausgang aufweist und die Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ) eine Menge von Logikgattern mit an die Ausgänge der Scan-Ketten (26 ,44 ,82 ,106 ,124 ) angekoppelten ersten Eingängen und an ein Register (64 ,132 ,134 ,169 ) angekoppelten zweiten Eingängen enthält, wobei in das Register (64 ,132 ,134 ,169 ) geladene Daten steuern, welche Scan-Ketten (26 ,44 ,82 ,106 ,124 ) zu dem räumlichen Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet werden. - Vorrichtung nach einem der vorhergehenden Ansprüche, wobei sich der Kompaktierer (
36 ,40 ,48 ,142 ,144 ) und die Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ) physisch auf der integrierten Schaltung (24 ,40 ) befinden. - Vorrichtung nach einem der Ansprüche 1 bis 4, wobei sich der Kompaktierer (
36 ,40 ,48 ,142 ,144 ) und die Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ) physisch außerhalb der integrierten Schaltung (24 ,40 ) befinden. - Vorrichtung nach einem der vorhergehenden Ansprüche, wobei das Maskieren einer Testantwort das Maskieren eines oder mehrerer Bit einer Testantwort in einer Scan-Kette (
26 ,44 ,82 ,106 ,124 ) umfaßt. - Vorrichtung nach einem der vorhergehenden Ansprüche, wobei das Maskieren einer Testantwort umfaßt, alle Bit in einer Scan-Kette (
26 ,44 ,82 ,106 ,124 ), die die Testantwort enthält, zu maskieren. - Vorrichtung nach einem der vorhergehenden Ansprüche, wobei ein Ausgang des räumlichen Kompaktierers (
36 ,40 ,48 ,142 ,144 ) in Echtzeit beobachtet wird. - Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Selektorschaltung (
32 ,46 ,84 ,102 ,128 ,158 ) Testantworten mit unbekannten Zuständen maskiert. - Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Selektorschaltung (
32 ,46 ,84 ,102 ,128 ,158 ) durch ein externes ATE gesteuert wird. - Verfahren zum selektiven Kompaktieren von Testantworten einer integrierten Schaltung (
24 ,40 ), mit den folgenden Schritten: Leiten von N Testantworten in einer integrierten Schaltung (24 ,40 ) zu einer Selektorschaltung; unter Verwendung der Selektorschaltung, selektives Verhindern, daß zwischen 0 und N der Testantworten zu einem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet werden, während es den übrigen Testantworten erlaubt wird, zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet zu werden; und Kompaktieren der zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) durch die Selektorschaltung geleiteten Testantworten; wobei die Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ) Testantworten mit unbekannten Zuständen maskiert, wobei das Kompaktieren unter Verwenden eines räumlichen Kompaktierers (36 ,40 ,48 ,142 ,144 ) erzielt wird. - Verfahren nach Anspruch 12, wobei das Leiten der Testantworten zu der Selektorschaltung (
32 ,46 ,84 ,102 ,128 ,158 ) ein serielles Schieben jeder Testantwort zu der Selektorschaltung umfaßt. - Verfahren nach einem der Ansprüche 12 bis 13, ferner mit den folgenden Schritten: Laden von Scan-Ketten (
26 ,44 ,82 ,106 ,124 ), die sich in der integrierten Schaltung (24 ,40 ) befinden, mit vorbestimmten Testmustern zum Testen der integrierten Schaltung (24 ,40 ); und Erzeugen und Speichern von Testantworten als Reaktion auf das Anlegen der Testmuster an die integrierte Schaltung (24 ,40 ). - Verfahren nach einem der Ansprüche 12–13, ferner mit den folgenden Schritten: Laden von Scan-Ketten (
26 ,44 ,82 ,106 ,124 ), die sich in der integrierten Schaltung (24 ,40 ) befinden, mit vorbestimmten Testmustern; Erzeugen und Speichern von Testantworten in den Scan-Ketten (26 ,44 ,82 ,106 ,124 ); Identifizieren einer der Scan-Ketten (26 ,44 ,82 ,106 ,124 ); und wobei das selektive Verhindern umfaßt, nur zu verhindern, daß die Testantwort in der identifizierten Scan-Kette (26 ,44 ,82 ,106 ,124 ) zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet wird. - Verfahren nach einem der Ansprüche 12–13, ferner mit den folgenden Schritten: Laden von Scan-Ketten (
26 ,44 ,82 ,106 ,124 ), die sich in der integrierten Schaltung (24 ,40 ) befinden, mit vorbestimmten Testmustern; Speichern von Testantworten in den Scan-Ketten (26 ,44 ,82 ,106 ,124 ); Identifizieren einer Scan-Kette (26 ,44 ,82 ,106 ,124 ) als eine interessierende Scan-Kette (26 ,44 ,82 ,106 ,124 ) und Leiten nur der Testantwort in der identifizierten Scan-Kette (26 ,44 ,82 ,106 ,124 ) zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ), während verhindert wird, daß alle anderen Testantworten zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet werden. - Verfahren nach einem der Ansprüche 12–16, ferner mit dem Schritt des Ladens einer Kennung einer Scan-Kette (
26 ,44 ,82 ,106 ,124 ) in ein Register (64 ,132 ,134 ,169 ), wobei die Kennung der Scan-Kette (26 ,44 ,82 ,106 ,124 ) eine der Scan-Ketten (26 ,44 ,82 ,106 ,124 ) eindeutig identifiziert. - Verfahren nach einem der Ansprüche 12–17, wobei das selektive Verhindern, daß die Testantworten zu dem Kompaktierer (
36 ,40 ,48 ,142 ,144 ) geleitet werden, umfaßt, zu verhindern, daß ein oder mehrere Bit einer Testantwort zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet werden, während es anderen Bit der Testantwort erlaubt wird, zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet zu werden. - Verfahren nach einem der Ansprüche 12–17, wobei das selektive Verhindern, daß die Testantworten zu dem Kompaktierer (
36 ,40 ,48 ,142 ,144 ) geleitet werden, umfaßt, alle Bit einer Testantwort davon abzuhalten, zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet zu werden. - Verfahren nach einem der Ansprüche 12–13, ferner mit den folgenden Schritten: Laden mehrerer Scan-Ketten (
26 ,44 ,82 ,106 ,124 ), die sich in der integrierten Schaltung (24 ,40 ) befinden, mit Testmustern; Speichern der Testantworten in den Scan-Ketten (26 ,44 ,82 ,106 ,124 ); Laden eines Registers (64 ,132 ,134 ,169 ) mit einer Kennung der Scan-Kette (26 ,44 ,82 ,106 ,124 ), die eine der Scan-Ketten (26 ,44 ,82 ,106 ,124 ) eindeutig identifiziert; und wahlweises Konfigurieren der Selektorschaltung (32 ,46 ,84 ,102 ,128 ,158 ) dergestalt, daß entweder alle Testantworten in den Scan-Ketten (26 ,44 ,82 ,106 ,124 ) mit Ausnahme der in der Scan-Kette (26 ,44 ,82 ,106 ,124 ), die in dem Register (64 ,132 ,134 ,169 ) identifiziert wird, gespeicherten Testantwort zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet werden, oder nur die Testantwort, die in der Scan-Kette (26 ,44 ,82 ,106 ,124 ) gespeichert ist, die in dem Register (64 ,132 ,134 ,169 ) identifiziert wird, zu dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) geleitet wird und alle anderen Testantworten aus dem Kompaktierer (36 ,40 ,48 ,142 ,144 ) herausmaskiert werden. - Verfahren nach einem der Ansprüche 12–20, wobei das selektive Verhindern umfaßt, es allen Testantworten zu erlauben, zu dem Kompaktierer (
36 ,40 ,48 ,142 ,144 ) geleitet zu werden. - Verfahren nach einem der Ansprüche 12–21, ferner mit dem Schritt des Steuerns der Selektorschaltung (
32 ,46 ,84 ,102 ,128 ,158 ) über ein externes ATE. - Verfahren nach einem der Ansprüche 12–21, wobei das Leiten der Testantworten zu der Selektorschaltung (
32 ,46 ,84 ,102 ,128 ,158 ) ein serielles Schieben jeder Testantwort zu der Selektorschaltung umfaßt.
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16713699P | 1999-11-23 | 1999-11-23 | |
US167136P | 1999-11-23 | ||
US09/619,988 US6557129B1 (en) | 1999-11-23 | 2000-07-20 | Method and apparatus for selectively compacting test responses |
US619988 | 2000-07-20 | ||
PCT/US2000/031376 WO2001038889A1 (en) | 1999-11-23 | 2000-11-15 | Method and apparatus for selectively compacting test responses |
Publications (2)
Publication Number | Publication Date |
---|---|
DE60030480D1 DE60030480D1 (de) | 2006-10-12 |
DE60030480T2 true DE60030480T2 (de) | 2007-06-06 |
Family
ID=26862892
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE60030480T Expired - Lifetime DE60030480T2 (de) | 1999-11-23 | 2000-11-15 | Gerät und verfahren zum selektiven verdichten von testergebnissen |
DE60043319T Expired - Lifetime DE60043319D1 (de) | 1999-11-23 | 2000-11-15 | Verfahren und Vorrichtung zur selektiven Kompaktierung von Testreaktionen |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE60043319T Expired - Lifetime DE60043319D1 (de) | 1999-11-23 | 2000-11-15 | Verfahren und Vorrichtung zur selektiven Kompaktierung von Testreaktionen |
Country Status (7)
Country | Link |
---|---|
US (5) | US6557129B1 (de) |
EP (3) | EP1722246B1 (de) |
JP (1) | JP4047584B2 (de) |
AT (2) | ATE338280T1 (de) |
DE (2) | DE60030480T2 (de) |
HK (2) | HK1097600A1 (de) |
WO (1) | WO2001038889A1 (de) |
Families Citing this family (138)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6573703B1 (en) * | 1999-04-05 | 2003-06-03 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device |
US6557129B1 (en) * | 1999-11-23 | 2003-04-29 | Janusz Rajski | Method and apparatus for selectively compacting test responses |
US9134370B2 (en) | 1999-11-23 | 2015-09-15 | Mentor Graphics Corporation | Continuous application and decompression of test patterns and selective compaction of test responses |
JP3845016B2 (ja) * | 1999-11-23 | 2006-11-15 | メンター・グラフィクス・コーポレーション | テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション |
US6874109B1 (en) * | 1999-11-23 | 2005-03-29 | Janusz Rajski | Phase shifter with reduced linear dependency |
US6353842B1 (en) | 1999-11-23 | 2002-03-05 | Janusz Rajski | Method for synthesizing linear finite state machines |
US6327687B1 (en) * | 1999-11-23 | 2001-12-04 | Janusz Rajski | Test pattern compression for an integrated circuit test environment |
US8533547B2 (en) * | 1999-11-23 | 2013-09-10 | Mentor Graphics Corporation | Continuous application and decompression of test patterns and selective compaction of test responses |
US9664739B2 (en) | 1999-11-23 | 2017-05-30 | Mentor Graphics Corporation | Continuous application and decompression of test patterns and selective compaction of test responses |
US6684358B1 (en) * | 1999-11-23 | 2004-01-27 | Janusz Rajski | Decompressor/PRPG for applying pseudo-random and deterministic test patterns |
US6748564B1 (en) * | 2000-10-24 | 2004-06-08 | Nptest, Llc | Scan stream sequencing for testing integrated circuits |
US7073143B1 (en) * | 2000-11-06 | 2006-07-04 | Cadence Design Systems, Inc. | Solving constraint satisfiability problem for circuit designs |
JP4228061B2 (ja) * | 2000-12-07 | 2009-02-25 | 富士通マイクロエレクトロニクス株式会社 | 集積回路の試験装置および試験方法 |
US7028239B2 (en) * | 2000-12-29 | 2006-04-11 | Intel Corporation | Microprocessor on-chip testing architecture and implementation |
US7103816B2 (en) * | 2001-01-23 | 2006-09-05 | Cadence Design Systems, Inc. | Method and system for reducing test data volume in the testing of logic products |
US6738939B2 (en) * | 2001-05-21 | 2004-05-18 | Intel Corporation | Method and apparatus for fault tolerant and flexible test signature generator |
US6950974B1 (en) * | 2001-09-07 | 2005-09-27 | Synopsys Inc. | Efficient compression and application of deterministic patterns in a logic BIST architecture |
US6877119B2 (en) * | 2001-09-14 | 2005-04-05 | Stmicroelectronics Limited | Circuit scan output arrangement |
JP2003121498A (ja) * | 2001-10-09 | 2003-04-23 | Sony Corp | スキャンパス回路、集積回路及び集積回路の検査方法 |
DE10201554A1 (de) | 2002-01-17 | 2003-08-21 | Philips Intellectual Property | Integrierter Schaltkreis mit Selbsttest-Schaltung |
US6941498B2 (en) * | 2002-03-07 | 2005-09-06 | Agilent Technologies, Inc. | Technique for debugging an integrated circuit having a parallel scan-chain architecture |
US7185253B2 (en) * | 2002-03-27 | 2007-02-27 | Intel Corporation | Compacting circuit responses |
US7444567B2 (en) * | 2002-04-09 | 2008-10-28 | Syntest Technologies, Inc. | Method and apparatus for unifying self-test with scan-test during prototype debug and production test |
US6745359B2 (en) * | 2002-06-06 | 2004-06-01 | Logicvision, Inc. | Method of masking corrupt bits during signature analysis and circuit for use therewith |
JP4031954B2 (ja) * | 2002-06-11 | 2008-01-09 | 富士通株式会社 | 集積回路の診断装置および診断方法 |
US7424658B1 (en) * | 2002-07-01 | 2008-09-09 | Altera Corporation | Method and apparatus for testing integrated circuits |
US7055076B2 (en) * | 2002-08-28 | 2006-05-30 | Micron Technology, Inc. | Output data compression scheme using tri-state |
US8506959B2 (en) | 2002-11-01 | 2013-08-13 | Neotope Biosciences Limited | Prevention and treatment of synucleinopathic and amyloidogenic disease |
US7240260B2 (en) | 2002-12-11 | 2007-07-03 | Intel Corporation | Stimulus generation |
US7058869B2 (en) * | 2003-01-28 | 2006-06-06 | Syntest Technologies, Inc. | Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits |
US7302624B2 (en) * | 2003-02-13 | 2007-11-27 | Janusz Rajski | Adaptive fault diagnosis of compressed test responses |
US7437640B2 (en) * | 2003-02-13 | 2008-10-14 | Janusz Rajski | Fault diagnosis of compressed test responses having one or more unknown states |
ATE400845T1 (de) * | 2003-02-13 | 2008-07-15 | Mentor Graphics Corp | Komprimieren von testantworten unter verwendung eines kompaktors |
US7509550B2 (en) * | 2003-02-13 | 2009-03-24 | Janusz Rajski | Fault diagnosis of compressed test responses |
US7219280B2 (en) * | 2003-02-24 | 2007-05-15 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Integrated circuit with test signal routing module |
US7392442B2 (en) | 2003-03-20 | 2008-06-24 | Qualcomm Incorporated | Built-in self-test (BIST) architecture having distributed interpretation and generalized command protocol |
US7184915B2 (en) * | 2003-03-20 | 2007-02-27 | Qualcomm, Incorporated | Tiered built-in self-test (BIST) architecture for testing distributed memory modules |
US7404109B2 (en) * | 2003-06-12 | 2008-07-22 | Verigy (Singapore) Pte. Ltd. | Systems and methods for adaptively compressing test data |
US7032148B2 (en) * | 2003-07-07 | 2006-04-18 | Syntest Technologies, Inc. | Mask network design for scan-based integrated circuits |
DE10338922B4 (de) * | 2003-08-20 | 2016-07-14 | Infineon Technologies Ag | Elektrische Diagnoseschaltung sowie Verfahren zum Testen und/oder zur Diagnose einer integrierten Schaltung |
US7574640B2 (en) * | 2003-09-05 | 2009-08-11 | Intel Corporation | Compacting circuit responses |
US7523370B1 (en) | 2003-09-15 | 2009-04-21 | Cadence Design Systems, Inc. | Channel masking during integrated circuit testing |
WO2005031378A1 (en) * | 2003-09-26 | 2005-04-07 | Koninklijke Philips Electronics N.V. | Method and system for selectively masking test responses |
EP1536244B1 (de) * | 2003-11-27 | 2010-01-13 | Texas Instruments Inc. | Dynamisch konfigurierbare Abtastprüfung |
US7219265B2 (en) * | 2003-12-29 | 2007-05-15 | Agere Systems Inc. | System and method for debugging system-on-chips |
KR20050078704A (ko) * | 2004-01-31 | 2005-08-08 | 삼성전자주식회사 | 스캔 베이스 atpg 테스트회로, 테스트방법 및 스캔체인 재배열방법 |
US7093174B2 (en) * | 2004-02-17 | 2006-08-15 | Mentor Graphics Corporation | Tester channel count reduction using observe logic and pattern generator |
US8280687B2 (en) * | 2004-03-31 | 2012-10-02 | Mentor Graphics Corporation | Direct fault diagnostics using per-pattern compactor signatures |
US7729884B2 (en) * | 2004-03-31 | 2010-06-01 | Yu Huang | Compactor independent direct diagnosis of test hardware |
US7239978B2 (en) * | 2004-03-31 | 2007-07-03 | Wu-Tung Cheng | Compactor independent fault diagnosis |
JP2005308500A (ja) * | 2004-04-20 | 2005-11-04 | Nec Electronics Corp | 半導体集積回路装置及びテスト方法 |
US7418640B2 (en) * | 2004-05-28 | 2008-08-26 | Synopsys, Inc. | Dynamically reconfigurable shared scan-in test architecture |
US7370249B2 (en) * | 2004-06-22 | 2008-05-06 | Intel Corporation | Method and apparatus for testing a memory array |
US7461312B2 (en) * | 2004-07-22 | 2008-12-02 | Microsoft Corporation | Digital signature generation for hardware functional test |
US7254760B2 (en) | 2004-10-05 | 2007-08-07 | Verigy (Singapore) Pte. Ltd. | Methods and apparatus for providing scan patterns to an electronic device |
US7222277B2 (en) * | 2004-11-10 | 2007-05-22 | Nec Laboratories America, Inc. | Test output compaction using response shaper |
JP2006153538A (ja) * | 2004-11-26 | 2006-06-15 | Fujitsu Ltd | プロセッサ、そのエラー解析方法及びプログラム |
US7395473B2 (en) * | 2004-12-10 | 2008-07-01 | Wu-Tung Cheng | Removing the effects of unknown test values from compacted test responses |
US7210083B2 (en) * | 2004-12-16 | 2007-04-24 | Lsi Logic Corporation | System and method for implementing postponed quasi-masking test output compression in integrated circuit |
US7487420B2 (en) * | 2005-02-15 | 2009-02-03 | Cadence Design Systems Inc. | System and method for performing logic failure diagnosis using multiple input signature register output streams |
US7610527B2 (en) * | 2005-03-16 | 2009-10-27 | Nec Laboratories America, Inc. | Test output compaction with improved blocking of unknown values |
US7231572B2 (en) * | 2005-04-15 | 2007-06-12 | Lsi Corporation | Method and circuit for parametric testing of integrated circuits with an exclusive-or logic tree |
US20060242508A1 (en) * | 2005-04-26 | 2006-10-26 | Texas Instruments Incorporation | Simultaneous scan testing for identical modules |
US7487419B2 (en) * | 2005-06-15 | 2009-02-03 | Nilanjan Mukherjee | Reduced-pin-count-testing architectures for applying test patterns |
US7376875B2 (en) * | 2005-07-14 | 2008-05-20 | International Business Machines Corporation | Method of improving logical built-in self test (LBIST) AC fault isolations |
DE102005046588B4 (de) * | 2005-09-28 | 2016-09-22 | Infineon Technologies Ag | Vorrichtung und Verfahren zum Test und zur Diagnose digitaler Schaltungen |
US7313746B2 (en) * | 2005-09-30 | 2007-12-25 | Nec Laboratories America, Inc. | Test output compaction for responses with unknown values |
US8161338B2 (en) * | 2005-10-14 | 2012-04-17 | Mentor Graphics Corporation | Modular compaction of test responses |
US7415678B2 (en) * | 2005-11-15 | 2008-08-19 | Synopsys, Inc. | Method and apparatus for synthesis of multimode X-tolerant compressor |
US7461309B2 (en) * | 2005-12-20 | 2008-12-02 | Kabushiki Kaisha Toshiba | Systems and methods for providing output data in an LBIST system having a limited number of output ports |
JP4839856B2 (ja) * | 2006-01-23 | 2011-12-21 | 富士通株式会社 | スキャンチェーン抽出プログラム、スキャンチェーン抽出方法及び試験装置 |
CN102129031B (zh) * | 2006-02-17 | 2015-03-11 | 明导公司 | 多级测试响应压缩器 |
US7840862B2 (en) * | 2006-02-17 | 2010-11-23 | Mentor Graphics Corporation | Enhanced diagnosis with limited failure cycles |
JP5034576B2 (ja) * | 2006-05-02 | 2012-09-26 | 富士通株式会社 | 半導体集積回路、テストデータ生成装置およびlsi試験装置 |
EP1852706B1 (de) * | 2006-05-04 | 2009-10-28 | STMicroelectronics S.r.l. | Adaptive Scan-compression Architektur |
US7647540B2 (en) * | 2006-07-21 | 2010-01-12 | Janusz Rajski | Decompressors for low power decompression of test patterns |
US7797603B2 (en) * | 2006-07-21 | 2010-09-14 | Janusz Rajski | Low power decompression of test cubes |
US7788561B2 (en) * | 2006-08-14 | 2010-08-31 | Yu Huang | Diagnosing mixed scan chain and system logic defects |
US7779322B1 (en) | 2006-09-14 | 2010-08-17 | Syntest Technologies, Inc. | Compacting test responses using X-driven compactor |
DE102006059156B4 (de) * | 2006-12-14 | 2008-11-06 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Testen eines integrierten Schaltkreischips mit zumindest zwei Schaltungskernen sowie integrierter Schaltkreischip und Testsystem |
DE102006059158B4 (de) * | 2006-12-14 | 2009-06-10 | Advanced Micro Devices, Inc., Sunnyvale | Integrierter Schaltkreischip mit zumindest zwei Schaltungskernen und zugehöriges Verfahren zum Testen |
US7793184B2 (en) * | 2007-01-11 | 2010-09-07 | International Business Machines Corporation | Lowering power consumption during logic built-in self-testing (LBIST) via channel suppression |
US8286040B2 (en) * | 2007-02-09 | 2012-10-09 | Freescale Semiconductor, Inc. | Device and method for testing a circuit |
JP5537158B2 (ja) | 2007-02-12 | 2014-07-02 | メンター グラフィックス コーポレイション | 低消費電力スキャンテスト技術および装置 |
EP2135104B1 (de) * | 2007-04-05 | 2010-10-20 | Nxp B.V. | Prüfbare integrierte schaltung und verfahren zur generierung von testdaten |
US7814444B2 (en) * | 2007-04-13 | 2010-10-12 | Synopsys, Inc. | Scan compression circuit and method of design therefor |
US7949921B2 (en) * | 2007-09-21 | 2011-05-24 | Synopsys, Inc. | Method and apparatus for synthesis of augmented multimode compactors |
US7882409B2 (en) * | 2007-09-21 | 2011-02-01 | Synopsys, Inc. | Method and apparatus for synthesis of augmented multimode compactors |
DE102007046216B4 (de) * | 2007-09-27 | 2018-01-18 | Adc Automotive Distance Control Systems Gmbh | Vorrichtung und Verfahren zur Verifikation eines digitalen Signalverarbeitungssystems |
US7831876B2 (en) * | 2007-10-23 | 2010-11-09 | Lsi Corporation | Testing a circuit with compressed scan chain subsets |
US8086923B2 (en) * | 2007-11-05 | 2011-12-27 | Mentor Graphics Corporation | Accurately identifying failing scan bits in compression environments |
US8166359B2 (en) | 2007-12-20 | 2012-04-24 | Mentor Graphics Corporation | Selective per-cycle masking of scan chains for system level test |
US7818643B2 (en) * | 2008-02-20 | 2010-10-19 | Nec Laboratories America, Inc. | Method for blocking unknown values in output response of scan test patterns for testing circuits |
US8584073B2 (en) | 2008-07-21 | 2013-11-12 | Synopsys, Inc. | Test design optimizer for configurable scan architectures |
US8214172B2 (en) * | 2008-11-11 | 2012-07-03 | Nec Laboratories America, Inc. | Systems and methods for locating defective components of a circuit |
WO2010060012A1 (en) * | 2008-11-23 | 2010-05-27 | Mentor Graphics Corporation | On-chip logic to support in-field or post-tape-out x-masking in bist designs |
US8103925B2 (en) * | 2008-11-24 | 2012-01-24 | Mentor Graphics Corporation | On-chip logic to support compressed X-masking for BIST |
US8006152B2 (en) * | 2009-01-12 | 2011-08-23 | International Business Machines Corporation | Scan chain fail diagnostics |
US8214170B2 (en) | 2009-01-15 | 2012-07-03 | International Business Machines Corporation | Test pattern compression |
EP2548037B1 (de) | 2010-03-16 | 2015-10-14 | Mentor Graphics Corporation | Testplanung und testzugang in einer testkompressionsumgebung |
JP5601860B2 (ja) * | 2010-03-26 | 2014-10-08 | ピーエスフォー ルクスコ エスエイアールエル | 半導体装置 |
US8887018B2 (en) | 2010-06-11 | 2014-11-11 | Texas Instruments Incorporated | Masking circuit removing unknown bit from cell in scan chain |
US8612814B1 (en) * | 2010-06-14 | 2013-12-17 | Altera Corporation | Memory error detection circuitry |
US8468404B1 (en) * | 2010-06-25 | 2013-06-18 | Cadence Design Systems, Inc. | Method and system for reducing switching activity during scan-load operations |
US8438437B2 (en) | 2010-07-27 | 2013-05-07 | Texas Instruments Incorporated | Structures and control processes for efficient generation of different test clocking sequences, controls and other test signals in scan designs with multiple partitions, and devices, systems and processes of making |
US8793549B2 (en) * | 2010-08-11 | 2014-07-29 | Lsi Corporation | Low-cost design for register file testability |
US9222978B2 (en) * | 2011-03-09 | 2015-12-29 | Mentor Graphics Corporation | Two-dimensional scan architecture |
US10345369B2 (en) | 2012-10-02 | 2019-07-09 | Synopsys, Inc. | Augmented power-aware decompressor |
US9329235B2 (en) * | 2013-03-13 | 2016-05-03 | Synopsys, Inc. | Localizing fault flop in circuit by using modified test pattern |
US9411014B2 (en) | 2013-03-22 | 2016-08-09 | Synopsys, Inc. | Reordering or removal of test patterns for detecting faults in integrated circuit |
US9239897B2 (en) | 2013-04-03 | 2016-01-19 | Synopsys, Inc. | Hierarchical testing architecture using core circuit with pseudo-interfaces |
US9417287B2 (en) * | 2013-04-17 | 2016-08-16 | Synopsys, Inc. | Scheme for masking output of scan chains in test circuit |
US9588179B2 (en) | 2013-06-12 | 2017-03-07 | Synopsys, Inc. | Scheme for masking output of scan chains in test circuit |
US10067187B2 (en) | 2013-07-19 | 2018-09-04 | Synopsys, Inc. | Handling of undesirable distribution of unknown values in testing of circuit using automated test equipment |
US9915702B2 (en) | 2013-11-26 | 2018-03-13 | Mentor Graphics Corporation | Channel sharing for testing circuits having non-identical cores |
US9244124B2 (en) * | 2014-03-28 | 2016-01-26 | International Business Machines Corporation | Initializing and testing integrated circuits with selectable scan chains with exclusive-or outputs |
US9599673B2 (en) | 2014-10-15 | 2017-03-21 | Freescale Semiconductor, Inc. | Structural testing of integrated circuits |
US9933485B2 (en) | 2015-02-24 | 2018-04-03 | Mentor Graphics Corporation | Deterministic built-in self-test based on compressed test patterns stored on chip and their derivatives |
US10380303B2 (en) | 2015-11-30 | 2019-08-13 | Synopsys, Inc. | Power-aware dynamic encoding |
US10578672B2 (en) * | 2015-12-31 | 2020-03-03 | Stmicroelectronics (Grenoble 2) Sas | Method, device and article to test digital circuits |
EP3756020B1 (de) | 2018-03-22 | 2024-04-24 | Siemens Industry Software Inc. | Deterministischer sternförmiger eingebauter selbsttest |
US11422188B2 (en) | 2018-03-22 | 2022-08-23 | Siemens Industry Software Inc | Isometric control data generation for test compression |
WO2019183297A1 (en) | 2018-03-22 | 2019-09-26 | Mentor Graphics Corporation | Flexible isometric decompressor architecture for test compression |
US10996273B2 (en) | 2018-03-22 | 2021-05-04 | Siemens Industry Software Inc. | Test generation using testability-based guidance |
US10379159B1 (en) * | 2018-07-31 | 2019-08-13 | International Business Machines Corporation | Minimization of over-masking in an on product multiple input signature register (OPMISR) |
US10371750B1 (en) * | 2018-08-31 | 2019-08-06 | International Business Machines Corporation | Minimization of over-masking in an on product multiple input signature register (OPMISR) |
US10371749B1 (en) | 2018-08-31 | 2019-08-06 | International Business Machines Corporation | Removal of over-masking in an on product multiple input signature register (OPMISR) test |
US10908213B1 (en) | 2018-09-28 | 2021-02-02 | Synopsys, Inc. | Reducing X-masking effect for linear time compactors |
US11422186B1 (en) * | 2019-06-20 | 2022-08-23 | Synopsys, Inc. | Per-shift X-tolerant logic built-in self-test |
CN114667455A (zh) | 2019-09-06 | 2022-06-24 | 西门子工业软件有限公司 | 用于测试电路的通用压缩器架构 |
US11232246B2 (en) | 2019-11-14 | 2022-01-25 | Siemens Industry Software Inc. | Layout-friendly test pattern decompressor |
US11106848B2 (en) | 2019-11-14 | 2021-08-31 | Siemens Industry Software Inc. | Diagnostic resolution enhancement with reversible scan chains |
US11175338B2 (en) | 2019-12-31 | 2021-11-16 | Alibaba Group Holding Limited | System and method for compacting test data in many-core processors |
US11422185B2 (en) | 2020-06-30 | 2022-08-23 | Nxp Usa, Inc. | System and method for testing critical components on system-on-chip |
DE102020124515B3 (de) * | 2020-09-21 | 2021-12-30 | Infineon Technologies Ag | Selbsttestschaltung für einen integrierten Schaltkreis und Verfahren zum Betreiben einer Selbsttestschaltung für einen integrierten Schaltkreis |
US11320487B1 (en) * | 2021-05-26 | 2022-05-03 | Siemens Industry Software Inc. | Programmable test compactor for improving defect determination |
WO2023107096A1 (en) | 2021-12-07 | 2023-06-15 | Siemens Industry Software Inc. | X-masking for in-system deterministic test |
WO2024076370A1 (en) | 2022-10-07 | 2024-04-11 | Siemens Industry Software Inc. | Multi-phase logic built-in self-test observation scan technology |
Family Cites Families (137)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US519078A (en) * | 1894-05-01 | Martin middleton wilson | ||
US713605A (en) | 1899-11-13 | 1902-11-18 | Gen Electric | Transformer. |
US3614400A (en) | 1969-11-26 | 1971-10-19 | Rca Corp | Maximum length pulse sequence generators |
US3700869A (en) | 1970-12-04 | 1972-10-24 | Nasa | Pseudonoise sequence generators with three-tap linear feedback shift registers |
US4024460A (en) * | 1973-11-23 | 1977-05-17 | Hewlett-Packard Company | Electronic line stretcher |
US4122390A (en) * | 1976-10-28 | 1978-10-24 | Gerhard Kollitz | Apparatus for sensing and indicating the angular relationship between a towing and a towed vehicle |
US4122399A (en) | 1977-12-07 | 1978-10-24 | Bell Telephone Laboratories, Incorporated | Distortion generator |
US4161041A (en) | 1978-10-06 | 1979-07-10 | The United States Of America As Represented By The Secretary Of The Air Force | Pseudo random number generator apparatus |
FR2451672A1 (fr) * | 1979-03-15 | 1980-10-10 | Nippon Electric Co | Circuit logique integre pour l'execution de tests |
US4320509A (en) * | 1979-10-19 | 1982-03-16 | Bell Telephone Laboratories, Incorporated | LSI Circuit logic structure including data compression circuitry |
US4513418A (en) | 1982-11-08 | 1985-04-23 | International Business Machines Corporation | Simultaneous self-testing system |
US4503537A (en) | 1982-11-08 | 1985-03-05 | International Business Machines Corporation | Parallel path self-testing system |
US5974433A (en) | 1984-06-29 | 1999-10-26 | Currie; Robert John | High speed M-sequence generator and decoder circuit |
US4602210A (en) | 1984-12-28 | 1986-07-22 | General Electric Company | Multiplexed-access scan testable integrated circuit |
US4785410A (en) | 1985-06-05 | 1988-11-15 | Clarion Co., Ltd. | Maximum length shift register sequences generator |
US4687988A (en) | 1985-06-24 | 1987-08-18 | International Business Machines Corporation | Weighted random pattern testing apparatus and method |
US4801870A (en) | 1985-06-24 | 1989-01-31 | International Business Machines Corporation | Weighted random pattern testing apparatus and method |
US4754215A (en) | 1985-11-06 | 1988-06-28 | Nec Corporation | Self-diagnosable integrated circuit device capable of testing sequential circuit elements |
JP2628154B2 (ja) * | 1986-12-17 | 1997-07-09 | 富士通株式会社 | 半導体集積回路 |
DE3810291A1 (de) * | 1987-03-30 | 1988-10-27 | Toshiba Kawasaki Kk | Sonnensensor |
US4827476A (en) * | 1987-04-16 | 1989-05-02 | Tandem Computers Incorporated | Scan test apparatus for digital systems having dynamic random access memory |
US4860236A (en) | 1987-10-26 | 1989-08-22 | University Of Manitoba | Cellular automaton for generating random data |
US4974184A (en) | 1988-05-05 | 1990-11-27 | Honeywell Inc. | Maximum length pseudo-random test pattern generator via feedback network modification |
EP0350538B1 (de) | 1988-07-13 | 1993-12-01 | Koninklijke Philips Electronics N.V. | Speichergerät, das einen zur Ausführung einer Selbstprüfung adaptierten statischen RAM-Speicher enthält und integrierte Schaltung, die als eingebauten statischen RAM-Speicher ein solches Gerät enthält |
US4959832A (en) | 1988-12-09 | 1990-09-25 | International Business Machines | Parallel pseudorandom pattern generator with varying phase shift |
JP2584673B2 (ja) | 1989-06-09 | 1997-02-26 | 株式会社日立製作所 | テストデータ変更回路を有する論理回路テスト装置 |
JPH03214809A (ja) | 1990-01-19 | 1991-09-20 | Nec Corp | リニアフィードバック・シフトレジスタ |
US5138619A (en) | 1990-02-15 | 1992-08-11 | National Semiconductor Corporation | Built-in self test for integrated circuit memory |
US5268949A (en) | 1990-03-28 | 1993-12-07 | Ando Electric Co., Ltd. | Circuit for generating M-sequence pseudo-random pattern |
IL94115A (en) * | 1990-04-18 | 1996-06-18 | Ibm Israel | Dynamic process for creating pseudo-random test templates for pompous hardware design violence |
DE69114183T2 (de) * | 1990-06-07 | 1996-05-30 | Ibm | System zur Reduzierung von Prüfdatenspeichern. |
US5167034A (en) * | 1990-06-18 | 1992-11-24 | International Business Machines Corporation | Data integrity for compaction devices |
US5173906A (en) | 1990-08-31 | 1992-12-22 | Dreibelbis Jeffrey H | Built-in self test for integrated circuits |
DE69020155D1 (de) | 1990-09-15 | 1995-07-20 | Ibm | Procede et appareil pour tester des circuits integres a grande integration. |
US5258986A (en) | 1990-09-19 | 1993-11-02 | Vlsi Technology, Inc. | Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories |
US5293123A (en) * | 1990-10-19 | 1994-03-08 | Tandem Computers Incorporated | Pseudo-Random scan test apparatus |
JP2584172B2 (ja) | 1991-08-23 | 1997-02-19 | インターナショナル・ビジネス・マシーンズ・コーポレイション | デイジタル試験信号発生回路 |
US5369648A (en) | 1991-11-08 | 1994-11-29 | Ncr Corporation | Built-in self-test circuit |
EP0549949B1 (de) | 1991-12-16 | 1998-03-11 | Nippon Telegraph And Telephone Corporation | Schaltung mit eingebautem Selbsttest |
US5412665A (en) | 1992-01-10 | 1995-05-02 | International Business Machines Corporation | Parallel operation linear feedback shift register |
US5349587A (en) * | 1992-03-26 | 1994-09-20 | Northern Telecom Limited | Multiple clock rate test apparatus for testing digital systems |
CA2064609C (en) * | 1992-04-01 | 1996-10-29 | Sydney Oliver Smith | Explosive composition |
US5394405A (en) | 1992-04-24 | 1995-02-28 | International Business Machines Corporation | Universal weight generator |
JP3474214B2 (ja) | 1992-10-22 | 2003-12-08 | 株式会社東芝 | 論理回路及びこの論理回路を備えたテスト容易化回路 |
US5608870A (en) | 1992-11-06 | 1997-03-04 | The President And Fellows Of Harvard College | System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address |
US5701309A (en) | 1992-12-02 | 1997-12-23 | At&T Global Information Solutions Company | Automated test equipment digital tester expansion apparatus |
US5586125A (en) | 1993-02-26 | 1996-12-17 | Warner; William T. | Method for generating test vectors for characterizing and verifying the operation of integrated circuits |
DE69326681T2 (de) | 1993-04-06 | 2000-02-10 | Hewlett Packard Co | Verfahren und Apparat zum Erzeugen von linearen Rückführungsschieberegistersequenzen |
US5450414A (en) | 1993-05-17 | 1995-09-12 | At&T Corp. | Partial-scan built-in self-testing circuit having improved testability |
US5416783A (en) * | 1993-08-09 | 1995-05-16 | Motorola, Inc. | Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor |
US5444716A (en) * | 1993-08-30 | 1995-08-22 | At&T Corp. | Boundary-scan-based system and method for test and diagnosis |
US5414716A (en) | 1993-09-22 | 1995-05-09 | Mitsubishi Electronic Research Laboratories, Inc. | Weighting system for testing of circuits utilizing determination of undetected faults |
US5848198A (en) | 1993-10-08 | 1998-12-08 | Penn; Alan Irvin | Method of and apparatus for analyzing images and deriving binary image representations |
US5524114A (en) * | 1993-10-22 | 1996-06-04 | Lsi Logic Corporation | Method and apparatus for testing semiconductor devices at speed |
US5617531A (en) | 1993-11-02 | 1997-04-01 | Motorola, Inc. | Data Processor having a built-in internal self test controller for testing a plurality of memories internal to the data processor |
JP3179646B2 (ja) * | 1993-12-28 | 2001-06-25 | 日本電信電話株式会社 | 共有型試験レジスタおよびこれを用いた組み込み自己試験回路 |
US5631913A (en) | 1994-02-09 | 1997-05-20 | Matsushita Electric Industrial Co., Ltd. | Test circuit and test method of integrated semiconductor device |
US5694401A (en) | 1994-06-27 | 1997-12-02 | Tandem Computers Incorporated | Fault isolation using pseudo-random scan |
US6029263A (en) * | 1994-06-30 | 2000-02-22 | Tandem Computers Incorporated | Interconnect testing using non-compatible scan architectures |
US5642362A (en) * | 1994-07-20 | 1997-06-24 | International Business Machines Corporation | Scan-based delay tests having enhanced test vector pattern generation |
US5592493A (en) * | 1994-09-13 | 1997-01-07 | Motorola Inc. | Serial scan chain architecture for a data processing system and method of operation |
US5748497A (en) | 1994-10-31 | 1998-05-05 | Texas Instruments Incorporated | System and method for improving fault coverage of an electric circuit |
US5533128A (en) | 1995-01-18 | 1996-07-02 | Vobach; Arnold | Pseudo-random transposition cipher system and method |
US5974179A (en) | 1995-02-13 | 1999-10-26 | Integrated Device Technology, Inc. | Binary image data compression and decompression |
JP3499034B2 (ja) | 1995-03-13 | 2004-02-23 | 富士通株式会社 | 非対称信号検出回路 |
US5717702A (en) * | 1995-03-14 | 1998-02-10 | Hughes Electronics | Scan testing digital logic with differing frequencies of system clock and test clock |
US5761489A (en) * | 1995-04-17 | 1998-06-02 | Motorola Inc. | Method and apparatus for scan testing with extended test vector storage in a multi-purpose memory system |
US5553082A (en) * | 1995-05-01 | 1996-09-03 | International Business Machines Corporation | Built-in self-test for logic circuitry at memory array output |
US5574733A (en) | 1995-07-25 | 1996-11-12 | Intel Corporation | Scan-based built-in self test (BIST) with automatic reseeding of pattern generator |
US6006349A (en) | 1995-07-26 | 1999-12-21 | Advantest Corporation | High speed pattern generating method and high speed pattern generator using the method |
US5831992A (en) | 1995-08-17 | 1998-11-03 | Northern Telecom Limited | Methods and apparatus for fault diagnosis in self-testable systems |
FR2738972B1 (fr) * | 1995-09-15 | 1997-11-28 | Thomson Multimedia Sa | Procede de mise en gage de donnees pour un protocole d'echange de donnees securise |
US6055658A (en) * | 1995-10-02 | 2000-04-25 | International Business Machines Corporation | Apparatus and method for testing high speed components using low speed test apparatus |
US5680543A (en) * | 1995-10-20 | 1997-10-21 | Lucent Technologies Inc. | Method and apparatus for built-in self-test with multiple clock circuits |
US5614838A (en) * | 1995-11-03 | 1997-03-25 | International Business Machines Corporation | Reduced power apparatus and method for testing high speed components |
US5867507A (en) * | 1995-12-12 | 1999-02-02 | International Business Machines Corporation | Testable programmable gate array and associated LSSD/deterministic test methodology |
JP3512939B2 (ja) | 1996-03-12 | 2004-03-31 | 株式会社ルネサステクノロジ | 疑似乱数発生回路及び双方向シフトレジスタ |
US5883926A (en) | 1996-03-28 | 1999-03-16 | Ub Networks, Inc. | Method and apparatus for communicating data and clock signals |
DE69613560T2 (de) | 1996-04-30 | 2002-03-14 | Agilent Technologies Inc | Ein Prüfgerät für elektronische Schaltkreise oder Platinen mit komprimierten Datenfolgen |
US5790562A (en) | 1996-05-06 | 1998-08-04 | General Motors Corporation | Circuit with built-in test and method thereof |
US5668817A (en) * | 1996-07-11 | 1997-09-16 | Northern Telecom Limited | Self-testable digital signal processor and method for self-testing of integrating circuits including DSP data paths |
JPH1056361A (ja) | 1996-08-07 | 1998-02-24 | Matsushita Electric Ind Co Ltd | 疑似雑音系列発生器 |
US5717701A (en) * | 1996-08-13 | 1998-02-10 | International Business Machines Corporation | Apparatus and method for testing interconnections between semiconductor devices |
US5812561A (en) * | 1996-09-03 | 1998-09-22 | Motorola, Inc. | Scan based testing of an integrated circuit for compliance with timing specifications |
US5790626A (en) | 1996-09-10 | 1998-08-04 | Hewlett-Packard Company | Bi-directional linear feedback shift register |
US5991909A (en) | 1996-10-15 | 1999-11-23 | Mentor Graphics Corporation | Parallel decompressor and related methods and apparatuses |
KR100206128B1 (ko) * | 1996-10-21 | 1999-07-01 | 윤종용 | 선형 궤환 쉬프트레지스터, 다중 입력기호 레지스터 및 이들을 이용한 내장 자기 진단회로 |
US5694402A (en) | 1996-10-22 | 1997-12-02 | Texas Instruments Incorporated | System and method for structurally testing integrated circuit devices |
US5701308A (en) * | 1996-10-29 | 1997-12-23 | Lockheed Martin Corporation | Fast bist architecture with flexible standard interface |
US5905986A (en) | 1997-01-07 | 1999-05-18 | Hewlett-Packard Company | Highly compressible representation of test pattern data |
US5991898A (en) | 1997-03-10 | 1999-11-23 | Mentor Graphics Corporation | Arithmetic built-in self test of multiple scan-based integrated circuits |
US6199182B1 (en) * | 1997-03-27 | 2001-03-06 | Texas Instruments Incorporated | Probeless testing of pad buffers on wafer |
US5968194A (en) * | 1997-03-31 | 1999-10-19 | Intel Corporation | Method for application of weighted random patterns to partial scan designs |
US6026508A (en) | 1997-04-22 | 2000-02-15 | International Business Machines Corporation | Storage sub-system compression and dataflow chip offering excellent data integrity |
US6061818A (en) * | 1997-05-08 | 2000-05-09 | The Board Of Trustees Of The Leland Stanford Junior University | Altering bit sequences to contain predetermined patterns |
US6097889A (en) | 1997-06-23 | 2000-08-01 | Motorola, Inc. | Signal processing apparatus with stages in a signal path operating as LFSR of alternable type and method for processing signals |
US5883906A (en) * | 1997-08-15 | 1999-03-16 | Advantest Corp. | Pattern data compression and decompression for semiconductor test system |
KR19990018125A (ko) * | 1997-08-26 | 1999-03-15 | 윤종용 | Ic칩 검사용 테스터데이타 압축방법과 그 압축장치 및 ic칩용 테스터장치와 그 테스터방법 |
US5983380A (en) | 1997-09-16 | 1999-11-09 | International Business Machines Corporation | Weighted random pattern built-in self-test |
DE59813158D1 (de) | 1997-09-18 | 2005-12-08 | Infineon Technologies Ag | Verfahren zum Testen einer elektronischen Schaltung |
US6272653B1 (en) * | 1997-11-14 | 2001-08-07 | Intrinsity, Inc. | Method and apparatus for built-in self-test of logic circuitry |
US6198285B1 (en) | 1997-11-28 | 2001-03-06 | Hitachi Medical Corporation | In-room MRI display terminal and remote control system |
CA2226061C (en) * | 1997-12-31 | 2002-05-28 | Logicvision, Inc. | Method and apparatus for controlling power level during bist |
US6014763A (en) * | 1998-01-15 | 2000-01-11 | International Business Machines Corporation | At-speed scan testing |
US6148425A (en) | 1998-02-12 | 2000-11-14 | Lucent Technologies Inc. | Bist architecture for detecting path-delay faults in a sequential circuit |
US6158032A (en) * | 1998-03-27 | 2000-12-05 | International Business Machines Corporation | Data processing system, circuit arrangement and program product including multi-path scan interface and methods thereof |
US6141669A (en) | 1998-05-06 | 2000-10-31 | Nortel Networks Corporation | Pseudorandom binary sequence block shifter |
US6178532B1 (en) * | 1998-06-11 | 2001-01-23 | Micron Technology, Inc. | On-chip circuit and method for testing memory devices |
US6256759B1 (en) | 1998-06-15 | 2001-07-03 | Agere Systems Inc. | Hybrid algorithm for test point selection for scan-based BIST |
US6100716A (en) * | 1998-09-17 | 2000-08-08 | Nortel Networks Corporation | Voltage excursion detection apparatus |
US6256760B1 (en) * | 1998-11-13 | 2001-07-03 | Nortel Networks Limited | Automatic test equipment scan test enhancement |
US6286119B1 (en) * | 1998-12-22 | 2001-09-04 | Nortel Networks Limited | Delay fault testing with IEEE 1149.1 |
US6240432B1 (en) | 1998-12-28 | 2001-05-29 | Vanguard International Semiconductor Corporation | Enhanced random number generator |
GB9900432D0 (en) | 1999-01-08 | 1999-02-24 | Xilinx Inc | Linear feedback shift register in a progammable gate array |
US6467058B1 (en) | 1999-01-20 | 2002-10-15 | Nec Usa, Inc. | Segmented compaction with pruning and critical fault elimination |
US6327685B1 (en) | 1999-05-12 | 2001-12-04 | International Business Machines Corporation | Logic built-in self test |
US6308290B1 (en) | 1999-05-20 | 2001-10-23 | International Business Machines Corporation | Look ahead scan chain diagnostic method |
US6590929B1 (en) * | 1999-06-08 | 2003-07-08 | International Business Machines Corporation | Method and system for run-time logic verification of operations in digital systems |
US6463560B1 (en) | 1999-06-23 | 2002-10-08 | Agere Systems Guardian Corp. | Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits |
US6385750B1 (en) * | 1999-09-01 | 2002-05-07 | Synopsys, Inc. | Method and system for controlling test data volume in deterministic test pattern generation |
US6694466B1 (en) | 1999-10-27 | 2004-02-17 | Agere Systems Inc. | Method and system for improving the test quality for scan-based BIST using a general test application scheme |
US6327687B1 (en) | 1999-11-23 | 2001-12-04 | Janusz Rajski | Test pattern compression for an integrated circuit test environment |
JP3845016B2 (ja) * | 1999-11-23 | 2006-11-15 | メンター・グラフィクス・コーポレーション | テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション |
US6684358B1 (en) | 1999-11-23 | 2004-01-27 | Janusz Rajski | Decompressor/PRPG for applying pseudo-random and deterministic test patterns |
US6557129B1 (en) * | 1999-11-23 | 2003-04-29 | Janusz Rajski | Method and apparatus for selectively compacting test responses |
US6874109B1 (en) | 1999-11-23 | 2005-03-29 | Janusz Rajski | Phase shifter with reduced linear dependency |
US6353842B1 (en) * | 1999-11-23 | 2002-03-05 | Janusz Rajski | Method for synthesizing linear finite state machines |
US7493540B1 (en) | 1999-11-23 | 2009-02-17 | Jansuz Rajski | Continuous application and decompression of test patterns to a circuit-under-test |
DE60108993T2 (de) * | 2000-03-09 | 2005-07-21 | Texas Instruments Inc., Dallas | Anpassung von "Scan-BIST"-Architekturen für einen Betrieb mit niedrigem Verbrauch |
US6421794B1 (en) | 2000-03-09 | 2002-07-16 | John T. Chen | Method and apparatus for diagnosing memory using self-testing circuits |
US6611933B1 (en) * | 2000-04-12 | 2003-08-26 | International Business Machines Corporation | Real-time decoder for scan test patterns |
US6300885B1 (en) | 2000-04-14 | 2001-10-09 | International Business Machines Corporation | Dual aldc decompressors inside printer asic |
US6510398B1 (en) * | 2000-06-22 | 2003-01-21 | Intel Corporation | Constrained signature-based test |
US6618826B1 (en) | 2000-10-26 | 2003-09-09 | Cadence Design Systems, Inc. | Test sequences generated by automatic test pattern generation and applicable to circuits with embedded multi-port RAMs |
JP4228061B2 (ja) * | 2000-12-07 | 2009-02-25 | 富士通マイクロエレクトロニクス株式会社 | 集積回路の試験装置および試験方法 |
JP4278940B2 (ja) * | 2002-09-09 | 2009-06-17 | 株式会社 液晶先端技術開発センター | 結晶化装置および結晶化方法 |
US20060038485A1 (en) | 2004-08-18 | 2006-02-23 | Harvatek Corporation | Laminated light-emitting diode display device and manufacturing method thereof |
-
2000
- 2000-07-20 US US09/619,988 patent/US6557129B1/en not_active Expired - Lifetime
- 2000-11-15 AT AT00980401T patent/ATE338280T1/de not_active IP Right Cessation
- 2000-11-15 EP EP06015955A patent/EP1722246B1/de not_active Expired - Lifetime
- 2000-11-15 DE DE60030480T patent/DE60030480T2/de not_active Expired - Lifetime
- 2000-11-15 EP EP09175449.9A patent/EP2146212B1/de not_active Expired - Lifetime
- 2000-11-15 WO PCT/US2000/031376 patent/WO2001038889A1/en active IP Right Grant
- 2000-11-15 DE DE60043319T patent/DE60043319D1/de not_active Expired - Lifetime
- 2000-11-15 AT AT06015955T patent/ATE448485T1/de not_active IP Right Cessation
- 2000-11-15 JP JP2001540386A patent/JP4047584B2/ja not_active Expired - Fee Related
- 2000-11-15 EP EP00980401A patent/EP1256008B1/de not_active Expired - Lifetime
-
2003
- 2003-01-22 HK HK07105103.6A patent/HK1097600A1/xx not_active IP Right Cessation
- 2003-01-22 HK HK03100537.7A patent/HK1049206B/zh not_active IP Right Cessation
- 2003-01-29 US US10/354,576 patent/US6829740B2/en not_active Expired - Lifetime
-
2004
- 2004-10-25 US US10/973,522 patent/US7500163B2/en not_active Expired - Fee Related
-
2009
- 2009-03-02 US US12/396,377 patent/US7805649B2/en not_active Expired - Fee Related
-
2010
- 2010-09-27 US US12/891,498 patent/US8108743B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
US20030115521A1 (en) | 2003-06-19 |
EP1722246A3 (de) | 2006-11-29 |
WO2001038889A1 (en) | 2001-05-31 |
EP1722246B1 (de) | 2009-11-11 |
EP1256008B1 (de) | 2006-08-30 |
JP4047584B2 (ja) | 2008-02-13 |
EP2146212B1 (de) | 2013-08-07 |
HK1049206A1 (en) | 2003-05-02 |
DE60030480D1 (de) | 2006-10-12 |
US20090228749A1 (en) | 2009-09-10 |
US20050097419A1 (en) | 2005-05-05 |
EP1256008A4 (de) | 2005-01-12 |
US20110138242A1 (en) | 2011-06-09 |
JP2004500558A (ja) | 2004-01-08 |
US6557129B1 (en) | 2003-04-29 |
ATE338280T1 (de) | 2006-09-15 |
US8108743B2 (en) | 2012-01-31 |
EP1722246A2 (de) | 2006-11-15 |
HK1097600A1 (en) | 2007-06-29 |
DE60043319D1 (de) | 2009-12-24 |
HK1049206B (zh) | 2007-06-08 |
US6829740B2 (en) | 2004-12-07 |
US7805649B2 (en) | 2010-09-28 |
US7500163B2 (en) | 2009-03-03 |
ATE448485T1 (de) | 2009-11-15 |
EP2146212A1 (de) | 2010-01-20 |
EP1256008A1 (de) | 2002-11-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE60030480T2 (de) | Gerät und verfahren zum selektiven verdichten von testergebnissen | |
DE60220511T2 (de) | Verfahren und system zur optimierung der testkosten und deaktivierungsdefekte für scan- und bist-speicher | |
DE60116046T2 (de) | Eingebaute Selbsttestschaltung mit einem Schieberegister mit linearer Rückkopplung | |
DE60211659T2 (de) | Verfahren und vorrichtung zur diagnose von ausfällen in einer integrierten schaltung unter verwendung von techniken des typs design-for-debug (dfd) | |
DE69634778T2 (de) | Vorrichtung zum parallelen prüfen von halbleiterschaltkreisen | |
DE2729053C2 (de) | Prüfverfahren für eine monolithisch integrierte stufenempfindliche, einseitig verzögerungsabhängige logische Einheit | |
DE60030896T2 (de) | Testmuster-Kompression für eine Testumgebung von integrierten Schaltungen | |
DE19729163B4 (de) | System und Verfahren zur Abtaststeuerung einer programmierbaren Sicherungsschaltung in einer integrierten Schaltung | |
DE602004009329T2 (de) | Verfahren und system zum selektiven maskieren von testantworten | |
DE60025789T2 (de) | Logische eingebaute Selbstprüfung (LBIST) Steuerschaltungen, Systeme und Verfahren mit automatischer Bestimmung der maximalen Abtastkettenlänge | |
DE60316068T2 (de) | Prüfverfahren und -gerät für Konfigurationsspeicherzellen in programmierbaren logischen Bauelementen (PLDS) | |
DE102011053359B4 (de) | Latch-basierte Speichervorrichtung und Verfahren zum Testen derselben | |
DE60215933T2 (de) | Verfahren und vorrichtung für fehlertolerante und flexible test-vektoren-erzeugung | |
DE69825299T2 (de) | Verfahren und vorrichtung zur anwendung von gewichteten zufallsmustern bei teilabtastung | |
DE3607015A1 (de) | Verfahren und vorrichtung zur funktionspruefung von direktzugriffsspeichern | |
DE2349324A1 (de) | Verfahren und vorrichtung zum pruefen funktioneller logischer schaltungen | |
DE4434927C2 (de) | Verfahren zum Testen einer Schaltungsplatine | |
DE10343227A1 (de) | System und Verfahren zum Testen eines Schaltungsaufbaus unter Verwendung einer extern erzeugten Signatur | |
DE69724742T2 (de) | Speicherfeldprüfschaltung mit Fehlermeldung | |
DE102015110144B4 (de) | Chip und Verfahren zum Testen einer Verarbeitungskomponente eines Chips | |
DE602004009784T2 (de) | Datenkomprimierung | |
DE10250875B4 (de) | Vorrichtung und Verfahren zum Konfigurieren einer integrierten Schaltung mit eingebettetem Speicher | |
DE3639577A1 (de) | Logikbaustein zur erzeugung von ungleich verteilten zufallsmustern fuer integrierte schaltungen | |
DE19781563C2 (de) | Mustergenerator | |
DE19651713C2 (de) | Bauelement-Testgerät zum Testen elektronischer Bauelemente |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition |