DE19636288B4 - Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements - Google Patents

Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements Download PDF

Info

Publication number
DE19636288B4
DE19636288B4 DE19636288A DE19636288A DE19636288B4 DE 19636288 B4 DE19636288 B4 DE 19636288B4 DE 19636288 A DE19636288 A DE 19636288A DE 19636288 A DE19636288 A DE 19636288A DE 19636288 B4 DE19636288 B4 DE 19636288B4
Authority
DE
Germany
Prior art keywords
gas
plasma
etching
supplied
intermediate product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19636288A
Other languages
English (en)
Other versions
DE19636288A1 (de
Inventor
Whi-kun Suwon Yi
Dai-sik Uiwang Moon
Sung-Kyeong Kim
Kyung-hoon Suwon Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE19636288A1 publication Critical patent/DE19636288A1/de
Application granted granted Critical
Publication of DE19636288B4 publication Critical patent/DE19636288B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements,worin eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden,um eine Polysilicium-Schicht(14) auf einem Wafer (10) durch eine selektive Reaktion und Ionenkollision in Abhängigkeit eines Maskenmustern auf dem oberen Teil zu ätzen, ein aus einem chlorhaltigen Ätzgas und einemzusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, gemischtes Gas als das Zufuhrgas zugeführt wird und ein im Plasmazustand erzeugtes Polymer, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Polysiliciumschicht geätzt werden, gebildet wird, . . .

Description

  • Die vorliegende Erfindung betrifft ein Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements. Insbesondere betrifft sie ein Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements zum Verbessern der Profile durch Vermischen verschiedener zusätzlicher Gase mit einem Hauptätzgas und Zuführen der Mischung, um eine dünne, aus einem Polymer bestehende Schicht zur Passivierung auf einer Seitenwand des Teils, das selektiv in die Waferschicht geätzt werden soll, zu bilden.
  • Die hochentwickelte Halbleiterindustrie benötigt hochleistungsfähige und hochfunktionelle Halbleiterbauelemente. Dies erfordert die Integration mehrerer Elemente in einem begrenzten Gebiet und die Erforschung und Entwicklung von Verfahren zur Waferbearbeitung, um ein ultrafeines und hochintegriertes Muster zu erzielen.
  • Bei einem Verfahren zur Waferherstellung wurden Trockenätzverfahren im großen Umfang angewendet, um ultrafeine und hochintegrierte Halbleiterbauelemente zu erhalten, wobei das Plasmaätzverfahren das gebräuchlichste Trockenätzverfahren ist.
  • Ein Ätzverfahren, das Plasma verwendet, ist ein sehr wichtiges, aber auch schwieriges Verfahren, und die Details, auf die beim Plasmaätzverfahren hauptsächlich geachtet wird, sind Ätzprofile, Selektivität mit einer Unterschicht, Ätz- geschwindigkeit und -gleichmäßigkeit. Diese hängen vor allem von den Eigenschaften der Ätzapparaturen oder den zugeführten Gasen ab, und insbesondere werden die Gleichmäßigkeit sehr durch die Eigenschaften der Ätzapparaturen und die anderen drei Details durch die Eigenschaften der zugeführten Gase
  • Kürzlich sind Verfahren entwickelt worden, die durch Zugabe von polymerbildendem Gas zu dem zugeführten Gas und Durchführen des Plasmaätzverfahrens mit dieser Gasmischung verbesserte Profile erzeugen, wobei ein ultrafeines und hochintegriertes Muster erzielt wird. Solche Verfahren zur Verbesserung der Profile sind in "VLSI Technology" (S. M. Sze, 2. Ausgabe, McGraw Hill Press, 1988, Seiten 200-204) und in dem Patent US 4 490 209 beschrieben.
  • Namentlich wenn eine Schicht aus siliciumhaltigem Material durch herkömmliches Plasmaätzverfahren geätzt wird, werden, je nach Eigenschaft der Schicht, Halogenverbindungen, die Fluor (F) und Chlor (Cl) enthalten, als Hauptätzgas zugeführt, und zur Verbesserung der Ätzprofile einer Schicht und der Selektivität mit einer Unterschicht oder als Trägermaterial werden andere Gase durch Vermischen mit dem Hauptätzgas zugeführt.
  • Die durch Vermischen zugeführten Gase haben jeweils vorbestimmte Funktionen. Da Inertgase, wie z.B. Helium (He) und Argon (Ar), eine relativ hohe Masse besitzen, spielen solche Gase ein Rolle als Träger für ein Hauptätzgas und üben durch physikalisches Zerstäuben auch eine Funktion beim Ätzen einer Schicht aus. Sauerstoff (O2) und Stickstoff (N2) liegen durch Plasmaentladung als O bzw. N in Radikalform oder als O2 + bzw. N2 + in Ionenform vor und nehmen durch Steigerung oder Verringerung der Polymerbildung im geätzten Teil eine Rolle bei der Steuerung der Profile.
  • Nach der Dissoziation von Bromwasserstoff (HBr) im Plasma wird Brom an einer Seitenwand des geätzten Teils adsorbiert und bildet ein Polymer der Si-Br-Reihe. Solch ein Polymer der Si-Br-Reihe wirkt als Passivierung, indem es die Reaktion der Oberfläche der geätzten Schicht mit Chlor unterbricht und dadurch gute Profile erzeugt.
  • Die 1(a) und 1(b) zeigen die Resultate von Verfahren, die zum Ätzen einer Polysiliciumschicht ein gemischtes Gas, typischerweise aus Chlor, Bromwasserstoff, Sauerstoff und Argon, verwenden.
  • In 1 haben die geätzten Profile einer Polysiliciumschicht annähernd die Gestalt eines umgekehrten Trapezoids, und die Vertikalebene ist geneigt.
  • Da die obengenannten herkömmlichen Plasmaätzverfahren keine Profile mit zufriedenstellend senkrechter Seitenwand liefern können, können diese herkömmlichen Verfahren nicht bei einem Verfahren angewendet werden, das ultrafeine und hohe Integration erfordert.
  • Des weiteren ist aus J. Maa et al., J. Vac. Sci. Technol. B8 (4), 1990, S. 581-585, ein Verfahren zur Plasmaätzung von Wafern bekannt, das BCl3/Cl2 als Ätzgas und CHCl3 als zusätzliches Gas verwendet.
  • Aus Jap. J. of Applied Physics, Vol. 27, Nr. 1, Jan. 1988, Seiten 95-99 ist ein weiteres Verfahren zur Plasmaätzung von Wafern bekannt, bei welchem eine Mischung aus Cl2/CHF3 als Ätzgas eingesetzt wird.
  • Donald L. Smitz et al. beschreiben in J. Vac. Sci. Technol., 21 (3) Sept./Okt. 1982, Seiten 768-773, ein Plasmaätzverfahren, bei welchem als Ätzgas eine Mischung aus Cl2 und 20 CCl4, CHCl3 oder CH3Cl untersucht werden.
  • Haruo Okano et al. berichten in Solid State Technology, April 1982, Seiten 166-170, von einem Verfahren zur Plasmaätzung von Wafern, bei welchem als Ätzgase CHF3, Cl2/H2-Mischungen oder Cl2 eingesetzt werden.
  • P.H. Singer berichtet in Semiconductor International, März 1988, Vol. 11, Nr. 4, Seiten 68-73 über Plasmaätzverfahren, bei welchen fluor- oder chlorhaltige Ätzgase verwendet werden, beispielsweise eine Cl2/H2-Mischung, oder komplexere Mischungen aus BCl3 oder SiCl4 mit Cl2, CHCl3 oder N2.
  • Ziel der vorliegenden Erfindung ist es, ein Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements zur Verfügung zu stellen, um die Gestaltung des Profils, das in eine spezielle Schicht geätzt wird, zu verbessern, um für ein Verfahren geeignet zu sein, das ultrafeine und hohe Integration erfordert.
  • Um obengenanntes Ziel zu erreichen, stellt die vorliegende Erfindung ein Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements zur Verfügung, bei dem eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Polysiliciumschicht auf einem Wafer durch eine selektive Reaktion und Ionenkollision in Abhängigkeit von einem Maskenmuster auf dem oberen Teil zu ätzen, wobei das Verfahren einen Schritt umfaßt zur Zufuhr eines aus einem chlor- oder fluorhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Carbenstruktur erzeugt, gemischten Gases als das Zufuhrgas, und einen Schritt zur Bildung eines im Plasmazustand erzeugten Polymers, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Polysiliciumschicht geätzt werden, wobei als zusätzliches Gas CH3Br, CH2Br2, CHBr3, C2H5Br, C2H4Br2 oder eine Mischung davon verwendet wird.
  • Als Ätzgas kann Cl2, BCl3, HCl, SiCl4, F2, SF6, CF4, CHF3 oder eine Mischung davon verwendet werden.
  • Zusätzlich wird, wenn die Unterschicht des Polysiliciums als Siliciumoxid (SiO2) besteht, mehr Sauerstoff zur selektiven Ätzung zwischen den Schichten zugeführt. Das Verhältnis von Chlor zu Sauerstoff beträgt vorzugsweise 2:1 bis 6:1.
  • Das Ätzgas und das zusätzliche Gas kann Zwischenprodukte als induktiv gekoppeltes Plasma oder kapazitiv gekoppeltes Plasma erzeugen, um die Polysiliciumschicht selektiv zu ätzen.
  • Die vorliegende Erfindung stellt auch ein Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements zur Verfügung, bei dem eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Siliciumoxidschicht auf einem Wafer durch selektive Reaktion und Ionenkollision in Abhängigkeit von einem Maskenmuster auf dem oberen Teil zu ätzen, wobei das Verfahren einen Schritt umfaßt zur Zufuhr eines aus einem fluorhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, gemischten Gases als das Zufuhrgas, und einen Schritt zur Bildung eines im Plasmazustand erzeugten Polymers, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Siliciumoxidschicht geätzt werden, wobei als das zusätzliche Gas CH3Br, CH2Br2, CHBr3, C2H5Br, C2H4Br2 oder eine Mischung davon verwendet wird.
  • Als Ätzgas kann vorzugsweise F2, SF6, CF4 oder CHF3 verwendet werden.
  • Die vorliegende Erfindung stellt auch ein Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements zum Ätzen eines siliciumhaltigen Materials auf einem Wafer zur Verfügung; wobei BrI oder Br2 (worin Brom und ein anderes Halogenatom miteinander verbunden sind) mit einem Ätzgas zugeführt wird, um ein Polymer zum Schutz der Profilseiten wände zu bilden, die durch selektives Ätzen der siliciumhaltigen Schicht gebildet werden.
  • 1(a) und 1(b) sind Photographien, die die Profile zeigen, die durch Ätzen einer Schicht aus siliciumhaltigem Material mittels eines Plasmaätzverfahrens bei einem herkömmlichen Verfahren zur Herstellung eines Halbleiterbauelements erzeugt wurden.
  • 2 ist eine Schnittansicht einer Waferschicht zur Veranschaulichung einer Ausführungsform eines erfindungs gemäßen Verfahrens zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements.
  • 3(a) und 3(b) sind Photographien, die die Profile zeigen, die durch Ätzen einer Schicht aus Polysilicium, Silicium oder Siliciumoxid Material gemäß einer Ausführungsform der vorliegenden Erfindung erzeugt wurden.
  • Gemäß der vorliegenden Erfindung wird das Ätzen auf einer speziellen, auf einem Wafer ausgebildeten Schicht durch geführt, wobei die Schicht eine Polysiliciumschicht und eine Siliciumoxidschicht enthält.
  • Entsprechende Ausführungsformen zum Ätzen der obengenannten Schichten werden gemäß den Eigenschaften der jeweiligen Schichten durch geeignete Auswahl eines Hauptätzgases und zusätzlichen Gasen erhalten.
  • Zuerst wird eine Ausführungsform zur Anwendung an einer Polysiliciumschicht beschrieben, wobei auf 2 bezuggenommen wird.
  • Wie in 2 gezeigt, werden eine Grundschicht 10, eine Siliciumoxidschicht 12, eine Polysiliciumschicht 14 und, zum Maskieren, eine Photoresistschicht 16 der Reihe nach zu einem Wafer laminiert.
  • Die Grundplatte 10 ist eine Einkristallsiliciumkomponente, die den Wafer bildet, und die Siliciumoxidschicht 12 wird mehrere 10 nm dick auf der Grundschicht 10 ausgebildet, und die Polysiliciumschicht 14 wird mehrere 100 nm dick abgeschieden, um ein Gate für einen Transistor zu bilden, und die Photoresistschicht 16 wird zum Maskieren des nichtgeätzten Teils der Polysiliciumschicht 14 aufgetragen.
  • Als Apparaturen für die Durchführung des Verfahrens zum Ätzen des Wafers mit der in 2 gezeigten laminierten Struktur kann ein induktiv gekoppelter Plasmatyp, worin das Plasma durch Wickeln der Spulen um eine Quarzröhre (Figur nicht gezeigt) induktiv erzeugt wird, als Plasmaquelle verwendet werden, oder es kann ein kapazitiv gekoppelter Plasmatyp auf Kondensorart verwendet werden. Wenn induktiv gekoppelter Plasmatyp verwendet wird, ist ein Diffusor, worin mehrere Schichtlagen überlappt sind, vor dem Reaktor zur gleichmäßigen Vermischung des Ätzgases vorgesehen (Figur nicht gezeigt). Als Energie zur Plasmaerzeugung oder zur Bildung einer Gleichstromvorspannung wird Hochfrequenz verwendet. Die Temperaturbedingungen sind je nach Eigenschaften der verwendeten Gase variabel.
  • Wie oben erwähnt, wird, nachdem die Apparaturen zur Plasmaätzung vorbereitet sind, ein Hauptätzgas, das aus der Gruppe, bestehend aus den chlorhaltigen Stoffen Cl2, BCl3, HCl oder SiCl4, ausgewählt ist, zugeführt, und Brommethan (CH3Br) wird aus den Gasen, die Zwischenprodukte mit Carbenstruktur erzeugen, d.h. CH3Br, CH2Br2, CHBr3, C2H5Br oder C2H4Br2, ausgewählt und als zusätzliches Gas zugeführt. Der Grund, warum Brommethan aus den Gasen, die solche Zwischenprodukte mit Carbenstruktur erzeugen, ausgewählt wird, ist, daß wenn Gas verwendet wird, das bei Raumtemperatur (etwa 20°C) im gasförmigen Zustand vorliegt, die Parameter, wie z.B. Dampfdruck, leicht zu steuern sind. Durch Variation der Temperaturbedingung können auch andere Gase, die Zwischenprodukte mit Carbenstruktur erzeugen, selektiv verwendet werden.
  • Zusätzlich wird Sauerstoff (oder Stickstoff) als weiteres zusätzliches Gas zur Profilsteuerung zugeführt, und Argon wird aus den Inertgasen (Ar oder He) ausgewählt und als Träger zugeführt. Obwohl die Polysiliciumschicht 14 durch Zufuhr der fluorhaltigen Stoffe F2, SF6, CF4 oder CHF3 als Hauptätzgas geätzt wird, läßt sich die Ätzreaktion bei dieser Ausführungsform auch unter Bedingungen, bei denen ein chlorhaltiges Hauptätzgas zugeführt wird, durchführen.
  • Jedes der obengenannten Gase (Hauptätzgas, Cl2, CH3Br, O2 und Ar usw.) wird zugeführt und anschließend durch Anwendung von Hochfrequenz in das Zwischenprodukt im Plasmazustand überführt, wobei der Mechanismus wie nachstehend in den Gleichungen beschriebenen ist.
    Figure 00100001
    (wobei x 0 oder 1 ist, y bzw. z 0 bis 2 sind, und HF Hochfrequenz bedeutet)
    Figure 00100002
  • Zusätzlich zu den obigen Gleichungen, <Gleichung 1> bis <Gleichung 3>, wird Inertgas dissoziiert und aktiviert.
  • Wie oben erwähnt, reagieren aktivierte Komponenten im Plasmazustand mit einer Oberfläche der Polysiliciumschicht 14, die nicht durch eine Photoresistschicht 16 überdeckt ist, wie in den nachfolgenden Gleichungen beschrieben.
  • Figure 00100003
  • Aktiviertes Chlor, wie in <Gleichung 1>, wird durch Argon als Trägergas an die Oberfläche der Polysiliciumschicht herangetragen und an die in der Oberfläche der Polysiliciumschicht enthaltenen Siliciumkomponenten wie in <Gleichung 4> gebunden, um Siliciumchlorid (SiCl) zu erzeugen, und Siliciumchlorid wird auf der Oberfläche der Polysiliciumschicht 14 abgeschieden.
  • Brom wird wie in <Gleichung 2> im Plasmazustand erzeugt und an eine in der Oberfläche der Polysiliciumschicht 14 enthaltene Siliciumkomponente gebunden, um SiBr zu erzeugen, und SiBr-Polymer wird wie in <Gleichung 5> auf der Oberfläche abgeschieden.
  • Das in <Gleichung 2> erzeugte CxHyBrz ist ein Garben mit H-C-Br-Struktur und ist an eine in der Oberfläche der Polysiliciumschicht 14 enthaltene Siliciumkomponente wie in <Gleichung 6> gebunden. Somit liegt ein Polymer, das in Kettenmuster durch CxHyBrz-CxHyBrz-Bindung gebunden ist, auf der Oberfläche und den Seitenwänden der durch Ätzung gebildeten Profile vor.
  • In diesem Fall wird Garben, CxHyBrz, gebildet, worin das Verhältnis der miteinander verbundenen jeweiligen Elemente, x:y:z, so ist, daß x 1 ist und z von 0 bis 2 variieren können. Somit werden Bromcarben (:CHBr) bzw. Dihydrogencarben (:CH2, Methylen) bzw. Dibromcarben (:CBr2) erzeugt und können das Polymer bilden.
  • Das heißt, daß die Polysiliciumschicht 14 des Wafers wie in 3 durch Bildung von SiCl und SiBr, die durch das obengenannte Verfahren erzeugt werden, geätzt werden, und daß, wenn die Polysiliciumschicht geätzt wird, SiBr und CxHyBrz-CxHy-Brz auf der geätzten Oberfläche adsorbiert oder als Polymer ausgebildet werden.
  • Polymere können auf der Oberfläche der Profile der Polysiliciumschicht 14 ausgebildet werden, namentlich auf der Seitenwand oder dem Boden. Polymere 18, die sich an der Seitenwand ausbilden, unterbrechen die Reaktion von in der Oberfläche der Polysiliciumschicht 14 enthaltenem Silicium mit Chlor im Plasmazustand, so daß senkrechte Profilseitenwände entstehen, und durch die Polymere auf den senkrechten Profilseitenwänden wird eine Überätzung der Seitenwände oder ein Ätzen abnormaler Profile verhindert. Auch auf dem Boden ausgebildete Polymere verhindern durch Unterbrechung der Reaktion von in der Oberfläche der Polysiliciumschicht 14 enthaltenem Siliciums mit Chlor im Plasmazustand das Ätzen. Jedoch können die Polymere am Boden den Fortgang der Ätzung nicht beeinflussen, da diese Polymere durch physikalisches Zerstäuben mit aktiviertem Argon entfernt werden.
  • Bei dem obenbeschriebenen Verfahren wird während des Verlaufs des Ätzverfahrens physikalisches Zerstäuben mit Argon zur Plasmaätzung nicht nur in der Polysiliciumschicht 14 sondern auch auf der Oberfläche der Photoresistdeckschicht 16 erreicht. Dabei ist in dem Photoresist eine Kohlenstoffkomponente enthalten und die Kohlenstoffkomponente wird durch physikalisches Zerstäuben mit Argon zerstreut.
  • Da Kohlenstoff eine Affinität zu Sauerstoff besitzt, neigt, wenn der untere Teil der Polysiliciumschicht 14 wie in 2 aus einer Siliciumoxidschicht 12 besteht, Kohlenstoff dazu, sich mit Sauerstoff, der in der Siliciumoxidschicht 12, die eine Unterschicht des Polysiliciums 14 ist, enthalten ist, je nach Ausmaß der Ätzung zu verbinden.
  • Daher tritt, wenn der Sauerstoff des Siliciumoxids an Kohlenstoff gebunden ist, eine Ätzung der Siliciumoxidschicht 12 auf, und die Ätzselektivität zwischen den Schichten verringert sich. Um dies zu verhindern, wird in einer Ausführungsform der vorliegenden Erfindung Sauerstoffgas zugeführt. Sauerstoff wird dann in den Plasmazustand und in die Radikalform (O) oder Innenform (O2 +) überführt, und diese werden an Kohlenstoff gebunden, um Kohlenmonoxid (CO) und Kohlendioxid (CO2) zu bilden.
  • Deshalb kann eine Zufuhr von Sauerstoff- oder Stickstoffgas die Verringerung der Selektivität zwischen den Schichten aufgrund der während des Ätzverfahrens erzeugten Kohlenstoffkomponente verhindern.
  • Wenn jedoch die Menge an Sauerstoffgas im Vergleich zur Menge an Chlorgas zu groß ist, findet keine Ätzung mehr statt, deshalb muß das Sauerstoffgas in geeigneten Mengen zugeführt werden. Vorzugsweise wird es in einem Mengenverhältnis von Sauerstoffgas zu Chlorgas, welches ein Hauptätzgas ist, von etwa 2:1 bis 6:1 zugeführt.
  • Die Ergebnisse der obigen, getesteten Ausführungsform der vorliegenden Erfindung sind in den 3(a) und 3(b) gezeigt.
  • Als Versuchsbedingung wird Cl2 als Hauptätzgas zugeführt, und CH3Br, O2 und Inertgas werden durch Zumischen als zusätzliche Gase verwendet. Die Bedingung für Ätzapparaturen sind: Energieleistung 800 – 1000 W, Vorspannung 0 – 300 V und Gesamtdruck 0,27 – 2,00 Pa. Ebenso wird die Menge eines jeden zugeführten Gases kontrolliert und dann so zugeführt, daß sie für Cl2 10 – 200 sccm, CH3Br 2 – 100 sccm, O2 0 – 100 sccm und Inertgas 0 – 200 beträgt.
  • Die Einheit für die Gaszufuhr, sccm, gibt die Menge an zugeführtem Fluid innerhalb 1 Minute (Standardkubikzentimeter pro Minute) bei 0°C und 1,01325 bar (1 atm) an. Die Temperatur der Elektrode zur Kontrolle der Temperatur im Inneren, wo das Ätzen durchgeführt wird, beträgt -20 bis 60°C.
  • Werden die Profile in den 3(a) und 3(b), die die Ergebnisse der Experimente unter den obengenannten Bedingungen darstellen, mit den Profilen in den 1(a) und 1(b), die durch herkömmliche Verfahren geätzt wurden, verglichen, so zeigt sich, daß in den Profilen in den 3(a) und 3(b) gemäß dieser Ausführungsform der vorliegenden Erfindung die Grenzebene zwischen der Photoresistschicht 16 und der Polysiliciumschicht 14 eine glatte gerade Linie bildet, und das Gesamtprofil aufgrund der senkrecht ausgebildeten Seitenwand rechteckig ist, wohingegen in den Profilen in den 1(a) und 1(b) die Grenzebene zwischen der Photoresistschicht 16 und der Polysiliciumschicht 14 keine gerade glatte Linie bildet und das Gesamtprofil aufgrund der geneigten Seitenwand eine umgekehrt trapezoide Gestalt besitzt.
  • Aus den 3(a) und 3(b) wird ebenso ersichtlich, daß das von Hochdruckplasmaätzverfahren bekannte Grabenbildungsphänomen kaum auftritt.
  • Aufgrund der glatten und senkrecht ausgebildeten Ebene, dargestellt in 3(a) und 3(b), kann durch eine Ausführungsform der vorliegenden Erfindung leicht ein hochintegriertes und ultrafeines Muster gebildet werden.
  • Als zweite Ausführungsform gemäß der vorliegenden Erfindung kann das Verfahren verwendet werden, worin die fluorhaltigen Stoffe F2, SF6, CF4 oder CHF3 als Hauptätzgas verwendet werden, und ein zusätzliches Gas, ausgewählt aus der Gruppe, bestehend aus CH3Br, CH2Br2, CHBr3, C2H5Br oder C2H4Br2, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, zugeführt wird, um eine Polysiliciumschicht zu ätzen.
  • Bei der oben erwähnten zweiten Ausführungsform sind die anderen Gase, die zum Ätzen beigemischt werden, die gleichen wie in der ersten Ausführungsform, die Ätzapparaturen sind nahezu gleich, die Polymere in der Seitenwand, die durch Ätzen gebildet werden, wirken als Passivierung, und das Ergebnis ist ein verbessertes Profiläußeres. Deshalb ist die zweite Ausführungsform, ähnlich wie die erste, zur Bildung eines hochintegrierten und ultrafeinen Musters geeignet.
  • Als dritte Ausführungsform kann die vorliegende Erfindung zum Ätzen einer Siliciumoxidschicht verwendet werden, wobei fluorhaltiges F2, SF6, CF4 oder CHF3 als Hauptätzgas zum Ätzen von typischen Siliciumoxidschichten, ein Gas, ausgewählt aus der Gruppe, bestehend aus CH3Br, CH2Br2, CHBr3, C2H5Br oder C2H4Br2, das durch Plasmaentladung ein Zwischenprodukt mit Carbenstruktur erzeugt, und andere Gase vermischt werden, um die Siliciumoxidschicht zu ätzen, wobei dieselben Auswirkungen wie in Beispiel 1 erzielt werden.
  • Als vierte Ausführungsform wird BrI oder Br2, worin Brom an ein Atom aus der Halogengruppe gebunden ist, durch Vermischen mit einem Hauptätzgas zugeführt, um Polymere auf der Seitenwand zu bilden und eine Polysiliciumschicht oder Siliciumoxidschicht zu ätzen. Als fünfte Ausführungsform wird BBr3 als ein zusätzliches Gas mit einem Hauptätzgas vermischt, um das Ätzen durchzuführen, und als Ergebnis werden gute Profile erhalten.
  • Neben dem Trockenätzen einer Polysiliciumschicht oder Siliciumoxidschicht wie in den obengenannten Ausführungsformen eins bis fünf, kann auch eine Komponente, die durch Plasmaentladung ein Zwischenprodukt mit Carbenstruktur erzeugt, als ein zusätzliches Gas verwendet, um einer Metallschicht im geätzten Teil Polymere zu bilden, wobei die Profile verbessert werden und eine garantiert senkrechte Lage der Seitenwände erzielt wird.
  • Daher wird die Verbesserung von Ätzprofilen, die als schwierigster Punkt bei der Trockenätzung angesehen wird, durch Polymere erzielt, die als Zwischenprodukte von ent sprechenden Gasen gemäß der vorliegenden Erfindung gebildet werden, und die Profile einer trockengeätzten Schicht, die gemäß der vorliegenden Erfindung erhalten wurden, erweisen sich als vollkommen senkrecht.
  • Deshalb werden gemäß der vorliegenden Erfindung Profile der geätzten Schicht erhalten, die sich zur Herstellung von Halbleiterbauelementen, die ultrafeine und hohe Integration erfordern, eignen, wobei eine hohe Kapazität und ein hoher Wirkungsgrad des Halbleiterbauelements erzielt werden können.
  • Obwohl die vorliegende Erfindung mit Bezug auf spezielle Ausführungsformen gezeigt und beschrieben worden ist, wird der Fachmann erkennen, daß verschiedene Änderungen in Gestalt und Detail vorgenommen werden können, ohne vom Sinn und vom Umfang der Erfindung, die durch die beigefügten Ansprüche definiert ist, abzuweichen.

Claims (31)

  1. Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements, worin eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Polysilicium-Schicht (14) auf einem Wafer (10) durch eine selektive Reaktion und Ionenkollision in Abhängigkeit eines Maskenmustern auf dem oberen Teil zu ätzen, ein aus einem chlorhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, gemischtes Gas als das Zufuhrgas zugeführt wird und ein im Plasmazustand erzeugtes Polymer, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Polysiliciumschicht geätzt werden, gebildet wird, dadurch gekennzeichnet, daß das zusätzliche Gas CH3Br, CH2Br2, CHBr3, C2H5Br oder C2H4Br2 oder eine Mischung davon ist.
  2. Verfahren gemäß Anspruch 1, wobei das Ätzgas Cl2, BCl3, HCl oder SiCl4 oder eine Mischung davon ist.
  3. Verfahren gemäß Anspruch 1, wobei He- oder Ar-Gas als Trägergas zugeführt werden.
  4. Verfahren gemäß Anspruch 2, wobei das Ätzen bei Raumtemperatur durchgeführt wird und Brommethan (CH3Br) als das zusätzliche Gas zugeführt wird.
  5. Verfahren gemäß Anspruch, 1, wobei des weiteren zur selektiven Ätzung zwischen zwei Schichten Sauerstoff (O2) zugeführt wird, wenn die Unterschicht des Polysiliciums aus Siliciumoxid (SiO2) besteht,
  6. Verfahren gemäß Anspruch 5, wobei das Verhältnis von Chlor zu zugeführtem Sauerstoff 2:1 bis 6:1 beträgt.
  7. Verfahren gemäß Anspruch 1, wobei zur Erzeugung der Plasmaentladung eine Plasmaquelle des induktiv gekoppelten Plasmatyps verwendet wird.
  8. Verfahren gemäß Anspruch 1, wobei zur Erzeugung der Plasmaentladung eine Plasmaquelle des kapazitiv gekoppelten Plasmatyps verwendet wird.
  9. Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements, worin eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Polysiliciumschicht (14) auf einem Wafer (10) durch eine selektive Reaktion und Innenkollision in Abhängigkeit eines Maskenmusters auf dem oberen Teil zu ätzen, ein aus einem chlorhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, gemischtes Gas als das Zufuhrgas zugeführt wird und ein Plasmazustand erzeugtes Polymer, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Polysiliciumschicht geätzt werden, gebildet wird, dadurch gekennzeichnet, daß das Zwischenprodukt mit Carbenstruktur CxHyBrZ ist (wobei x gleich 1 und y und z 0 bis 2 bedeuten).
  10. Verfahren gemäß Anspruch 9, wobei das Zwischenprodukt Bromcarben (:CHBr) ist.
  11. Verfahren gemäß Anspruch 9, wobei das Zwischenprodukt Dihydrogencarben (:CH2) ist.
  12. Verfahren gemäß Anspruch 9, wobei das Zwischenprodukt Dibromcarben (:CBr2) ist.
  13. Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements, worin eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Polysiliciumschicht auf einem Wafer durch eine selektive Reaktion und Ionenkollision in Abhängigkeit eines Maskenmusters auf dem oberen Teil zu ätzen, ein aus einem fluorhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, gemischtes Gas als das Zufuhrgas zugeführt wird und ein im Plasmazustand erzeugtes Polymer, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Polysiliciumschicht geätzt werden, gebildet wird, dadurch gekennzeichnet, daß das zusätzliche Gas CH3Br, CH2Br2, CHBr3, C2H5Br oder C2H4Br2 oder eine Mischung davon ist.
  14. Verfahren gemäß Anspruch 13, wobei das Ätzgas F2, SF6, CF4 oder CHF3 oder eine Mischung davon ist.
  15. Verfahren gemäß Anspruch 13, wobei He- oder Ar-Gas als Trägergas zugeführt werden.
  16. Verfahren gemäß Anspruch 13, wobei des weiteren zur selektiven Ätzung zwischen den Schichten Sauerstoff (O2 ) zugeführt wird, wenn die Unterschicht des Polysiliciums aus Siliciumoxid (SiO2) besteht.
  17. Verfahren gemäß Anspruch 13, wobei zur Erzeugung der Plasmaentladung eine Plasmaquelle des induktiv gekoppelten Plasmatyps verwendet wird.
  18. Verfahren gemäß Anspruch 13, wobei zur Erzeugung der Plasmaentladung eine Plasmaquelle des kapazitiv gekoppelten Plasmatyps verwendet wird.
  19. Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements, worin eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Polysilicium-Schicht auf einem Wafer durch eine selektive Reaktion und Innenkollision in Abhängigkeit eines Maskenmusters auf dem oberen Teil zu ätzen, ein aus einem flourhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwi schenprodukt mit Garbenstruktur erzeugt, gemischtes Gas als das Zufuhrgas zugeführt wird und ein im Plasmazustand erzeugtes Polymer, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Polysiliciumschicht geätzt werden, gebildet wird, dadurch gekennzeichnet,-daß das Zwischenprodukt mit Garbenstruktur CXHyBrZ ist (wobei x gleich 1 und y und z 0 bis 2 bedeuten) .
  20. Verfahren gemäß Anspruch 19, wobei das Zwischenprodukt Bromcarben (:CHBr) ist.
  21. Verfahren gemäß Anspruch 19, wobei das Zwischenprodukt Dihydrogencarben (:CH2) ist.
  22. Verfahren gemäß Anspruch 19, wobei das Zwischenprodukt Dibromcarben (:CBr2) ist.
  23. Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements, worin eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Siliciumoxidschicht auf einem Wafer durch eine selektive Reaktion und Ionenkollision in Abhängigkeit eines Maskenmusters auf dem oberen Teil zu ätzen, ein aus einem flourhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, gemischtes Gas als das Zufuhrgas zugeführt wird und ein im Plasmazustand erzeugtes Polymer, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Siliciumoxidschicht geätzt werden, gebildet wird, dadurch gekennzeichnet, daß das zusätzliche Gas CH3Br, CH2Br2, CHBr3, C2H5Br oder C2H4Br2 oder eine Mischung davon ist .
  24. Verfahren gemäß Anspruch 23, wobei das Ätzgas F2, SF6, CF4 oder CHF3 oder eine Mischung davon ist.
  25. Verfahren gemäß Anspruch-23, wobei He- oder Ar-Gas als Trägergas zugeführt werden.
  26. Verfahren gemäß Anspruch 23, wobei zur Erzeugung der Plasmaentladung eine Plasmaquelle des induktiv gekoppelten Plasmatyps verwendet wird.
  27. Verfahren gemäß Anspruch 23, wobei zur Erzeugung der Plasmaentladung eine Plasmaquelle des kapazitiv gekoppelten Plasmatyps verwendet wird.
  28. Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements, worin eine Vielzahl an Zufuhrgasen in den Plasmazustand überführt werden, um eine Siliciumoxidschicht auf einem Wafer durch eine selektive Reaktion und Ionenkollision in Abhängigkeit eines Maskenmusters auf dem oberen Teil zu ätzen, ein aus einem flourhaltigen Ätzgas und einem zusätzlichen Gas, das durch Plasmaentladung ein Zwischenprodukt mit Garbenstruktur erzeugt, gemischtes Gas als das Zufuhrgas zugeführt wird und ein im Plasmazustand erzeugtes Polymer, das ein aus dem Zwischenprodukt zusammengesetztes Material ist, auf den Seitenwänden der Profile, die in die Siliciumoxidschicht geätzt werden, gebildet wird, dadurch gekennzeichnet, daß das Zwischenprodukt mit Garbenstruktur CXHyBrZ ist (wobei x gleich 1 und y und z 0 bis 2 bedeuten).
  29. Verfahren gemäß Anspruch 28, wobei das Zwischenprodukt Bromcarben (:CHBr) ist.
  30. Verfahren gemäß Anspruch 28, wobei das Zwischenprodukt Dihydrogencarben (:CH2) ist.
  31. Verfahren gemäß Anspruch 28, wobei das Zwischenprodukt Dibromcarben (:CBr2) ist.
DE19636288A 1996-05-08 1996-09-06 Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements Expired - Fee Related DE19636288B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR96-15149 1996-05-08
KR1019960015149A KR100230981B1 (ko) 1996-05-08 1996-05-08 반도체장치 제조공정의 플라즈마 식각 방법

Publications (2)

Publication Number Publication Date
DE19636288A1 DE19636288A1 (de) 1997-11-13
DE19636288B4 true DE19636288B4 (de) 2004-02-12

Family

ID=19458133

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19636288A Expired - Fee Related DE19636288B4 (de) 1996-05-08 1996-09-06 Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements

Country Status (7)

Country Link
US (1) US5900163A (de)
JP (1) JP2807445B2 (de)
KR (1) KR100230981B1 (de)
CN (1) CN1132232C (de)
DE (1) DE19636288B4 (de)
GB (1) GB2313230B (de)
TW (1) TW306026B (de)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2956602B2 (ja) * 1996-08-26 1999-10-04 日本電気株式会社 ドライエッチング方法
JP3252780B2 (ja) * 1998-01-16 2002-02-04 日本電気株式会社 シリコン層のエッチング方法
US6083815A (en) * 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
US6069086A (en) * 1998-04-30 2000-05-30 Applied Materials, Inc. Non-HBr shallow trench isolation etch process
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
KR100550348B1 (ko) * 1998-07-22 2006-05-17 삼성전자주식회사 반도체소자의 식각방법
US6107206A (en) * 1998-09-14 2000-08-22 Taiwan Semiconductor Manufacturing Company Method for etching shallow trenches in a semiconductor body
US6235214B1 (en) * 1998-12-03 2001-05-22 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6312616B1 (en) 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
KR100511929B1 (ko) * 1998-12-15 2005-10-26 주식회사 하이닉스반도체 반도체 장치의 콘택홀 형성방법_
JP2000208488A (ja) * 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6565759B1 (en) * 1999-08-16 2003-05-20 Vanguard International Semiconductor Corporation Etching process
US6306313B1 (en) 2000-02-04 2001-10-23 Agere Systems Guardian Corp. Selective etching of thin films
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
JP2002343798A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp 配線層のドライエッチング方法、半導体装置の製造方法および該方法によって得られた半導体装置
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6872652B2 (en) * 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
ATE557418T1 (de) 2001-10-31 2012-05-15 Tokyo Electron Ltd Verfahren zum ätzen von merkmalen mit hohem streckungsverhältnis
KR20030071195A (ko) * 2002-02-28 2003-09-03 주식회사 하이닉스반도체 반도체 제조용 플라즈마 식각 공정
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US20050029226A1 (en) * 2003-08-07 2005-02-10 Advanced Power Technology, Inc. Plasma etching using dibromomethane addition
KR100641952B1 (ko) * 2004-02-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
EP1793418B1 (de) * 2004-07-02 2013-06-12 Ulvac, Inc. Ätzverfahren und -system
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
KR100623592B1 (ko) * 2004-10-30 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성 방법
KR100562657B1 (ko) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 리세스게이트 및 그를 구비한 반도체장치의 제조 방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
EP1816674A1 (de) * 2006-02-01 2007-08-08 Alcatel Lucent Verfahren zur anisotropen Ätzung
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
KR20170116213A (ko) * 2006-04-10 2017-10-18 솔베이 플루오르 게엠베하 에칭 방법
TW200917417A (en) * 2007-10-01 2009-04-16 Nanya Technology Corp Interconnection process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120000612A (ko) 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104124148B (zh) * 2013-04-26 2017-08-22 中微半导体设备(上海)有限公司 硅片刻蚀方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
CN104779151B (zh) * 2014-01-13 2018-01-26 北大方正集团有限公司 一种多晶硅刻蚀方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6569578B2 (ja) * 2016-03-30 2019-09-04 日本ゼオン株式会社 プラズマエッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107910260A (zh) * 2017-11-14 2018-04-13 扬州扬杰电子科技股份有限公司 一种多晶硅回刻方法
US10468527B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN116721915B (zh) * 2023-08-04 2023-10-03 无锡邑文电子科技有限公司 SiC刻蚀方法和设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4490209A (en) * 1983-12-27 1984-12-25 Texas Instruments Incorporated Plasma etching using hydrogen bromide addition

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4789426A (en) * 1987-01-06 1988-12-06 Harris Corp. Process for performing variable selectivity polysilicon etch
US4818334A (en) * 1988-03-15 1989-04-04 General Electric Company Method of etching a layer including polysilicon
JPH0467624A (ja) * 1990-07-09 1992-03-03 Fujitsu Ltd エッチング方法
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5296095A (en) * 1990-10-30 1994-03-22 Matsushita Electric Industrial Co., Ltd. Method of dry etching
US5126008A (en) * 1991-05-03 1992-06-30 Applied Materials, Inc. Corrosion-free aluminum etching process for fabricating an integrated circuit structure
JPH05102098A (ja) * 1991-10-11 1993-04-23 Sony Corp 配線形成方法
JP3259295B2 (ja) * 1991-10-22 2002-02-25 ソニー株式会社 ドライエッチング方法及びecrプラズマ装置
JP3179872B2 (ja) * 1991-12-19 2001-06-25 東京エレクトロン株式会社 エッチング方法
JP3318777B2 (ja) * 1992-11-17 2002-08-26 ソニー株式会社 ドライエッチング方法
US5691246A (en) * 1993-05-13 1997-11-25 Micron Technology, Inc. In situ etch process for insulating and conductive materials
US5437765A (en) * 1994-04-29 1995-08-01 Texas Instruments Incorporated Semiconductor processing
JP3353462B2 (ja) * 1994-06-10 2002-12-03 ソニー株式会社 ドライエッチング方法
US5591301A (en) * 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4490209A (en) * 1983-12-27 1984-12-25 Texas Instruments Incorporated Plasma etching using hydrogen bromide addition
US4490209B1 (en) * 1983-12-27 2000-02-15 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition

Non-Patent Citations (16)

* Cited by examiner, † Cited by third party
Title
$and Microengineering (June 1995), Vol. 5, No. 2, pp. 115-120. In: Datenbank STN, file INSPEC, AN 95:5008332
ARIKADO,T. et.al.: Single Silicon Etching Profile Simulation. In: Jap. Journal of Appl.Phys., Vol. 27, No. 1, Jan. 1988, pp. 95-99 *
JANSEN, H. et.al.: The black silicon method: a universal method... In: Journal of Micromechanics *
JP 5-335286 A2. In: Patent Abstracts of Japan, E-1525, 16.3.1994, Vol. 18, No. 158
JUCHA, B. and DAVIS, C.: Reactive Ion etching of thick CVD tungsten films. In: 1988 Proceedings Fifth International IEEE VLSI Multilevel Intercon- nection Conference, IEEE 1988, pp. 165-171. In: Datenbank STN, file INSPEC, AN 88:3259404
JUCHA, B. and DAVIS, C.: Reactive Ion etching of thick CVD tungsten films. In: 1988 Proceedings Fifth International IEEE VLSI Multilevel Intercon-nection Conference, IEEE 1988, pp. 165-171. In: Datenbank STN, file INSPEC, AN 88:3259404 *
JUERGENSEN, C.W. et.al.: Tungsten pattering... In: J.Vac.Sci.Technol.B9(6),Nov/Dec 1991,pp.3280-3286
LI, Y.X. et.al.: Effects of Plasma Etching Chemis- try... In: IEEE Transactions on Electron Devices, Vol. 42, No. 1, Jan. 1995, pp. 64-69
LI, Y.X. et.al.: Reactive ion etching (RIE) tech- niques. In: Sensors and Actuactors A, Vol. 41-42, 1994, pp. 317-323 *
MAA, Jer-Shen et.al.: Effects on sidewall profile of Si.. In: J.Vac.Sci.Technol. B 8 (4), Jul/Aug. 1990, pp. 581-585 *
OKANO, H. et.al.: High Rate Reactive Ion Etching.. In: Solid State Technology, April 1982,pp.166-170
OKANO, H. et.al.: High Rate Reactive Ion Etching..In: Solid State Technology, April 1982,pp.166-170 *
SINGER, P.H.: Today's plasma etch chemistries. In: Semiconductor International (March 1988), Vol. 11, No. 4, pp. 68-73. In: Datenbank STN, file INSPEC, AN 89:3307557
SMITH, D.L. et.al.: Plasma beam studies.. In: J. Vac.Sci.Technol., 21 (3), Sep/Oct 1982,pp.768-773 *
SZE, S.M. (Hrsg.): VLSI Technology, 2. Ausgabe, Mc Graw-Hill Publishing Comp., 1988, pp. 200-204;$
YIN, G.Z.: High-selectivity plasma etching... In: J.Vac.Sci.Technol. A7(3),May/Jan 1989, pp.691-695

Also Published As

Publication number Publication date
JPH09306895A (ja) 1997-11-28
GB2313230A (en) 1997-11-19
GB9623432D0 (en) 1997-01-08
DE19636288A1 (de) 1997-11-13
US5900163A (en) 1999-05-04
CN1164761A (zh) 1997-11-12
KR100230981B1 (ko) 1999-11-15
CN1132232C (zh) 2003-12-24
GB2313230B (en) 1998-07-22
TW306026B (en) 1997-05-21
JP2807445B2 (ja) 1998-10-08
KR970077307A (ko) 1997-12-12

Similar Documents

Publication Publication Date Title
DE19636288B4 (de) Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements
DE69909248T2 (de) Verfahren zur verminderung der erosion einer maske während eines plasmaätzens
DE10224935A1 (de) Verfahren zum Ätzen von Öffnungen mit hohem Seitenverhältnis
DE60129566T2 (de) Verfahren zur selektiven ätzung von oxidschichten
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE10305602B4 (de) Verfahren und Vorrichtung zum Erzeugen eines Gasplasmas und Verfahren zur Herstellung einer Halbleitervorrichtung
DE10101766A1 (de) Verfahren und Vorrichtung zum Herstellen einer dünnen Schicht auf einem Substrat
DE2930293A1 (de) Aetzverfahren bei der herstellung eines gegenstandes
DE4201661A1 (de) Verfahren zur herstellung einer halbleiteranordnung
DE19844102C2 (de) Herstellverfahren für eine Halbleiterstruktur
EP0002503A1 (de) Verfahren zum Ätzen von Siliciumdioxid
DE10137088A1 (de) Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Trisdimethylaminosilan
DE2922791A1 (de) Verfahren zum trockenaetzen von aluminium und aluminiumlegierungen
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE2658448B2 (de) Verfahren zum Ätzen einer auf einem Halbleiterkörper aufgebrachten Schicht aus Siliciumnitrid in einem Gasplasma
DE4123711A1 (de) Verfahren zum trockenaetzen von halbleiter-substratscheiben
DE4202447A1 (de) Verfahren zum aetzen von nuten in einem silizium-substrat
EP1644954B1 (de) Verfahren zum anisotropen ätzen einer ausnehmung in ein siliziumsubstrat und verwendung einer plasmaätzanlage
DE10338292A1 (de) Verfahren zum Ätzen einer Metallschicht unter Verwendung einer Maske, Metallisierungsverfahren für eine Halbleitervorrichtung, Verfahren zum Ätzen einer Metallschicht und ein Ätzgas
DE10016938C2 (de) Selektives Trockenätzen eines dielektrischen Films
DE60310100T2 (de) Scheibe mit einer rückseitendichtung aus zweischicht-niedrigtemperatur-oxyd und verfahren zu ihrer herstellung
DE3935189A1 (de) Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
DE10226604B4 (de) Verfahren zum Strukturieren einer Schicht
DE19654178A1 (de) Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements
DE19707886C2 (de) Verfahren zum Erzeugen von Kontaktlöchern in einer Halbleiteranordnung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20120403