CN1534387A - 光刻标记结构、光刻投射装置和进行基片对准的方法 - Google Patents

光刻标记结构、光刻投射装置和进行基片对准的方法 Download PDF

Info

Publication number
CN1534387A
CN1534387A CNA031648401A CN03164840A CN1534387A CN 1534387 A CN1534387 A CN 1534387A CN A031648401 A CNA031648401 A CN A031648401A CN 03164840 A CN03164840 A CN 03164840A CN 1534387 A CN1534387 A CN 1534387A
Authority
CN
China
Prior art keywords
mark structure
structural detail
substrate
mark
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA031648401A
Other languages
English (en)
Other versions
CN100476599C (zh
Inventor
R・J・F・范哈伦
R·J·F·范哈伦
欣南
P·C·欣南
凸多尔辛
S·拉巴哈多尔辛
莫斯
E·C·莫斯
H·梅亘斯
律扯
M·范德沙尔
祭赘袢
J·休布雷格塞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP03076422A external-priority patent/EP1477860A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN1534387A publication Critical patent/CN1534387A/zh
Application granted granted Critical
Publication of CN100476599C publication Critical patent/CN100476599C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing

Abstract

在基底上用于所述基底光学对准的标记结构,所述标记结构包括多个第一结构元件和多个第二结构元件,在使用所述标记结构中,用于提供所述的光学对准,根据设置直接照射在所述标记结构上的至少一个光束,用传感器检测从所述标记结构上接收到的光线,由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息。

Description

光刻标记结构、光刻投射装置和 进行基片对准的方法
技术领域
本发明涉及一种如权利要求1的前序部分所定义的标记结构,一种光刻投射装置,其包括一种利用所述标记结构进行晶片对准的装置,以及利用所述标记结构进行晶片对准的方法。
背景技术
本发明找出一个在光刻投影装置领域中的申请,所述光刻投影装置包括用于提供辐射投射光束的辐射系统,用于支撑构图部件的支撑结构,所述构图部件用于根据理想的图案对投射光束进行构图,用于保持基底的基底保持器,用于将带图案的光束投射到基底的靶部上的投射系统。
这里使用的术语“构图部件”应广义地解释为能够给入射的辐射光束赋予带图案的截面的部件,其中所述图案与要在基底的靶部上形成的图案一致;本文中也使用术语“光阀”。一般地,所述图案与在靶部中形成的器件的特殊功能层相应,如集成电路或者其它器件(如下文)。这种构图部件的示例包括:
-掩模。掩模的概念在光刻中是公知的。它包括如二进制型、交替相移型、和衰减相移型的掩模类型,以及各种混合掩模类型。这种掩模在辐射光束中的布置使入射到掩模上的辐射能够根据掩模上的图案而选择性的透射(在透射掩模的情况下)或者反射(在反射掩模的情况下)。在使用掩模的情况下,支撑结构一般是一个掩模台,它能够保证掩模被保持在入射的辐射光束中的理想位置,并且如果需要该台会相对光束移动。
-程控反射镜阵列。这种设备的一个例子是具有一粘弹性控制层和一反射表面的矩阵可寻址表面。这种装置的理论基础是(例如)反射表面的寻址区域将入射光反射为衍射光,而非可寻址区域将入射光反射为非衍射光。用一个适当的滤光器,从反射的光束中过滤所述非衍射光,只保留衍射光;按照这种方式,光束根据矩阵可寻址表面的寻址图案而产生图案。程控反射镜阵列的另一实施例利用微小反射镜的矩阵排列,通过使用适当的局部电场,或者通过使用压电致动器装置,使得每个反射镜能够独立地关于一轴倾斜。再者,反射镜是矩阵可寻址的,由此已定址的反射镜以不同的方向将入射的辐射光束反射到无地址的反射镜上;按照这种方式,根据矩阵可寻址反射镜的定址图案对反射光束进行构图。可以用适当的电子装置进行该所需的矩阵寻址。在上述两种情况中,构图部件可包括一个或者多个程控反射镜阵列。反射镜阵列的更多信息可以从例如美国专利US5,296,891、美国专利US5,523,193、PCT专利申请WO 98/38597和WO 98/33096中获得,这些文献在这里引入作为参照。在程控反射镜阵列的情况中,所述支撑结构可以是框架或者工作台,例如所述结构根据需要可以是固定的或者是可移动的。
-程控LCD阵列,由美国专利US 5,229,872给出这种结构的例子,它在这里引入作为参照。如上所述,在这种情况下支撑结构可以是框架或者工作台,例如所述结构根据需要可以是固定的或者是可移动的。
为简单起见,本文的其余部分在一定的情况下具体以掩模和掩模台为例;可是,在这样的例子中所讨论的一般原理应适用于上述更宽范围的构图部件。
光刻投影装置可以用于例如集成电路(IC)的制造。在这种情况下,构图部件可产生对应于IC每一层的电路图案,该图案可以成像在已涂敷辐射敏感材料(抗蚀剂)层的基底(硅晶片)的靶部上(例如包括一个或者多个芯片(die))。一般的,单一的晶片将包含相邻靶部的整个网格,该相邻靶部由投影系统逐个相继辐射。在目前采用掩模台上的掩模进行构图的装置中,有两种不同类型的机器。一类光刻投影装置是,通过一次曝光靶部上的全部掩模图案而辐射每一靶部;这种装置通常称作晶片分档器或者分步-重复装置。另一种装置(通常称作分步-扫描装置)通过在投射光束下沿给定的参考方向(“扫描”方向)依次扫描掩模图案、并同时沿与该方向平行或者反平行的方向同步扫描基底台来辐射每一靶部;因为一般来说,投影系统有一个放大系数M(通常<1),因此对基底台的扫描速度V是对掩模台扫描速度的M倍。如这里描述的关于光刻设备的更多信息可以从例如美国专利US6,046,792中获得,该文献这里作为参考引入。
在用光刻投影装置的制造方法中,(例如在掩模中的)图案成像在至少部分由一层辐射敏感材料(抗蚀剂)覆盖的基底上。在这种成像步骤之前,对基底可进行各种处理,如涂底漆、涂敷抗蚀剂和软烘烤。在曝光后,可以对基底进行其它的处理,如曝光后烘烤(PEB)、显影、硬烘烤和测量/检查成像特征。以这一系列工艺为基础,对例如IC的器件的单层形成图案。这种图案层然后可进行任何不同的处理,如蚀刻、离子注入(掺杂)、镀金属、氧化、化学—机械抛光等完成一单层所需的所有处理。如果需要多层,那么对每一新层重复全部步骤或者其变化。最终,在基底(晶片)上出现器件阵列。然后采用例如切割或者锯断的技术将这些器件彼此分开,单个器件可以安装在载体上,与管脚连接,等等。关于这些步骤的进一步信息可从例如Petervan Zant的 “微型集成电路片制造:半导体加工实践指南(MicrochipFabrication:A Practical Guide to Semiconductor Processing)”一书(第三版,McGraw Hill Publishing Co.,1997,ISBN 0-07-067250-4)中获得,这里作为参考引入。
为了简单起见,投影系统在下文称为“镜头”;可是,该术语应广义地解释为包含各种类型的投影系统,包括例如折射光学装置、反射光学装置和反折射系统。辐射系统还可以包括根据这些设计类型中任一设计的操作部件,该操作部件用于操纵、整形或者控制辐射的投射光束,这种部件在下文还可共同地或者单独地称作“镜头”。
此外,光刻装置可以具有两个或者多个基底台(和/或两个或多个掩模台)的类型。在这种“多级式”器件中,可以并行使用这些附加台,或者可以在一个或者多个台上进行准备步骤,而一个或者多个其它台用于曝光。例如在美国专利US5,969,441和WO98/40791中描述的二级光刻装置,这里作为参考引入。
对一个光刻加工来说,用掩模上的掩模图案处理的晶片对准应当像基底上的特征的准确限定一样尽可能的精确,所说的特征的尺寸都在规定的公差范围之内。最后,光刻投影装置包括一个晶片对准调制件,用于在给定的(规定的)公差范围内用掩模和掩模图案进行基底对准。典型地,晶片对准系统基于光学装置进行对准。通过测量由光源照射的光学标记的一个光学响应,确定晶片或者晶片一部分的位置:例如由激光束照射光栅,激光束在光栅上衍射,通过一般设置在一个参考平面上的相应的传感器,测量一个或多个衍射级数。利用传感器的输出能够推导出晶片(相对于参考平面)的位置。
在现有技术中,光学标记包括具有周期的光栅,该光栅适于波长在光谱可见的范围内的入射光的衍射。典型的周期是16μm。一般光栅由刻线和刻槽构成。典型的,线宽和槽宽各自是8μm。为了从光栅获得足够多的衍射光,以及获得已定义好的衍射最大级和最小级,光栅必须含有刻线数和中间刻槽的最小数量。在周期结构方向上的大小大约是750μm。
光栅可以是位相光栅或者位相标记,它们考虑到在光栅的上表面散射的光线的相位和在其下表面散射的光线的相位之间的相位差。
光栅也可以是振幅光栅,它仅考虑光栅的周期结构,而不再考虑光栅的较高或较低水平的相位差。典型地,振幅光栅或者振幅标记由第一和第二元件的周期结构构成,所说的第一和第二元件有相似的表面平面,但不同的相应反射系数。
在微电子装置处理(或IC处理)过程中沿整个生产线使用光学标记。在生产的前段(FEOL),在晶体管结构的生产时用标记对准。在生产的后段(BEOL)较后的阶段,需要标记对金属结构对准,例如连接线和管道线。在这两种情况中要说明的是标记的完善性必须充分满足对准所要求的精度。
在半导体制造加工中,晶片受多种处理的影响,例如退火、蚀刻、抛光等,这些可导致标记粗糙(标记中的凹槽区和/或标记的扭曲)。这种标记粗糙产生图像对准误差,它可增加到半导体装置的结构的表层误差上。也可以想象得到是标记的质量在处理的随后阶段将趋于减低。
现有技术的光学标记的缺点是在IC处理时很难控制光学标记的位相深度。结果,在给定的衍射角度下,衍射光的强度也许降低,甚至接近于零,将很难、甚至不可能对衍射光束进行精确测量。位相深度定义为在给定的衍射角度下光栅中刻线的上表面和刻槽的上表面之间得到的高度差。如果在一个衍射角下,其(在最佳条件下)希望衍射强度最大,则位相深度等于所用辐射的波长的一半,衍射波间的干涉程度较低或低至零。
由于处理随晶片变化不同,即使是跨越一个晶片,处理也有变化,使得在IC处理过程中很难控制位相深度。
现有技术的另一缺点在于:标记是从作为在其下面的(单/多)层的函数的标记特性得出的结果。已知由于不同层的不同光学特性,正如已在半导体中发现的,标记的对比度可能变化,这导致作为在标记下的层的函数的衍射的强度变化。
而且,已知不同的处理步骤可能不利地影响对准标记的形状。由于形状上的影响,用这样的修改的标记对准可能包括一个误差,该误差起因于标记被修改的形状更改已产生的衍射光束(的图案)。
另外,在现有技术中,在BEOL处理中,通过在表面可见的残留结构,可在密封层下检测光学标记。然而,由于平坦化处理比如化学机械抛光(CMP)的应用,使得在许多情况下不可能选择使用残留的标记结构进行对准。
现有技术中,在半导体基底上的包括充满钨的刻槽的标记,要受到从表面去除钨和平坦化表面的CMP处理的影响。由于CMP处理,钨结构或者被充满,或者不充满。填充的程度和由标记产生的光学信号的位相深度相关,也即,存在两个离散的相位深度平面。一个和充满钨结构相关的平面是浅的,位相深度小,另一个和未充满钨结构相关的平面相对较深,相位深度大。已充满的标记的小位相深度是不理想的,因为小位相深度引起的校准误差相对较大。大位相深度也不能保证校准误差减少:位相深度导致光学信号的衰减。此外,也无法获得对位相深度的控制。
在IC处理上,因光学标记本质上比集成电路中的特征尺寸较大,光学标记的影响导致不理想的副效应。现有技术中标记的最小特征尺寸是1μm数量级。在通常的微电子装置中,典型的最小特征尺寸是大约100nm(依据装置生产)。因为标记常常由作为(部分)装置的相同材料组成,在给定的处理程度中,在装置的附近实际尺寸的附加标记区域的存在可能对该装置的局部处理速度有影响。例如,因一些运动温度的限制,或者由于晶片温度的局部偏差等,大标记区域的存在影响到在反应的离子蚀刻处理或者化学沉积处理中的化学反应。从而在标记和装置特征之间的尺寸差可以导致例如对靠近标记的装置的处理步骤的改变。由于处理的改变,装置特性的变化会在跨越芯片和/或晶片出现。
尽管在IC的生产中,本文对根据发明的装置的使用设定了具体的参数,但应当清楚理解到这种装置有许多其它可能的应用。例如,它可以用于集成光学系统的制造、对磁性区域存储器、液晶显示板、薄膜磁头等的引导和检测。本领域熟练的人将会理解到,在这种交替应用的上下文中,本文中的术语“光罩”、“晶片”或者“芯片”应当认为可以由更一般的术语“掩模”、“基底”、和“靶部”分别代替。
在现有文件中,术语“辐射”和“投射光束”用于包含各种类型的电磁辐射,包括紫外(UV)辐射(例如波长为365、248、193、157和126nm)和远紫外(EUV)辐射(例如范围在5-20nm的波长)。
发明内容
本发明的一个目的是提供一种标记结构,该标记结构用于以这样的一种方式修正相位深度,以预防产生衍射图案中的负干涉。
在本发明的第一方面中,这个目的是在如权利要求1的前序部分所定义的、在基底上用于所述基底光学对准的标记结构中实现,其特征在于所述第一结构元件有在第一平面上的第一反射面,所述第二结构元件是完全非反射的,第二反射面位于第二较低平面上,在所述第一和第二反射面间的隔离度用于确定所述被检测的光线的位相深度状态,其中,在第二反射面上给出凹槽(R1;R2;R3)以调整所述位相状态。
成凹槽的区域通过其之间的可在传感器上看到的有足够的强度的正干涉的数量改变位相深度。
在本发明的第二方面中,这个目的是在如权利要求9的前序部分所定义的、在基底上用于所述基底光学对准的标记结构中实现,其特征在于所述第一结构元件有在第一平面上的第一反射面,所述第二结构元件是完全非反射的,第二反射面位于第二较低平面上,在所述第一和第二反射面间的隔离度用于决定所述所检测的光线的位相深度状态,其中,所述第二反射面包括位于不透光层上面的多个附加结构元件。
有利地,作为底层的一个函数的检测的强度的变化通过在彼此之上的标记结构的迭加减少。中间的绝缘体层能够用正级干涉调整到具有最佳的位相深度。
本发明的又一个目的是提供一种标记结构,该标记结构允许监测处理导致的损伤。
这个目的是在如权利要求10的前序部分所定义的、在基底上用于所述基底光学对准的标记结构中实现,其特征在于所述标记结构包括第一周期结构和第二周期结构,第二周期结构相邻并平行于第一周期结构,所述第一周期结构由多个第一材料的第一结构元件和多个第二材料的第二结构元件构成,所述第一和第二结构元件以重复排列的方式布置,其第一宽度比第二宽度大,第二周期结构由多个具有第三宽度的第二材料的第一结构元件和多个具有第四宽度第一材料的第二结构元件构成,所述第一和第二结构元件以重复排列的方式布置,第三宽度等于第一宽度,第四宽度等于第二宽度,以及在所述第二周期结构中的第一结构元件以某一方式邻接在所述第一周期结构中的第一结构元设置,以使第二周期结构与第一周期结构互补。
通过包含有第一周期结构和与第一周期结构互补的第二结构的一个互补的结构,是可以使用对准系统监测是否在周期结构里面的所述结构元件中的某一个被IC处理程度损伤,因为当在第一和第二周期结构内的第一结构元件上或者第二元件上出现损伤时,衍射图案对第一周期结构比对第二周期结构将不同地改变。
本发明的另一个目的是提供一种标记结构,该标记结构克服由包括所述标记结构的底层的化学机械处理(CMP)处理引起的来自镀金属层的残留的标记结构的清除。这个目的是在如权利要求14的前序部分所定义的标记结构中实现,其特征在于所述标记结构出现在镀金属层中,其中所述第一结构元件由具有第一表面状态的第一表面区域部分组成,所述第二结构元件由具有第二表面状态的第二表面区域部分组成,所述第一表面区域部分与第一掩埋标记元件相关,所述第二表面区域部分与第二掩埋标记元件相关,所述第一和第二表面状态与由所述第一掩埋标记元件和所述第二掩埋标记元件各自引起的所述镀金属层的形状上的变化相关。
有利地,镀金属层以某一种方式沉积,以使作为底层材料的一个函数的表面状态/形状的差值在那个镀金属层中造成。表面的表面状态/形状的周期变化可通过对准和/或镀层系统检测。
本发明的一个目的是提供一种标记结构,该标记结构克服由位于这样一个大的标记区域的邻近区里的装置的特征上的相对大的标记区域引起的结果。
这个目的是在如权利要求19的前序部分所定义的标记结构中实现,其特征在于所述第一结构元件包括多个第一刻线和多个第一插入刻线。
有利地,构造标记结构的结构元件,每一个再被细分为多个二级元件,其具有和产品特征尺寸相同的特征尺寸。通过更精密地模仿产品的特征尺寸,由尺寸引起的处理结果可被减少。
而且,本发明的一个目的是提供一种光刻投影装置,其允许如上面所述的标记结构的应用。
再者,本发明的一个目的是提供一种在使用如上面所述的标记结构的光刻投影装置中的基底对准的方法。
附图说明
下面,参考一些附图对本发明进行解释,其仅仅用于说明的目的,而并不限制由附加的权利要求所定义的保护范围。
图1是表示依据本发明的包括至少一个标记结构的光刻投影装置;
图2是示意性地显示现有技术的标记结构的截面视图,用于解释相位深度的概念;
图3a是示意性地显示现有技术铜镶嵌(copper-damascene)层中的标记结构的截面视图;
图3b是根据本发明的标记结构的第一实施例的截面视图;
图3c是根据本发明的标记结构的第二实施例的截面视图;
图4是示意性地显示根据本发明的标记结构的第三实施例的截面视图;
图5是示意性地显示根据本发明的标记结构的第四实施例的标记结构的透视视图;
图6是根据本发明的第五实施例的标记结构的平面视图;
图7a是示意性地显示进行钨的化学机械抛光前现有技术中的充满和未充满钨标记的截面视图;
图7b;显示根据本发明的第六实施例的二氧化硅中钨标记结构的平面视图和截面视图;
图8显示根据本发明的第七实施例的标记结构的平面视图;
图9显示根据本发明的第七实施例的标记的堆栈的应用;
具体实施方式
图1示意性地表示根据本发明一具体实施方案的、包括至少一个标记结构的一种光刻投影装置1。该装置包括:
-辐射系统Ex,IL,用于提供辐射投射光束PB(例如UV辐射)。在该具体的例子中,该辐射系统包括辐射源SO;
-第一目标台(掩模台)MT,设有用于保持掩模MA(例如光罩)的掩模保持器,并与用于将该掩模相对于物体PL精确定位的第一定位装置(未示出)连接;
第二目标台(基底台)WT,设有用于保持基底W(例如涂敷抗蚀剂的硅晶片)的基底保持器,并与用于将基底相对于物体PL精确定位的第二定位装置PW连接;
投射系统(“镜头”)PL,用于将掩模MA的辐射部分成像在基底W的靶部C(例如包括一个或多个芯片(die))上。
如上所述,该装置是透射型的(即具有透射掩模)。但是该装置通常也可以例如是反射型的(具有反射掩模)。或者该装置可以采用其他类型的构图部件,例如上述程控反射镜阵列类型。
辐射源SO(例如汞灯或准分子激光器)产生辐射束。该光束直接或经过如扩束器Ex的调节装置后,再照射到照射系统(照射器)IL上。照射器IL包括调节装置AM,用于设定光束强度分布的外和/或内径向量(通常分别称为σ-外和σ-内)。另外,它一般包括各种其它部件,如积分器IN和聚光器CO。按照这种方式,照射到掩模MA上的光束PB在其横截面具有理想的均匀性和强度分布。
应该注意,图1中的辐射源SO可以置于光刻投射装置的壳体中(例如当源是汞灯时经常是这种情况),但也可以远离光刻投射装置,其产生的辐射光束被(例如通过适当的定向反射镜的帮助)引导至该装置中;当光源SO是准分子激光器时通常是后面的那种情况。本发明和权利要求包含这两种情况。
光束PB然后与保持在掩膜台MT上的掩模MA相交。经过掩模MA之后的光束PB通过镜头PL,该镜头将光束PB聚焦在基底W的靶部C上。在第二定位装置PW和干涉测量装置IF的辅助下,基底台WT可以精确地移动,例如在光束PB的光路中定位不同的靶部C。类似的,例如在从掩模库中机械取出掩模MA后或在扫描期间,可以使用第一定位装置(作用在掩模台MT)将掩模MA相对光束PB的光路进行精确定位。一般地,用图1中未明确显示的长程模块(粗略定位)和短程模块(精确定位),可以实现目标台MT、WT的移动。可是,在晶片分档器的情况下(与步进式扫描装置的情况相对),掩膜台MT可仅与短冲程执行装置连接,或者固定。使用掩模对准标记M1、M2和基底对准标记P1、P2可将掩模MA和基底W对准。
所示的装置可以按照二种不同模式使用:
1.在步进模式中,掩模台MT基本保持不动,整个掩模图像被一次投射(即单“闪”)到靶部C上。然后基底台WT沿Y和/或Y方向移动,以使不同的靶部C能够由光束PB照射。
2.在扫描模式中,基本为相同的情况,但是所给的靶部C没有暴露在单“闪”中。取而代之的是,掩模台MT沿给定的方向(所谓的“扫描方向”,例如Y方向)可以速度v移动,以使投射光束PB扫描整个掩模图像;同时,基底台WT沿相同或者相反的方向以速度V=Mv同时移动,其中M是镜头PL的放大率(通常M=1/4或1/5)。在这种方式中,可以曝光相当大的靶部C,而没有牺牲分辨率。
典型的干涉计测量装置包括光源比如激光器(未示出),一个或多个干涉仪,用于测定关于测量目标比如基底或平台的一些信息(即位置、对准等)。例如图1中示意性地表示了一个干涉仪IF。光源(激光器)产生计量光束MB,该光束通过一个或多个光束处理器发送到干涉仪IF。倘若有多于一个的干涉仪,利用可将计量光束分成不同的独立光束测量光束给每个干涉仪的光学装置,使它们之间共享计量光束。
基底对准系统MS,用于用掩模台MT上的掩模MA对基底保持台WT上的基底的对准,示意性地显示在接近于基底台WT的有代表性的位置处,并由至少一个产生瞄准基底上的标记结构的光束的光源和至少一个检测来自那些标记结构的光学信号的传感装置组成。注意,基底对准系统MS的位置依设计条件而定,该设计条件可随光刻投影装置的实际类型变化。标记结构可以是例如基底对准标记P1、P2。
图2是示意性地显示现有技术的标记结构的截面视图,用于解释位相深度的概念。
典型的光学标记构件包括具有周期P的光栅50,适于波长λ的入射光的衍射。当前文中的术语“光”并不仅局限于可见光谱范围内的波长,也包括波长比可见光的波长更长或者更短的光。可以理解要适于可见光谱范围内的光的衍射并不是必须要求周期为P的,用适合于较短或者较长的波长的周期P也可以实现本发明。
光栅包括一系列具有插入刻槽102的刻线100组成。刻槽102相距刻线100的上表面的深度为dt。光栅的周期P由线宽PI和槽宽PII构成。
图2中,照射光束λ沿基本上垂直于基底的表面的方向照射。或者,使用非垂直的倾斜的照射光束。
现有技术的标记光栅是所谓的位相光栅。通过两束衍射光束示意性地显示了衍射图案,每束衍射光束具有一个相对于表面的衍射角θ。
在衍射图案中,强度最大和最小的位置由光栅的周期控制。当照射光的波长λ在可见光范围内,为获得适于对准目的的衍射图案,周期P一般是16μm。通常线宽PI和槽宽PII各是8μm。
为了从光栅50获得充足的衍射光和已定义好的衍射最大和最小的角度分布(衍射图案),光栅50必需包含通过入射光照射的线100和槽102的最小数量。现有技术中一个标记在照射区域中包括至少10个槽。
另外,衍射光的强度由刻槽102距刻线100的上表面的深度dt决定。在衍射光一定的方向上,为获得在该方向的这些光线间的与周期P无关的正干涉,在刻线100的上表面散射的光线和在刻槽102的底部散射的光线必须有一定的位相关系。刻槽102距刻线100的上表面的深度dt必定是可产生正干涉的。如果干涉是负的,将发生信号消减作为位相深度条件这是已知的。
在位相光栅50中,衍射图案中的干涉大致如以下推出:在角度θ下,第一组光子在刻线100的上表面反射,而第二组光子在刻槽102的底面反射。为了测定是否在一用衍射角θ表示的给定方向上会出现强度最大和最小,在波前PF处,从刻线的上表面的和从刻槽的底面产生的光子的位相差本质上必须是相应的为零或者半波长。
对于半导体晶片上的光学标记结构来说,在形成集成电路的半导体晶片的处理步骤中,所述结构可能被曝光以产生不同的变形。由于这些变形,位相深度dt可在制造过程中改变。
图3a示意性地显示现有技术中的标记结构的截面视图。图3a中所示的基底层SL上的光学标记结构OM应用在铜基微电子装置的IC处理后段(BEOL)。这样的装置常见的通过所谓的铜镶嵌处理技术生产,其中将铜结构镶嵌在(光学透明)绝缘材料中,看作为“浮雕标记”。光学标记50包括多个铜线元件104并镶嵌在绝缘材料中,其中将绝缘材料制成为多个线元件106。一般地,如本领域熟练的人员所知,绝缘材料可由不同的分离的绝缘层叠层构成。按推理,因绝缘叠层中不同的分离的绝缘层的厚度的变化,使标记50的位相深度dt定义不清楚。而且,这种变化出可跨越一个芯片或一个晶片存在。因此,在最坏的情况,如包含在衍射图案中的用于通过对准工具检测的标记的信号太弱。在IC处理时,这可导致标记废弃或甚至晶片废弃。
图3b是根据本发明的标记结构的第一实施例的截面视图。
避免因不合适的位相深度引起衰减的一个方法在图3b中所示。图3b中,带相同参数的结构可参考图3a中所示的相同结构。在浮雕标记50下的半导体基底(或一般为不透明层)SL的区域中,在工序前段(FEOL)程序中创建凹槽R1。这个凹槽增加位相深度,因而减少来自表面平面和基底或不透明层的平面的散射光间产生负干涉的可能性。
如图3b所示,仅在由浮雕标记50覆盖的区域的一部分之下形成凹槽,这种情况下存在两个不同的位相深度,其中的一个可产生有足够的强度的较好的可利用的衍射信号。
图3c显示根据本发明的标记结构的第二实施例的截面视图。
在第二实施例中,在FEOL处理期间,将凹槽区域定义为仅在标记50的一部分的下面。如图3c右边所示,凹槽R2仅形成在标记50的透明部分的下面。在图3c的左边,凹槽区域R3仅形成在标记50的不透明部分的下面。再次,存在两个不同的位相深度,其中的每个都可产生有足够的强度的较好的可利用的衍射信号。
注意这样的凹槽区域R2、R3可分别用正或负曝光、通过标记的掩模和适当的光刻处理构成。
图3b或3c中所示的凹槽的区域最好为位相深度增加200~300nm。
图4示意性地显示根据本发明的标记结构的第三实施例的截面视图。
现有技术的另一个缺点是因标记与作为标记下面的层函数的标记特征相关性引起的。已知因不同层的不同的光学特征,正如在半导体装置中所发现的,标记的对比度可以变化,这导致作为下面的层的函数的衍射强度的变化,也即,作为底层的函数的位相深度激烈的变化。
本发明的第三实施例中,在第一排列次序中,也即,第一结构元件和第二结构元件的给定的周期重复中,相位深度通过在第一金属层(通过曝光和处理)中定义的第一光学标记OM1更好的控制。接着,在叠加在第一金属层上的、有至少一个中间绝缘层IDL的第二金属层中,用相同的第一排列次序但和第一标记相反的次序的第二光学标记OM2被定义(已曝光和处理)。相反的层次表示第二光学标记OM2包含和第一光学标记OM1相同的周期的重复,但第一结构元件和第二结构元件的位置相对于第一标记OM1相互交换。
通过控制中间的绝缘层IDL,可控制位相深度:即,选择能产生有足够强度的衍射信号的位相深度值。而且,通过堆积光学标记可强烈地减少在晶片的划线里面的标记占据的空间。
注意IDL的厚度常常由IC处理参数决定。如果堆积的标记间的IDL的厚度意外地与对基底对准系统中使用的一个波长引起负干涉的位相深度相一致,则可以使用第二波长。
图5是示意性地显示根据本发明的标记结构的第四实施例的标记结构的透视视图。
在IC制造中不同的处理步骤可不利地影响对准标记的形状。例如,光学标记结构中的刻线的区块形状可因CMP步骤变化。由于CMP处理,刻线的横截面变得不对称:抛光仅围绕上部边缘中的一边,基本上是由(局部的)抛光方向引起。
由于形状的影响,通过这些修改过的标记(仅绕一个边缘)的对准包括一个误差,它是这样产生的:标记的已修改过的形状导致所产生的衍射图案的变化。典型地,标记的形状的改变导致由光学标记结构产生的衍射峰值的位置相对于原来的标记形状的峰值的位置移动。现有技术不可能在标记的真正的未对准或者标记形状的改变之间辨别,因为这两种情况都会引起衍射图案和/或图案中峰值的位置的改变。
根据第四实施例,光学标记结构可以能够检测图案的移动是否是因为标记的未对准或者是因为IC处理导致的标记的变形。
光学标记包括在第一部分中的第一周期性结构PS1,和在第二部分中的第二周期性结构PS2。第一和第二周期性结构PS1、PS2以各自的周期彼此相邻地沿一个方向平行转换。
第一周期性结构PS1与PS2周期相同,但它的结构元件的次序与第二周期性结构PS2的互补。第一周期性结构PS1包括多个具有第一宽度w1的第一材料的第一结构元件SE1,和多个具有第二宽度w2的第二材料的第二结构元件SE2,它们周期性地布置。
第二周期性结构PS2包括多个具有第三宽度w3的第二材料的第三结构元件SE3,和多个具有第二宽度w4的第一材料的第四结构元件SE4,它们周期性地布置。因PS1和PS2互补,第一宽度w1等于第三宽度w3时,第一结构元件SE1与第三结构元件SE3邻接,第二宽度w2等于第四宽度w4时,第二结构元件SE2与第四结构元件SE4邻接。另外,周期性结构PS1和PS2都是不对称的:第一和第二宽度互不相同。
作为一个例子,光学标记可以安装一铜镶嵌结构,用铜作第一材料,用绝缘体作第二材料;铜和绝缘体的周期性变化可使标记结构作为一个衍射光栅。因此,例如SE1和SE4由铜构成,SE2和SE3由绝缘体构成。SE1的宽度w1等于SE3的宽度w3,SE2的宽度w2等于SE4的宽度w4。
而且已注意到在金属-半导体结构或金属-绝缘体结构也可包含这样的标记。这种互补的光学标记结构也由在半导体基底中蚀刻且彼此邻接的两个互补的几何光栅(即,刻线和刻槽)形成。
如本领域熟练的人所知,在测量中标记结构中的互补的特征的使用导致产生一个(0级)固定信号。若周期性结构PS1和PS2完全互补,则自第一周期性结构PS1来的第一信号将与自第二周期性结构PS2来的第二信号互补。第一和第二信号彼此相消,作为传感器测量的第一和第二信号的合成信号将完全为0级。
如上所述,由于加工处理影响结构,因两个结构的不对称性的不同,第一周期性结构PS1以与第二周期性结构PS2以不同的方式变化。第一周期性结构PS1中的金属线SE具有宽度w1,与第二周期性结构PS2中的金属线SE4的宽度w4不相同。由于在相应的结构PS1和PS2中的金属线和绝缘体线的宽度方面的不同,相应的线的形状的变化将是不同的。
由于两个结构的这种不同的变形,来自PS1的第一信号不再与来自PS2的第二信号互补。结果,在测量时互补光栅不再显示0级,相反将测量一个非0信号。
自互补光学结构来的这种信号的存在,表示标记上的相关处理的影响。因此,有相似周期的其它标记上的处理导致的影响的存在和这些影响的偏差将通过互补光学标记结构监测到。
图6是根据本发明的第五实施例的标记结构的平面视图。
在确定的IC镀金属处理中,由于表面其它的开槽形貌,已掩埋的标记(即在镀金属层下面的光学标记结构)仍然是可检测的。在那样的情况下,在相应的已被抬高的镀金属层的表面或低处的区域中,标记的几何形状也即刻线和刻槽仍是可见的。
但是,在IC处理中,对W的触点和通路进行作为平面化技术的化学机械抛光(CMP),通过CMP顶表面变平,蚀去任何残留的形貌。在这种情况中,不可能使用残留标记结构进行对准。
在本发明的第五实施例中,标记结构在镀铝金属层中形成,作为振幅标记结构。图6表示在BEOL处理中形成的一个叠加组:在刻槽中形成W触点。通过CMP平坦化W触点和绝缘体氧化物的表面。在已平坦化的表面沉积钛(Ti)粘附层。接着,通过热金属沉积处理沉积铝(Al)层。最后沉积钛/氮化钛(Ti/TiN)的密封层。图6中表明了各个层的厚度的一些有代表性的值。
镀金属层包括热金属处理(通过物理蒸发沉积,在UHV条件下常见的大约350℃)。由于在覆盖W和覆盖二氧化硅的钛(Ti)粘附层上的铝的不同的颗粒生长,基于基层材料的铝层中形成不同的表面状态。在W触点或插头上面,表面具有第一表面状态ST2,在氧化物上,表面具有第二表面状态ST1。
或者,钛(Ti)层依据基层材料有不同的纹理。在热金属沉积处理中对于覆盖W的区域和覆盖二氧化硅的区域装态不同,该纹理会影响沉积的铝(Al)的晶核和颗粒生长。表面状态的不同涉及基于基层材料而定的镀金属层的形态即纹理和/或颗粒大小。或者,在二氧化硅上的Al或W上的Al的不同的晶核和颗粒生长也由基层材料的热物理特性的不同引起,因为钛(Ti)层相对薄些。
在另一情况下,由于任何物理-化学原因,表面状态的局部差别可通过对准-和/或重叠-传感器系统作为标记结构检测。
注意,这样形态的标记结构并不局限于图6所述的具体的结构。镀金属层也表示因一些形成周期结构的其它的基层材料(由CMP处理的)引起的表面状态的周期变化。
现有技术中,包含充满钨的刻槽的半导体基底上的标记,受去除钨和平坦化基底表面的CMP处理的影响。因W-VCD和CMP的结合,钨结构或充满或未充满。填充的程度与由标记产生的光学信号的位相深度相关,就是说,存在两个离散的位相深度水平。
由于完全地填充到结构的顶部,相对于充满钨结构的一级是浅的,有小的位相深度。
相对于未充满钨结构的其它级是相对深的,有大的位相深度。
已充满的标记的小的位相深度是不理想的,因为由小的位相深度引起的对准误差相当的大。大的位相深度也不能保证对准误差减少:位相深度可能使光学信号产生衰减。
图7a显示在W-CMP前,现有技术中的充满和未充满钨的标记的截面视图。
在二氧化硅层内蚀刻的刻槽中,钨以覆盖模式通过CVD处理沉积。图7a说明刻槽的宽度控制共形的生长的钨层是以“充满”还是“未充满”的模式填充刻槽。
在用共形的生长特性的W-CDV中,窄的刻槽将变成“充满”刻槽,而宽的刻槽将变成“未充满”。
刻槽的底部可由势垒层覆盖。
接下来,进行CMP处理以平坦化所述结构。在这种方式中,形成具有同二氧化硅表面的完全水平的表面金属(W)结构。结果,“充满”结构的位相深度完全为0。“未充满”金属结构包括同二氧化硅表面完全水平的部分(即侧壁)和表面正好低于二氧化硅表面平面的中心部分。在CMP之后,中心W部分有相对于二氧化硅表面平面的一个给定的位相深度。
如本领域熟练的人所知,对一给定的位相深度和对具有给定的处理参数的钨沉积过程(即形成具有一给定厚度的共形的W层),刻槽的宽度决定钨刻线是否填充或者未填充。因此,位相深度将包括作为刻槽的函数的两个离散的水平面。再者,由于对钨和二氧化硅的CMP不同的阻抗,CMP处理不能非常精确的控制。
正如上面所提到的,在包含未充满金属的标记刻线的标记结构中,金属刻线的中心部分的深度可能是这样的,即位相深度完全是0:在位相深度上不能获得任何控制。
图7b表示根据本发明的第六实施例的二氧化硅中钨标记结构的平面视图(TOP)和截面视图(SIDE)。
在本发明第六实施例中,光学标记结构包括在二氧化硅刻线中的钨次小片。
因为次小片,在二氧化硅刻线中形成多个次刻槽,其次刻槽的长度方向沿平行于标记结构的周期P的方向延长。因为多个次刻槽沿对准程序过程中所谓的非扫描方向的方向周期地布置,在沿P次方向上,次刻槽的周期性布置的光学影响不能通过基底对准系统检测。由次刻槽(的周期性)产生的可能的衍射信号直接沿垂直于实际的标记结构即,(钨刻槽和二氧化硅刻线的重复)的衍射信号的方向的方向,所以这种可能的信号不能通过基底对准系统检测。
再次,在第六实施例中,通过钨CVD处理将刻槽和次刻槽用钨填充。接着,进行CMP处理以平坦化上述结构。由于在次刻槽中钨的存在,CMP处理在较好的控制中。通过使用次刻槽,具有对CMP具体的阻抗的包含已充满钨结构的标记结构的区域相对扩大(钨比氧化物对CMP有更多阻抗)。这允许已充满的刻槽用更高的精度抛光到一给定的高度。通过更好的控制已充满的刻槽的抛光高度相对于未充满的结构的较低的部分的水平面,可以控制位相深度。已充满钨结构的上平面的高度相对于未充满区域中钨的较低的水平面可进行修改以获得一个理想的位相深度。通过改变二氧化硅刻线中次刻槽(和它们的数量)之间的间隔来修改以改变已充满W结构的相关区域。
次刻槽的宽度是共形的钨层的厚度的两倍(其因此导致完全充满的次刻槽具有0位相深度)。
在IC处理上,光学标记结构的影响导致不理想的副效应,因为光学标记本身比集成电路中的特征尺寸大。现有技术中,标记的最小特征尺寸是1μm数量级。在当前的微电子装置中,典型的最小特征尺寸大约是100nm(视乎装置年代)。因为标记常常由作为装置(的部分)的相同材料组成,在给定的处理步骤中,在装置的邻近区中原来尺寸的附加的标记区域的存在影响对该装置的局部处理速度。例如,由于一些运动的限制,或者由于晶片温度的局部偏差,等等,在相应的离子蚀刻处理或者化学沉积处理中,通过大标记区域的存在影响化学反应。由于由标记区域引起的一些机械的限制(即对CMP较高或者较低的电阻),通过大标记区域的存在影响化学机械抛光处理。
因而,在标记和装置特征之间的尺寸差可导致对靠近标记的装置的处理步骤的修改。由于处理的改变,装置特征的变化会跨过一个芯片和/或晶片出现。
从IC制造的视点出发来看,标记的结构元件的尺寸的改变,它使它们相应的更接近装置中的标准尺寸,可以克服IC处理中的尺寸相关性的问题。而且,“刻线”和“刻槽”宽度的改变也改变标记的周期。这将不利地要求用主要的精力去设计对准-和/或覆盖-传感器系统以适合一个新的标记周期。
而且,因为对准系统使用线性偏振激光,产生于这种修改的标记结构的相互作用的偏振化效应可能不利地导致这种对准系统中强烈地减少信号强度。
为克服IC处理的尺寸相关性,发明者认为现有技术中的光学标记结构要求以这样一种方式分成多部分,即标准装置特征更好的被模仿,而由修改的标记结构产生的衍射图案保持同现有技术中未修改的标记结构的相同。对准系统也以这种方式布置以使偏振化效应引起所测量的信号的可利用的信号强度。
图8显示根据本发明的第七实施例的标记结构的平面视图。
在本发明第七实施例中,第一结构元件被次分成沿第一方向D1有多个第一刻线L1,每个第一刻线L1有一个和装置的标准尺寸相应的宽度。在第一刻线之间插入不同的材料的刻线。第一刻线和插入刻线的宽度是这样的,即用可模仿的标准特征尺寸密集装置结构。
此外,位于第一结构元件之间的第二结构元件被次分成沿第二方向D2有多个第二刻线L2,在第二刻线之间是不同的材料的插入刻线。再者,每个第二L1和插入刻线有一个和具有对于装置的标准的特征尺寸的密集装置相应的宽度。
第二方向D2垂直于第一方向D1。
典型地,第一刻线L1和第二刻线L2的材料是相同的,例如金属,而在第一刻线L1之间和第二刻线L2之间的材料可以是绝缘体或者半导体。
在次小片中,保持标记结构的原周期P以允许现有技术中的对准传感器的应用。
进一步注意第一刻线L1的宽度可以等于或者不等于第二刻线L2的宽度。
对准系统使用具有第一线偏振E1的第一激光束和具有第二线偏振E2的第二激光束。第一激光束的波长不同于第二激光束的波长。例如,第一激光束由红光组成,第二激光束由绿光组成。
第一线偏振方向E1垂直于第二线偏振方向E2。此外,第一线偏振E1是以这样的方式布置,即使标记刻线中的刻线部分L1允许第一极化的光束的进一步传播,以便形成际记结构的衍射图案。相似地,第二线偏振E2是以这样的方式布置,即使中间标记元件中的刻线部分L2允许第二极化的光束的进一步传播以便形成标记结构的衍射图案。
图9显示根据本发明的第七实施例的标记的叠加的应用。第七实施例的结构的另一优点是至少这种标记的两个被堆叠在彼此的上面,不会引起它们之间的任何干涉。通过按层顺序堆积标记结构,在刻线中的标记所需的阶段将有效地减少。在图9的例子中在这个堆叠中,相对于第一标记OM1,第二标记OM2转变成周期P的一半,“刻线”的宽度等于“刻槽”的宽度。由于彼此垂直的“刻槽”和“刻线”的分段,偏振影响禁止在较高和较低的标记结构之间串扰。当使用偏振方向互相垂直的第一和第二激光束时,较低的标记结构看起来被较上面的标记覆盖:对准系统仅仅检测较上面的标记结构。

Claims (36)

1.在基底上用于所述基底光学对准的标记结构,所述标记结构包括多个第一结构元件和多个第二结构元件,
在使用所述标记结构中,用于提供所述的光学对准,根据
-设置直接照射在所述标记结构上的至少一个光束,
-用传感器检测来自所述标记结构上的光线,
-由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
所述标记结构的更多的特征在于
所述第一结构元件有第一高度(level)的第一反射面,所述第二结构元件是完全非反射的,第二反射面位于第二较低高度上,在所述第一和第二反射面间的隔离度用于确定所述被检测的光线的位相深度状态,
其中,在所述第二反射面上给出一凹槽(R1;R2;R3)以便调整所述位相状态。
2.根据权利要求1所述的标记结构,其中所述第一和所述第二结构元件排列形成一个衍射光栅,所述第一结构元件为所述光栅的刻线(104),所述第二结构元件为所述光栅的间隔(106)。
3.根据权利要求1或2所述的标记结构,其中所述第一结构元件由金属构成。
4.根据权利要求1-3中任一个所述的标记结构,其中所述第二结构元件包括绝缘体。
5.根据前述权利要求中任一个所述的标记结构,其中在所述标记结构的一部分的下面给出所述凹槽。
6.根据前述权利要求中任一个所述的标记结构,其中所述凹槽(R2)构成一个局部的凹槽,所述局部的凹槽完全位于每个所述第二结构元件的下面。
7.根据权利要求1-6中任一个所述的标记结构,其中所述凹槽(R3)构成一个局部的凹槽,所述局部的凹槽完全位于每个所述第一结构元件的下面。
8.根据权利要求2-7中任一个所述的标记结构,其中所述金属是铜。
9.在基底上用于所述基底光学对准的标记结构,所述标记结构包括多个第一结构元件和多个第二结构元件,
在使用所述标记结构中,用于提供所述的光学对准,根据
-设置直接照射在所述标记结构上的至少一个光束,
-用传感器检测来自所述标记结构上的光线,
-由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
所述标记结构的更多的特征在于
所述第一结构元件有第一高度(level)的第一反射面OM2,所述第二结构元件是完全非反射的,第二反射面OM1位于第二较低高度上,在所述第一和第二反射面间的隔离度用于确定所述被检测的光线的位相深度状态,
其中,所述第二反射面包括位于不透光层上面的多个附加的结构元件。
10.根据权利要求9所述的标记结构,其中所述第一和第二结构排列形成一个第一衍射光栅,所述第一结构元件为所述光栅的刻线,所述第二结构元件为所述光栅的间隔,所述附加的结构元件设置成为第二衍射光栅的刻线,第二衍射光栅的明暗完全是第一衍射光栅的明暗的反转。
11.在基底上用于所述基底光学对准的标记结构,所述标记结构包括多个第一结构元件和多个第二结构元件,所述第二结构元件与第一结构元件以一个第一结构元件相邻一个第二结构元件的重复排列的方式布置,所述结构元件在所述重复排列的一个排列方向上具有一个周期,所述第一结构元件具有在所述排列方向上的第一宽度,所述第二结构元件具有在所述排列方向上的第二宽度,所述第一和第二结构元件在垂直于所述排列方向的长度方向上延伸,
在使用所述标记结构中,用于提供所述的光学对准,根据
-设置直接照射在所述标记结构上的至少一个光束,
-用传感器接收由所述标记结构衍射的衍射光线,用于所述图案的测量,
-由所述测量确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
所述标记结构的更多的特征在于
所述标记结构包括第一周期结构(PS1)和第二周期结构(PS2),
所述第二周期结构(PS2)与第一周期结构(PS1)平行邻接,
所述第一周期结构(PS1)由多个第一材料的第一结构元件(SE1)和多个第二材料的第二结构元件(SE2)构成,所述第一和第二结构元件以重复排列的方式布置,其所述第一宽度(w1)比所述第二宽度(w2)大,
所述第二周期结构(PS2)由多个具有第三宽度(w3)的所述第二材料的所述第一结构元件(SE3)和多个具有第四宽度(w4)的所述第一材料的所述第二结构元件(SE4)构成,所述第一和第二结构元件以重复排列的方式布置,所述第三宽度(w3)等于所述第一宽度(w1),所述第四宽度(w4)等于所述第二宽度(w2),以及
在所述第二周期结构(PS2)中的所述第一结构元件(SE3)以某一方式邻接在所述第一周期结构(PS1)中的所述第一结构元件(SE1)设置,以使所述第二周期结构与所述第一周期结构互补。
12.在基底上用于所述基底光学对准的标记结构,所述标记结构包括多个第一结构元件和多个第二结构元件,
在使用所述标记结构中,用于提供所述的光学对准,根据
-设置直接照射在所述标记结构上的至少一个光束,
-用传感器检测从所述标记结构上接收到的光线,
-由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
所述标记结构的更多的特征在于
所述第一结构元件由第一材料形成,所述第二结构元件由第二材料形成,在使用中,以互补的形态布置的所述结构元件,使在不存在对所述结构元件的不对称损伤中,用传感器检测第一信号,在存在对所述结构元件的不对称损伤中,用传感器检测第二信号。
13.根据权利要求12所述的标记结构,其中所述第一信号为0或最小强度,所述第二信号为一个较大的强度。
14.根据权利要求11-13中任一个所述的标记结构,其中所述第一材料为导体材料,所述第二材料为半导体或者绝缘体材料。
15.根据权利要求14所述的标记结构,其中所述第一材料是铜,所述第二材料是绝缘体材料。
16.在基底上用于所述基底光学对准的标记结构,所述标记结构包括多个第一结构元件和多个第二结构元件,
在使用所述标记结构中,用于提供所述的光学对准,根据
-设置直接照射在所述标记结构上的至少一个光束,
-用传感器检测从所述标记结构上接收到的光线,
-由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
所述标记结构的更多的特征在于
所述标记结构设置在镀金属层中,
其中所述第一结构元件由具有第一表面状态(ST1)的第一表面区部分组成,所述第二结构元件由具有第二表面状态(ST2)的第二表面区域部分组成,所述第一表面区域部分与第一掩埋标记元件相关,所述第二表面区域部分与第二掩埋标记元件相关,
所述第一和第二表面状态(ST1,ST2)与由所述第一掩埋标记元件和所述第二掩埋标记元件各自引起的所述镀金属层的形状上的变化相关。
17.根据权利要求16所述的标记结构,其中所述第一和所述第二结构元件排列形成一个衍射光栅,所述第一结构元件为所述光栅的刻线,所述第二结构元件为所述光栅的间隔。
18.根据权利要求16或17所述的标记结构,其中所述镀金属层包括在镀金属处理程序中由热金属沉积方法沉积的金属层。
19.根据权利要求16-18中任一个所述的标记结构,其中所述镀金属层包含一铝层。
20.根据权利要求18所述的标记结构,其中所述镀金属处理程序进一步包括钛粘合层沉积,钛/氮化钛密封层沉积和钝化层沉积中的至少一个。
21.在基底上用于所述基底光学对准的标记结构,所述标记结构包括多个第一结构元件和多个第二结构元件,
在使用所述标记结构中,用于提供所述的光学对准,根据
-设置直接照射在所述标记结构上的至少一个光束,
-用传感器检测从所述标记结构上接收到的光线,
-由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
所述标记结构的更多的特征在于
所述标记结构设置在镀金属层中,
其中所述第一结构元件包括多个第一刻线和多个第一插入刻线。
22.根据权利要求21所述的标记结构,其中所述第一和所述第二结构元件排列形成一个衍射光栅。
23.根据权利要求21或22所述的标记结构,其中所述第一刻线由第一材料构成,所述第一插入刻线由第二材料构成。
24.根据权利要求21-23中任一个所述的标记结构,其中第一材料对化学机械抛光有第一阻抗,所述第二材料对化学机械抛光有第二阻抗,所述第一阻抗不同于所述第二阻抗。
25.根据权利要求22或从属于它的任何权利要求所述的标记结构,其中所述多个第一插入刻线形成一个周期结构。
26.根据权利要求25所述的标记结构,其中所述周期结构在垂直于衍射光栅的周期方向的方向上充分地延伸。
27.根据权利要求25所述的标记结构,其中所述周期结构在平行于衍射光栅的周期方向的方向上充分地延伸。
28.根据权利要求26或27所述的标记结构,其中
所述第二结构元件包括多个第二刻线和多个第二插入刻线,
所述多个第二插入刻线在垂直于由多个第一插入刻线形成的周期结构的方向的方向上充分地形成另一个周期结构。
29.根据权利要求21-28中任一个所述的标记结构,其中所述第一刻线和所述第一插入刻线具有与创建在所述基底上的产品装置的标准尺寸相等的尺寸。
30.根据权利要求29所述的标记结构,其中所述第二刻线和所述第二插入刻线具有与创建在所述基底上的产品装置的标准尺寸相等的尺寸。
31.一种用于对权利要求18-25中任一个标记结构对准的对准方法,所述方法包括
-提供直接照射在所述标记结构上的至少一个光束,
-用传感器检测从所述标记结构上接收到的光线,
-由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
其中,至少一个光束具有线性偏振(E2),沿垂直于由多个第一插入刻线形成的周期结构的方向充分地延伸,或者所述传感器有一个偏振滤光器,该滤光器允许有上述线性偏振(E2)的光的传输。
32.一种用于对权利要求18-25中任一个标记结构对准的对准方法,所述方法包括
-提供直接照射在所述标记结构上的至少一个光束,
-用传感器检测从所述标记结构上接收到的光线,
-由所述被检测的光确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息;
其中,至少一个光束具有线性偏振(E1),沿平行于由多个第一插入刻线形成的周期结构的方向充分地延伸,或者所述传感器有一个偏振滤光器,该滤光器允许有上述线性偏振(E1)的光的传输。
33.根据权利要求31和32所述的对准方法,其中将两束光直接照射在所述标记结构上,所述光束有完全正交的线性偏振(E1,E2),且将它们分开接收。
34.一种用于微电子装置的基底,包括前述权利要求1-33中任一个的标记结构中的至少一个。
35.一种光刻投影装置,包括:
-用于提供辐射投射光束的辐射系统;
-用于支撑构图部件的支撑结构,所述构图部件用于根据理想的图案对投射光束进行构图;
-用于保持基底的基底保持台(WS);
-用于将带图案的光束投射到基底的靶部上的投射系统;
-用于检测所述基底相对于所述构图部件位置的位置的基底对准系统(MS);
-所述基底包括前述权利要求1-33中任一个的标记结构中的至少一个。
36.一种光刻投影装置中的基底对准的方法,所述光刻投影装置包括:
-用于提供辐射投射光束的辐射系统;
-用于支撑构图部件的支撑结构,所述构图部件用于根据理想的图案对投射光束进行构图;
-用于保持基底的基底保持台(WS);
-用于将带图案的光束投射到基底的靶部上的投射系统;
-用于检测所述基底相对于所述构图部件位置的位置的基底对准系统(MS);
所述方法包括:
-提供直接照射在权利要求1-33中任一个所述的标记结构上的至少一个光束;
-用传感器检测从所述标记结构上接收到的光线,
-由所述测量确定对准信息,所述对准信息包括与所述基底到所述传感器的位置相关的信息。
CNB031648401A 2002-09-20 2003-09-19 光刻标记结构、包含该光刻标记结构的光刻投射装置和利用该光刻标记结构进行基片对准的方法 Expired - Fee Related CN100476599C (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US41186102P 2002-09-20 2002-09-20
US60/411861 2002-09-20
US41360102P 2002-09-26 2002-09-26
US60/413601 2002-09-26
EP03075954.2 2003-04-01
EP03075954 2003-04-01
EP03076422.9 2003-05-12
EP03076422A EP1477860A1 (en) 2003-05-12 2003-05-12 Lithographic marker structure compliant with microelectronic device processing

Publications (2)

Publication Number Publication Date
CN1534387A true CN1534387A (zh) 2004-10-06
CN100476599C CN100476599C (zh) 2009-04-08

Family

ID=33303964

Family Applications (4)

Application Number Title Priority Date Filing Date
CN031648584A Expired - Lifetime CN1495540B (zh) 2002-09-20 2003-09-19 利用至少两个波长的光刻系统的对准系统和方法
CNB031648401A Expired - Fee Related CN100476599C (zh) 2002-09-20 2003-09-19 光刻标记结构、包含该光刻标记结构的光刻投射装置和利用该光刻标记结构进行基片对准的方法
CNB03164841XA Expired - Fee Related CN100337089C (zh) 2002-09-20 2003-09-19 器件检验
CN031648592A Expired - Lifetime CN1506768B (zh) 2002-09-20 2003-09-19 用于光刻系统的对准系统和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN031648584A Expired - Lifetime CN1495540B (zh) 2002-09-20 2003-09-19 利用至少两个波长的光刻系统的对准系统和方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CNB03164841XA Expired - Fee Related CN100337089C (zh) 2002-09-20 2003-09-19 器件检验
CN031648592A Expired - Lifetime CN1506768B (zh) 2002-09-20 2003-09-19 用于光刻系统的对准系统和方法

Country Status (6)

Country Link
US (12) US6844918B2 (zh)
JP (10) JP2004282017A (zh)
KR (5) KR100632889B1 (zh)
CN (4) CN1495540B (zh)
SG (4) SG125923A1 (zh)
TW (4) TWI227814B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908526A (zh) * 2009-06-05 2010-12-08 南亚科技股份有限公司 对准标记布局和对准标记结构
CN102253603A (zh) * 2010-05-21 2011-11-23 上海微电子装备有限公司 一种用于光刻设备的对准探测装置
CN101192596B (zh) * 2006-11-28 2012-06-13 瑞萨电子株式会社 具有对准标记的半导体器件以及显示设备
CN103972119A (zh) * 2013-01-25 2014-08-06 北大方正集团有限公司 一种测试装置和使用该测试装置测量对准偏差的方法
CN106569386A (zh) * 2015-10-08 2017-04-19 无锡华润上华科技有限公司 光罩及利用所述光罩进行多芯片同时制备的方法
CN108072789A (zh) * 2016-11-11 2018-05-25 弗兰克公司 非接触式电参数测量系统
CN110001221A (zh) * 2019-04-11 2019-07-12 淮安信息职业技术学院 喷码位置偏移的检测方法及装置
TWI730798B (zh) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 對準標記結構及影像感測器的製造方法

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
KR100632889B1 (ko) * 2002-09-20 2006-10-13 에이에스엠엘 네델란즈 비.브이. 2개이상의 파장을 사용하는 리소그래피시스템용정렬시스템 및 정렬방법
JP4095391B2 (ja) 2002-09-24 2008-06-04 キヤノン株式会社 位置検出方法
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
CN100470375C (zh) * 2002-12-16 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
CN100510962C (zh) * 2002-12-16 2009-07-08 Asml荷兰有限公司 具有对准子系统的光刻装置和使用对准的器件制造方法
JP4101076B2 (ja) * 2003-02-06 2008-06-11 キヤノン株式会社 位置検出方法及び装置
US7025498B2 (en) * 2003-05-30 2006-04-11 Asml Holding N.V. System and method of measuring thermal expansion
US7565219B2 (en) * 2003-12-09 2009-07-21 Asml Netherlands B.V. Lithographic apparatus, method of determining a model parameter, device manufacturing method, and device manufactured thereby
KR101026935B1 (ko) * 2003-12-10 2011-04-04 엘지디스플레이 주식회사 디스펜서 정렬장치 및 그 방법
JP2005233828A (ja) * 2004-02-20 2005-09-02 Canon Inc Euv光スペクトル測定装置およびeuv光のパワー算出方法
US7265366B2 (en) * 2004-03-31 2007-09-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7259828B2 (en) * 2004-05-14 2007-08-21 Asml Netherlands B.V. Alignment system and method and device manufactured thereby
US7271073B2 (en) * 2004-06-30 2007-09-18 Asml Nertherlands B.V. Marker for alignment of non-transparent gate layer, method for manufacturing such a marker, and use of such a marker in a lithographic apparatus
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
EP1645893A1 (de) * 2004-10-08 2006-04-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beugungsgitter für elektromagnetische Strahlung sowie Verfahren zur Herstellung
US7629697B2 (en) 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
KR101025632B1 (ko) * 2004-11-30 2011-03-30 가부시키가이샤 야스카와덴키 얼라인먼트 장치
US7271907B2 (en) * 2004-12-23 2007-09-18 Asml Netherlands B.V. Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
US20060138681A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Substrate and lithography process using the same
US7626701B2 (en) * 2004-12-27 2009-12-01 Asml Netherlands B.V. Lithographic apparatus with multiple alignment arrangements and alignment measuring method
KR100636492B1 (ko) 2005-01-05 2006-10-18 삼성에스디아이 주식회사 기판과 마스크의 정렬장치 및 정렬방법
US7720631B2 (en) * 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
JP4509974B2 (ja) * 2005-06-30 2010-07-21 エーエスエムエル ネザーランズ ビー.ブイ. レチクル予備位置合わせセンサ用一体照明システムがあるエンドエフェクタ
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7408624B2 (en) * 2005-06-30 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7414722B2 (en) * 2005-08-16 2008-08-19 Asml Netherlands B.V. Alignment measurement arrangement and alignment measurement method
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
TWI540399B (zh) * 2005-09-16 2016-07-01 瑪波微影Ip公司 微影系統及投射方法
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7863763B2 (en) * 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
US20070146708A1 (en) * 2005-11-24 2007-06-28 Nikon Corporation Mark structure, mark measurement apparatus, pattern forming apparatus and detection apparatus, and detection method and device manufacturing method
US7557903B2 (en) * 2005-12-08 2009-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007184342A (ja) * 2006-01-05 2007-07-19 Nikon Corp 露光システム、露光方法、及びデバイス製造方法
US7897058B2 (en) * 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
CN101980084B (zh) * 2006-02-21 2013-01-23 株式会社尼康 曝光装置、曝光方法及组件制造方法
TWI297920B (en) * 2006-02-22 2008-06-11 Advanced Semiconductor Eng Compact camera module and its substrate
US20080013062A1 (en) * 2006-03-23 2008-01-17 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
WO2007116711A1 (ja) * 2006-03-29 2007-10-18 Nikon Corporation 計測方法、計測装置及び処理装置、並びにパターン形成方法及びデバイス製造方法
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP4839127B2 (ja) * 2006-05-10 2011-12-21 株式会社日立ハイテクノロジーズ 校正用標準部材及びこれを用いた校正方法および電子ビーム装置
US7898662B2 (en) 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20090134496A1 (en) * 2006-07-06 2009-05-28 Freescale Semiconductor, Inc. Wafer and method of forming alignment markers
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080094592A1 (en) * 2006-08-31 2008-04-24 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, and device manufacturing method
CN100456142C (zh) * 2006-10-18 2009-01-28 上海微电子装备有限公司 一种对准标记及其制造方法
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
US7923265B2 (en) * 2006-11-28 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improving critical dimension proximity control of patterns on a mask or wafer
US8010307B2 (en) * 2006-12-07 2011-08-30 Hermes-Microvision, Inc. In-line overlay measurement using charged particle beam system
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US8722179B2 (en) * 2006-12-12 2014-05-13 Asml Netherlands B.V. Substrate comprising a mark
US8609441B2 (en) * 2006-12-12 2013-12-17 Asml Netherlands B.V. Substrate comprising a mark
JP4858146B2 (ja) * 2006-12-14 2012-01-18 大日本印刷株式会社 フォトマスクおよび転写方法
KR100795665B1 (ko) 2006-12-28 2008-01-21 동부일렉트로닉스 주식회사 반도체 장치 검사 방법
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080171422A1 (en) * 2007-01-11 2008-07-17 Tokie Jeffrey H Apparatus and methods for fabrication of thin film electronic devices and circuits
US20080175468A1 (en) * 2007-01-24 2008-07-24 Hermes Microvision, Inc. Method and system for creating knowledge and selecting features in a semiconductor device
US7684011B2 (en) 2007-03-02 2010-03-23 Asml Netherlands B.V. Calibration method for a lithographic apparatus
US7599064B2 (en) * 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7999912B2 (en) * 2007-05-08 2011-08-16 Asml Netherlands B.V. Lithographic apparatus and sensor calibration method
US20090246896A1 (en) * 2007-07-19 2009-10-01 Melissa Kreger Method and apparatus for improved printed cathodes for organic electronic devices
WO2009018857A1 (en) * 2007-08-06 2009-02-12 Stephen Hastings Method for reactive optical correction of galvano motor scanning heads
US7847938B2 (en) * 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
US8482732B2 (en) * 2007-10-01 2013-07-09 Maskless Lithography, Inc. Alignment system for various materials and material flows
JP2009097871A (ja) * 2007-10-12 2009-05-07 Sony Corp 部材所定位置の検出装置
JP2009099873A (ja) * 2007-10-18 2009-05-07 Canon Inc 露光装置およびデバイス製造方法
NL1036179A1 (nl) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
NL1036191A1 (nl) 2007-12-05 2009-06-08 Asml Netherlands Bv Marker structure and method of forming the same.
SG153747A1 (en) 2007-12-13 2009-07-29 Asml Netherlands Bv Alignment method, alignment system and product with alignment mark
NL1036336A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Method of creating an alignment mark on a substrate and substrate.
JP5006889B2 (ja) * 2008-02-21 2012-08-22 エーエスエムエル ネザーランズ ビー.ブイ. 粗ウェーハ位置合わせ用マーク構造及びこのようなマーク構造の製造方法
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2009116383A1 (ja) * 2008-03-17 2009-09-24 東京エレクトロン株式会社 制御装置及び制御方法
NL1036702A1 (nl) * 2008-04-15 2009-10-19 Asml Holding Nv Diffraction elements for alignment targets.
US8401691B2 (en) * 2008-04-28 2013-03-19 University Of North Carolina At Charlotte Dynamic metrology methods and systems
KR100975832B1 (ko) * 2008-05-21 2010-08-13 윈텍 주식회사 압흔 검사장치 및 방법
TWI436313B (zh) * 2008-05-22 2014-05-01 Creator Technology Bv 具有彎曲基板的堆疊顯示器,電子設備及其製造方法
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2131243B1 (en) * 2008-06-02 2015-07-01 ASML Netherlands B.V. Lithographic apparatus and method for calibrating a stage position
NL2002932A1 (nl) * 2008-06-02 2009-12-03 Asml Netherlands Bv Sub-wavelength segmentation in measurement targets on substrates.
US8665417B2 (en) * 2008-06-11 2014-03-04 Asml Netherlands B.V. Apparatus and method for inspecting a substrate
US9229338B2 (en) * 2008-09-08 2016-01-05 Asml Netherlands B.V. Substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
TW201015230A (en) 2008-10-03 2010-04-16 Univ Nat Chiao Tung Immersion inclined lithography apparatus and tank thereof
US7897481B2 (en) * 2008-12-05 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. High throughput die-to-wafer bonding using pre-alignment
WO2010073226A2 (de) * 2008-12-24 2010-07-01 X-Fab Semiconductor Foundries Ag Herstellung von hohen justiermarken und solche justiermarken auf einem halbleiterwafer
CN101526750B (zh) * 2009-01-13 2011-06-29 上海微电子装备有限公司 用于光刻设备的对准系统及应用其的光刻设备
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
US8039366B2 (en) * 2009-02-19 2011-10-18 International Business Machines Corporation Method for providing rotationally symmetric alignment marks for an alignment system that requires asymmetric geometric layout
CN101487992B (zh) * 2009-03-04 2010-10-20 上海微电子装备有限公司 一种硅片标记捕获系统与方法
NL2004216A (en) * 2009-03-26 2010-09-28 Asml Netherlands Bv Alignment measurement arrangement, alignment measurement method, device manufacturing method and lithographic apparatus.
NL2004542A (en) * 2009-05-11 2010-11-15 Asml Netherlands Bv Method of determining overlay error and a device manufacturing method.
JP5326811B2 (ja) * 2009-05-22 2013-10-30 住友電気工業株式会社 半導体光素子を作製する方法
US8313877B2 (en) * 2009-06-12 2012-11-20 Micron Technology, Inc. Photolithography monitoring mark, photolithography mask comprising an exposure monitoring mark, and phase shift mask comprising an exposure monitoring mark
NL2004815A (en) * 2009-06-17 2010-12-20 Asml Netherlands Bv Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell.
CN101943865B (zh) * 2009-07-09 2012-10-03 上海微电子装备有限公司 一种用于光刻设备的对准标记和对准方法
KR101116321B1 (ko) * 2009-08-21 2012-03-09 에이피시스템 주식회사 기판 정렬 방법
US8804137B2 (en) * 2009-08-31 2014-08-12 Kla-Tencor Corporation Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
CN101634815B (zh) * 2009-08-31 2011-06-29 上海微电子装备有限公司 一种基于多个不同波长的对准方法
CN102024689B (zh) * 2009-09-11 2012-09-19 中芯国际集成电路制造(上海)有限公司 提高多晶硅栅制作工艺中对准性能的方法
JP5572218B2 (ja) * 2009-10-13 2014-08-13 エーエスエムエル ネザーランズ ビー.ブイ. 検査方法及び装置
US8502324B2 (en) * 2009-10-19 2013-08-06 Freescale Semiconductor, Inc. Semiconductor wafer having scribe lane alignment marks for reducing crack propagation
US8492731B2 (en) * 2009-10-26 2013-07-23 Mapper Lithography Ip B.V. Charged particle multi-beamlet lithography system with modulation device
JP5527074B2 (ja) * 2009-11-16 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5800456B2 (ja) * 2009-12-16 2015-10-28 キヤノン株式会社 検出器、インプリント装置及び物品の製造方法
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
JP5583791B2 (ja) * 2010-02-19 2014-09-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5463947B2 (ja) * 2010-02-19 2014-04-09 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5526851B2 (ja) * 2010-02-19 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
CN102253602A (zh) * 2010-05-18 2011-11-23 上海微电子装备有限公司 一种光刻系统中实时控制照明剂量的装置
KR20120000846A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 웨이퍼의 정렬 방법 및 공정 모니터링 방법
CN102314091B (zh) * 2010-07-01 2013-07-17 上海微电子装备有限公司 一种可调节对准系统照明光斑尺寸的光刻机
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007177A (en) 2010-09-13 2012-03-14 Asml Netherlands Bv Alignment measurement system, lithographic apparatus, and a method to determine alignment of in a lithographic apparatus.
CN102402140B (zh) * 2010-09-17 2014-02-19 上海微电子装备有限公司 一种对准系统
US8669507B2 (en) 2010-10-22 2014-03-11 Industrial Technology Research Institute Laser scanning device
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
WO2012062501A1 (en) * 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
EP2458441B1 (en) 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
JP5830853B2 (ja) 2010-12-14 2015-12-09 ソニー株式会社 撮像レンズ及び撮像装置
JP5589815B2 (ja) 2010-12-14 2014-09-17 ソニー株式会社 撮像レンズ及び撮像装置
CN102540743B (zh) * 2010-12-22 2015-03-25 上海微电子装备有限公司 用于光刻设备的参考光栅装调装置及方法
CN102566338B (zh) * 2010-12-28 2013-11-13 上海微电子装备有限公司 光刻对准系统中对对准位置进行修正的方法
CN102566337B (zh) * 2010-12-28 2014-05-21 上海微电子装备有限公司 一种标记期望位置确定方法
KR20120086073A (ko) 2011-01-25 2012-08-02 삼성전자주식회사 오버레이 계측 방법 및 그 장치
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2008111A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method.
CN102692827B (zh) * 2011-03-21 2015-07-22 上海微电子装备有限公司 一种用于光刻设备的对准装置
WO2012126684A1 (en) * 2011-03-24 2012-09-27 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
NL2008679C2 (en) 2011-04-22 2013-06-26 Mapper Lithography Ip Bv Position determination in a lithography system using a substrate having a partially reflective position mark.
TW201248336A (en) 2011-04-22 2012-12-01 Mapper Lithography Ip Bv Lithography system for processing a target, such as a wafer, and a method for operating a lithography system for processing a target, such as a wafer
WO2012158025A2 (en) 2011-05-13 2012-11-22 Mapper Lithography Ip B.V. Lithography system for processing at least a part of a target
CN102890433B (zh) * 2011-07-20 2015-03-25 上海微电子装备有限公司 一种用于光刻设备的对准装置和对准方法
US8582114B2 (en) 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
CN103019052B (zh) * 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 光刻对准标记以及包含其的掩模板和半导体晶片
CN103092011B (zh) * 2011-11-01 2015-08-26 上海微电子装备有限公司 用于光刻系统的对准装置
CN103135371B (zh) * 2011-12-02 2015-02-11 上海微电子装备有限公司 基于分束偏折结构的小光斑离轴对准系统
NL2009719A (en) * 2011-12-02 2013-06-05 Asml Netherlands Bv Alignment mark deformation estimating method, substrate position predicting method, alignment system and lithographic apparatus.
KR20130064486A (ko) * 2011-12-08 2013-06-18 삼성디스플레이 주식회사 광투과율 제어가 가능한 표시장치
CN103197418B (zh) * 2012-01-10 2015-06-17 上海微电子装备有限公司 一种对准4f光学系统
US9360778B2 (en) 2012-03-02 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography patterning
JP5665784B2 (ja) 2012-03-16 2015-02-04 株式会社東芝 フォトマスクおよびパターン形成方法
DE102012204674B4 (de) * 2012-03-23 2014-11-27 Carl Zeiss Smt Gmbh Strahlregelungsvorrichtung für einen EUV-Beleuchtungsstrahl
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
KR102330741B1 (ko) 2012-06-26 2021-11-23 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
DE102012211549B3 (de) * 2012-07-03 2013-07-04 Polytec Gmbh Vorrichtung und Verfahren zur interferometrischen Vermessung eines Objekts
KR102015934B1 (ko) * 2012-07-05 2019-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피를 위한 계측법
JP5936478B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
JP5936479B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
US9243896B2 (en) * 2012-08-15 2016-01-26 Nikon Corporation Two axis encoder head assembly
JP6120967B2 (ja) 2012-08-16 2017-04-26 エーエスエムエル ネザーランズ ビー.ブイ. 微細構造の非対称性を測定する方法及び装置、位置測定方法、位置測定装置、リソグラフィ装置及びデバイス製造方法
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
CN104704406B (zh) 2012-10-15 2017-08-29 北卡罗莱纳州立大学 用于制造几何相位全息图的直写式光刻法
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
NL2011681C2 (en) * 2012-10-26 2014-05-01 Mapper Lithography Ip Bv Method of determining a position of a substrate in a lithography system, substrate for use in such method, and lithography system for carrying out such method.
US9939742B2 (en) 2012-11-05 2018-04-10 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
US10698321B2 (en) * 2012-11-21 2020-06-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
JP6185724B2 (ja) * 2013-02-20 2017-08-23 キヤノン株式会社 露光装置および物品の製造方法
US9030661B1 (en) 2013-03-15 2015-05-12 Kla-Tencor Corporation Alignment measurement system
JP2014225428A (ja) * 2013-04-24 2014-12-04 キヤノン株式会社 荷電粒子線照射装置、荷電粒子線の照射方法及び物品の製造方法
JP6193611B2 (ja) 2013-04-30 2017-09-06 キヤノン株式会社 描画装置、及び物品の製造方法
JP6465540B2 (ja) * 2013-07-09 2019-02-06 キヤノン株式会社 形成方法及び製造方法
CN103411538B (zh) * 2013-07-20 2016-03-02 中国科学技术大学 一种数字式波长编码光学绝对位移传感器
CN104345571B (zh) * 2013-07-24 2016-08-10 中芯国际集成电路制造(上海)有限公司 对准标记的成像和测量装置、光刻装置
US9257351B2 (en) * 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
TW201520702A (zh) * 2013-11-19 2015-06-01 Huang Tian Xing 對準誤差補償方法、系統,及圖案化方法
US10082417B2 (en) * 2013-12-30 2018-09-25 Nordson Corporation Calibration methods for a viscous fluid dispensing system
CN104898376B (zh) * 2014-03-03 2017-12-29 上海微电子装备(集团)股份有限公司 投影光刻机的离轴对准装置用于离轴对准的方法
US9804488B2 (en) 2014-03-21 2017-10-31 Carpe Diem Technologies, Inc. System and method for fabricating miniature structures on a flexible substrate
CN106462078B (zh) 2014-05-13 2018-10-02 Asml荷兰有限公司 衬底和量测用图案形成装置、量测方法及器件制造方法
JP6341883B2 (ja) * 2014-06-27 2018-06-13 キヤノン株式会社 位置検出装置、位置検出方法、インプリント装置及び物品の製造方法
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
CN105511238B (zh) * 2014-09-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 光刻对准标记结构及形成方法、半导体结构的形成方法
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
CN105807573B (zh) * 2014-12-31 2017-12-29 上海微电子装备(集团)股份有限公司 用于套刻误差检测的装置和方法
KR20180103184A (ko) 2015-01-28 2018-09-18 가부시키가이샤 도교 세이미쓰 레이저 다이싱 장치
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
US10139740B2 (en) * 2015-07-16 2018-11-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL2017739A (en) * 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10115621B2 (en) 2016-05-13 2018-10-30 Globalfoundries Inc. Method for in-die overlay control using FEOL dummy fill layer
KR20190040279A (ko) * 2016-08-24 2019-04-17 가부시키가이샤 니콘 계측 시스템 및 기판 처리 시스템, 그리고 디바이스 제조 방법
CN107976869B (zh) * 2016-10-24 2023-06-30 上海微电子装备(集团)股份有限公司 一种工件台非正交校正方法及校正装置
JP7241017B2 (ja) * 2016-12-14 2023-03-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
JP6971567B2 (ja) * 2016-12-16 2021-11-24 キヤノン株式会社 位置合わせ装置、位置合わせ方法、リソグラフィ装置、および物品製造方法
US10908516B2 (en) 2016-12-28 2021-02-02 Asml Holding N.V. Metrology tool and method of using the same
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
WO2018137925A1 (en) * 2017-01-25 2018-08-02 Stichting Vu Method and apparatus for measuring a structure on a substrate
EP3586189B1 (en) * 2017-02-23 2024-01-10 Nikon Corporation Measurement of a change in a geometrical characteristic and/or position of a workpiece
JP2018185452A (ja) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
NL2018856B1 (en) * 2017-05-05 2018-11-14 Suss Microtec Lithography Gmbh Method and device for aligning a first substrate with a second substrate
WO2018206177A1 (en) * 2017-05-08 2018-11-15 Asml Netherlands B.V. Metrology sensor, lithographic apparatus and method for manufacturing devices
CN110612481A (zh) * 2017-05-08 2019-12-24 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统和器件制造方法
US11073487B2 (en) * 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
WO2018210505A1 (en) 2017-05-15 2018-11-22 Asml Netherlands B.V. Metrology sensor, lithographic apparatus and method for manufacturing devices
JP7152877B2 (ja) * 2017-06-15 2022-10-13 キヤノン株式会社 検出装置、リソグラフィー装置および物品製造方法
DE102017113419A1 (de) 2017-06-19 2018-12-20 Keba Ag Vorrichtung und Verfahren zum Bestimmen eines Winkels zwischen zwei Werkstückflächen
EP3422103A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
US10508971B2 (en) * 2017-09-07 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Optical test system and method for determining size of gap between two substrates of optical element
EP3467588A1 (en) * 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
KR20200092962A (ko) * 2017-11-29 2020-08-04 에이에스엠엘 네델란즈 비.브이. 레이저 빔 모니터링 시스템
US10429743B2 (en) * 2017-11-30 2019-10-01 International Business Machines Corporation Optical mask validation
US10650111B2 (en) 2017-11-30 2020-05-12 International Business Machines Corporation Electrical mask validation
US10705435B2 (en) 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
TWI794416B (zh) * 2018-02-28 2023-03-01 美商賽格股份有限公司 多層堆疊結構之計量方法及干涉儀系統
JP7002383B2 (ja) * 2018-03-22 2022-02-04 キオクシア株式会社 位置計測用光源の品質管理方法および半導体製造装置
US11422292B1 (en) * 2018-06-10 2022-08-23 Apple Inc. Super-blazed diffractive optical elements with sub-wavelength structures
WO2019241149A1 (en) * 2018-06-13 2019-12-19 Magic Leap, Inc. System and method for qualifying a multi-layered optical stack for an optical projection system
CN112334731B (zh) * 2018-06-27 2022-09-02 东京毅力科创株式会社 膜厚测定装置及校正方法
US10772141B2 (en) 2018-06-28 2020-09-08 The Chinese University Of Hong Kong System and method for peer-to-peer wireless communication
CN110657743B (zh) * 2018-06-28 2021-08-31 上海微电子装备(集团)股份有限公司 一种栅格误差的测量方法、测量装置以及光学设备
CN112368647B (zh) * 2018-07-06 2024-03-26 Asml荷兰有限公司 位置传感器
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US10481379B1 (en) 2018-10-19 2019-11-19 Nanotronics Imaging, Inc. Method and system for automatically mapping fluid objects on a substrate
KR20200072311A (ko) 2018-12-12 2020-06-22 삼성전자주식회사 반도체 소자 제조 방법
CN109786228B (zh) * 2019-01-22 2021-04-16 上海华虹宏力半导体制造有限公司 形成对准标记的方法
WO2020159560A1 (en) * 2019-01-28 2020-08-06 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices
NL2024850A (en) * 2019-02-21 2020-08-31 Asml Holding Nv Wafer alignment using form birefringence of targets or product
TWI716821B (zh) * 2019-02-22 2021-01-21 世界先進積體電路股份有限公司 晶圓結構
US10818606B1 (en) 2019-04-02 2020-10-27 Vanguard International Semiconductor Corporation Alignment mark patterns and wafer structures comprising the same
JP6842680B2 (ja) 2019-07-19 2021-03-17 株式会社安川電機 エンコーダ、サーボモータ、サーボシステム
KR20210012772A (ko) * 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 수직형 반도체 장치 및 그 제조 방법
CN110379941A (zh) * 2019-07-31 2019-10-25 昆山梦显电子科技有限公司 高分辨率Micro-OLED的制备方法以及显示模组
KR102273278B1 (ko) * 2019-09-10 2021-07-07 (주)오로스 테크놀로지 오버레이 측정장치
CN110568701A (zh) * 2019-09-25 2019-12-13 上海创波光电科技有限公司 一种组合式二次成像视觉光源
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
US11270950B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
JP2022552195A (ja) * 2019-10-11 2022-12-15 アプライド マテリアルズ インコーポレイテッド ダイシステム及び位置合わせベクトルを比較する方法
CN114585972A (zh) 2019-10-21 2022-06-03 Asml控股股份有限公司 感测对准标记的设备和方法
JP7377355B2 (ja) 2019-10-29 2023-11-09 エーエスエムエル ホールディング エヌ.ブイ. 較正システム及び較正方法
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
CN113093475A (zh) * 2020-01-08 2021-07-09 中芯国际集成电路制造(上海)有限公司 套刻精度检测方法及套刻偏差补偿方法
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11754767B1 (en) 2020-03-05 2023-09-12 Apple Inc. Display with overlaid waveguide
CN113448192B (zh) * 2020-03-26 2022-08-30 上海微电子装备(集团)股份有限公司 一种对准系统及光刻机
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
WO2022106182A1 (en) * 2020-11-17 2022-05-27 Asml Netherlands B.V. Metrology system and lithographic system
CN113065373B (zh) * 2021-03-16 2024-02-09 环鸿电子(昆山)有限公司 光学瞄准设备的自动化瞄准校正系统及方法
CN113725196A (zh) * 2021-08-31 2021-11-30 长江存储科技有限责任公司 半导体结构及其形成方法
US11815823B2 (en) 2021-10-15 2023-11-14 Applied Materials, Inc. Alignment mark for front to back side alignment and lithography for optical device fabrication
CN114061452A (zh) * 2021-11-04 2022-02-18 中国科学院微电子研究所 超精密位置探测光电信号解算结果有效性评价方法及系统
EP4202550A1 (en) * 2021-12-22 2023-06-28 ASML Netherlands B.V. Substrate comprising a target arrangement, associated patterning device, lithographic method and metrology method
CN116819917B (zh) * 2023-08-31 2023-11-17 光科芯图(北京)科技有限公司 一种掩模板、曝光设备及掩模板对准方法

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE262510C (zh)
US4037969A (en) 1976-04-02 1977-07-26 Bell Telephone Laboratories, Incorporated Zone plate alignment marks
NL7606548A (nl) 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
DE2820133A1 (de) * 1978-05-09 1979-11-15 Walter Ing Grad Fries Vorrichtung fuer die trockenentwicklung von lichtpausmaterial
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
NL186353C (nl) * 1979-06-12 1990-11-01 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat voorzien van een opto-elektronisch detektiestelsel voor het bepalen van een afwijking tussen het beeldvlak van een projektielenzenstelsel en het substraatvlak.
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4355892A (en) * 1980-12-18 1982-10-26 Censor Patent- Und Versuchs-Anstalt Method for the projection printing
US4398824A (en) 1981-04-15 1983-08-16 Bell Telephone Laboratories, Incorporated Wafer tilt compensation in zone plate alignment system
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
DE3318980C2 (de) 1982-07-09 1986-09-18 Perkin-Elmer Censor Anstalt, Vaduz Vorrichtung zum Justieren beim Projektionskopieren von Masken
JPS5979527A (ja) 1982-10-29 1984-05-08 Hitachi Ltd パタ−ン検出装置
US4514858A (en) * 1983-03-15 1985-04-30 Micronix Partners Lithography system
JPH0732109B2 (ja) * 1983-10-07 1995-04-10 株式会社日立製作所 光露光方法
US4596467A (en) * 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
NL8401710A (nl) 1984-05-29 1985-12-16 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat.
DD262510A1 (de) 1984-10-01 1988-11-30 Zeiss Jena Veb Carl Anordnung zur ausrichtung flaechenhafter gegenstaende
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
JPS61208220A (ja) 1985-03-13 1986-09-16 Matsushita Electric Ind Co Ltd 露光装置及び位置合わせ方法
US4710026A (en) 1985-03-22 1987-12-01 Nippon Kogaku K. K. Position detection apparatus
US4861162A (en) 1985-05-16 1989-08-29 Canon Kabushiki Kaisha Alignment of an object
CH667373A5 (de) * 1985-05-22 1988-10-14 Bucher Guyer Ag Masch Verfahren zur klaerung von fluessigkeiten und anlage zur durchfuehrung desselben.
US4704033A (en) * 1986-03-06 1987-11-03 Micronix Corporation Multiple wavelength linear zone plate alignment apparatus and method
NL8600639A (nl) * 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
US4748333A (en) * 1986-03-31 1988-05-31 Nippon Kogaku K. K. Surface displacement sensor with opening angle control
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
JPS6340316A (ja) * 1986-08-05 1988-02-20 Mitsubishi Electric Corp 半導体製造装置
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPS63220521A (ja) 1987-03-10 1988-09-13 Canon Inc 焦点合せ装置
DE3707711A1 (de) * 1987-03-11 1988-09-22 Hoechst Ag Oel-in-wasser-emulsionen, verfahren zu deren herstellung und deren verwendung
US4890529A (en) * 1987-06-15 1990-01-02 Grant Bruce M Luminescently outlined string instrument
JPS6414918A (en) 1987-07-08 1989-01-19 Nikon Corp Stepper
JPS6414918U (zh) 1987-07-18 1989-01-25
US4857744A (en) * 1987-07-29 1989-08-15 Hitachi, Ltd. Optical projection printing apparatus wherein wafer mark has a grating pitch in the sagittal plane of the first optical system
JPH0642448B2 (ja) * 1987-09-30 1994-06-01 株式会社東芝 位置合わせ方法
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JPH02170005A (ja) * 1988-12-23 1990-06-29 Matsushita Electric Ind Co Ltd 位置合わせ装置
US5489986A (en) 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
DE58901190D1 (de) * 1989-04-12 1992-05-21 Landis & Gyr Betriebs Ag Anordnung zur messung einer spurabweichung einer bewegbaren folienbahn.
NL8900991A (nl) * 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5543921A (en) * 1989-05-08 1996-08-06 Canon Kabushiki Kaisha Aligning method utilizing reliability weighting coefficients
JPH032504A (ja) 1989-05-30 1991-01-08 Nikon Corp 位置合わせ装置
JPH0335107A (ja) * 1989-06-30 1991-02-15 Toshiba Corp 相対位置検出用回折格子
JP2539047B2 (ja) 1989-08-02 1996-10-02 株式会社東芝 位置合せ方法
EP0411966B1 (en) * 1989-08-04 1994-11-02 Canon Kabushiki Kaisha Position detection method and apparatus
JP2885439B2 (ja) 1989-10-06 1999-04-26 株式会社東芝 第1及び第2の物体の位置合せ方法及び装置
US5151754A (en) * 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
JP3077149B2 (ja) 1990-01-22 2000-08-14 株式会社ニコン 測定装置、測定方法、及び露光装置、露光方法、及び回路パターンチップ
NL9000503A (nl) * 1990-03-05 1991-10-01 Asm Lithography Bv Apparaat en werkwijze voor het afbeelden van een maskerpatroon op een substraat.
JPH03257303A (ja) 1990-03-08 1991-11-15 Nec Corp 重ね合せ精度測定方法
JPH03262901A (ja) 1990-03-13 1991-11-22 Toshiba Corp 位置合わせ方法
JP2893823B2 (ja) * 1990-03-20 1999-05-24 株式会社ニコン 位置合わせ方法及び装置
ATE123885T1 (de) 1990-05-02 1995-06-15 Fraunhofer Ges Forschung Belichtungsvorrichtung.
NL9001611A (nl) 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5418613A (en) 1990-11-20 1995-05-23 Canon Kabushiki Kaisha Method and apparatus for detecting the position of a substrate having first and second patterns of different sizes
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3275273B2 (ja) 1993-01-28 2002-04-15 株式会社ニコン アライメント装置及び露光装置
BE1006067A3 (nl) * 1992-07-01 1994-05-03 Imec Inter Uni Micro Electr Optisch systeem voor het afbeelden van een maskerpatroon in een fotogevoelige laag.
DE69324532T2 (de) * 1992-07-15 1999-09-23 Nikon Corp Lichtquelle für ein Heterodyninterferometer
JPH06267824A (ja) * 1993-03-15 1994-09-22 Nikon Corp 露光方法
KR970003593B1 (en) * 1992-09-03 1997-03-20 Samsung Electronics Co Ltd Projection exposure method and device using mask
JPH06177012A (ja) * 1992-12-03 1994-06-24 Nikon Corp アライメント装置
US5808910A (en) * 1993-04-06 1998-09-15 Nikon Corporation Alignment method
US5596204A (en) 1993-04-06 1997-01-21 Nikon Corporation Method for aligning processing areas on a substrate with a predetermined position in a static coordinate system
US5424548A (en) * 1993-09-21 1995-06-13 International Business Machines Corp. Pattern specific calibration for E-beam lithography
CA2180941A1 (en) * 1994-01-24 1995-07-27 Gregg M. Gallatin Grating-grating interferometric alignment system
US5614432A (en) * 1994-04-23 1997-03-25 Nec Corporation Method for manufacturing LDD type MIS device
US5601957A (en) 1994-06-16 1997-02-11 Nikon Corporation Micro devices manufacturing method comprising the use of a second pattern overlying an alignment mark to reduce flattening
JP3451603B2 (ja) * 1994-06-16 2003-09-29 株式会社ニコン 露光方法及び該露光方法に使用されるマスク
EP0721608B1 (en) 1994-08-02 2003-10-01 Koninklijke Philips Electronics N.V. Method of repetitively imaging a mask pattern on a substrate
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JP3257303B2 (ja) 1994-11-24 2002-02-18 株式会社デンソー アンチスキッド制御装置
JPH08167559A (ja) * 1994-12-15 1996-06-25 Nikon Corp アライメント方法及び装置
JP3622249B2 (ja) 1995-02-01 2005-02-23 株式会社ニコン 位置検出方法及び装置
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5920378A (en) 1995-03-14 1999-07-06 Nikon Corporation Projection exposure apparatus
JP3590940B2 (ja) * 1995-04-27 2004-11-17 株式会社ニコン アライメント装置及び露光装置
KR970002483A (ko) * 1995-06-01 1997-01-24 오노 시게오 노광 장치
US5910647A (en) * 1995-06-12 1999-06-08 Circuits And Systems, Inc. Electronic weighing apparatus utilizing surface acoustic waves
KR0186068B1 (ko) * 1995-12-27 1999-04-01 문정환 리소그라피 장치의 위치 정렬 시스템
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
DE69701934T2 (de) * 1996-02-15 2000-11-30 Koninkl Philips Electronics Nv Methode zur bestimmung der strahlungsmenge in einem lithographischen gerät; test-maske und gerät ihrer durchführung
JP4075966B2 (ja) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
JP4023695B2 (ja) * 1996-03-15 2007-12-19 エーエスエムエル ネザーランズ ビー. ブイ. アラインメント装置及びこの装置が設けられているリソグラフィ装置
JPH09320933A (ja) * 1996-05-28 1997-12-12 Nikon Corp 走査型露光装置
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JPH1055946A (ja) * 1996-08-08 1998-02-24 Nikon Corp 露光条件測定方法
JP2821441B2 (ja) * 1996-08-23 1998-11-05 山形日本電気株式会社 重ね合せずれ量の計測方法
US5920376A (en) * 1996-08-30 1999-07-06 Lucent Technologies, Inc. Method and system for panoramic viewing with curved surface mirrors
JP2787303B2 (ja) * 1996-11-05 1998-08-13 株式会社ニコン 位置合わせ装置、露光装置及び露光方法
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
JP2947196B2 (ja) * 1997-01-23 1999-09-13 日本電気株式会社 半導体基板および半導体装置の製造方法
KR100459813B1 (ko) 1997-01-29 2004-12-04 마이크로닉 레이저 시스템즈 에이비 집속된 레이저 광선에 의해 감광 물질로 코팅된 기판상에 구조체를 형성시키는 방법 및 장치
US5969600A (en) * 1997-02-19 1999-10-19 Ranco Inc. Of Delware Dangerous condition warning device incorporating a time-limited hush mode of operation to defeat an audible low battery warning signal
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
KR100544439B1 (ko) 1997-03-07 2006-06-07 에이에스엠엘 네델란즈 비.브이. 얼라인먼트유니트를갖는리소그래픽투영장치
JP3626504B2 (ja) 1997-03-10 2005-03-09 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 2個の物品ホルダを有する位置決め装置
JPH10270346A (ja) 1997-03-24 1998-10-09 Nikon Corp 位置検出方法及びその装置、並びに露光装置
JP3466893B2 (ja) * 1997-11-10 2003-11-17 キヤノン株式会社 位置合わせ装置及びそれを用いた投影露光装置
US5952135A (en) * 1997-11-19 1999-09-14 Vlsi Technology Method for alignment using multiple wavelengths of light
DE69840031D1 (de) * 1997-11-20 2008-10-30 Nikon Corp Methode und System zur Detektion einer Marke
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
JP3067732B2 (ja) * 1998-04-02 2000-07-24 日本電気株式会社 半導体装置のアライメント装置及びアライメント方法
US5933744A (en) * 1998-04-02 1999-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment method for used in chemical mechanical polishing process
JP3159168B2 (ja) 1998-05-15 2001-04-23 日本電気株式会社 半導体装置とその製造方法
US6087733A (en) * 1998-06-12 2000-07-11 Intel Corporation Sacrificial erosion control features for chemical-mechanical polishing process
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
TW569083B (en) * 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
JP3615430B2 (ja) 1999-08-20 2005-02-02 松下電器産業株式会社 認識マーク
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
JP2001185474A (ja) 1999-12-27 2001-07-06 Nikon Corp アライメント方法、アライメント装置、基板、マスク、及び露光装置
US6542243B2 (en) * 2000-01-27 2003-04-01 Lambda Physik Ag Resonator optics monitoring method
JP2001267211A (ja) * 2000-03-16 2001-09-28 Nikon Corp 位置検出方法及び装置、並びに前記位置検出方法を用いた露光方法及び装置
JP3844940B2 (ja) 2000-03-27 2006-11-15 株式会社東芝 マーク位置検出装置およびマーク位置検出方法
JP2002198303A (ja) * 2000-12-27 2002-07-12 Nikon Corp 露光装置、光学特性計測方法、及びデバイス製造方法
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
JP3297423B2 (ja) * 2000-08-09 2002-07-02 株式会社東芝 フォーカステストマスク、並びにそれを用いたフォーカス及び収差の測定方法
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
WO2002019415A1 (en) 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
TW556296B (en) 2000-12-27 2003-10-01 Koninkl Philips Electronics Nv Method of measuring alignment of a substrate with respect to a reference alignment mark
TW526573B (en) 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
KR100500469B1 (ko) * 2001-01-12 2005-07-12 삼성전자주식회사 정렬마크와 이를 이용하는 노광정렬시스템 및 그 정렬방법
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
JP3914451B2 (ja) * 2001-02-26 2007-05-16 エーエスエムエル ネザーランズ ビー.ブイ. 測定された位置合わせマークの修正位置を決定するためのコンピュータプログラムと、デバイス製造方法と、該製造方法により製造されるデバイス
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
EP1256849A1 (en) 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
EP1256843A1 (en) * 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
JP3970106B2 (ja) * 2001-05-23 2007-09-05 エーエスエムエル ネザーランズ ビー.ブイ. 実質的に透過性のプロセス層に整列マークを備える基板、上記マークを露出するためのマスク、およびデバイス製造方法
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP2003224057A (ja) * 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
JP2004079585A (ja) * 2002-08-09 2004-03-11 Nikon Corp 結像特性計測方法及び露光方法
KR100632889B1 (ko) 2002-09-20 2006-10-13 에이에스엠엘 네델란즈 비.브이. 2개이상의 파장을 사용하는 리소그래피시스템용정렬시스템 및 정렬방법
US6864956B1 (en) * 2003-03-19 2005-03-08 Silterra Malaysia Sdn. Bhd. Dual phase grating alignment marks
US7494635B2 (en) * 2003-08-21 2009-02-24 Saint-Gobain Ceramics & Plastics, Inc. Boron nitride agglomerated powder
US20050204144A1 (en) 2004-03-10 2005-09-15 Kabushiki Kaisha Toshiba Image processing apparatus and personal information management program

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101192596B (zh) * 2006-11-28 2012-06-13 瑞萨电子株式会社 具有对准标记的半导体器件以及显示设备
CN101908526A (zh) * 2009-06-05 2010-12-08 南亚科技股份有限公司 对准标记布局和对准标记结构
CN101908526B (zh) * 2009-06-05 2013-06-26 南亚科技股份有限公司 对准标记布局和对准标记结构
CN102253603A (zh) * 2010-05-21 2011-11-23 上海微电子装备有限公司 一种用于光刻设备的对准探测装置
CN102253603B (zh) * 2010-05-21 2013-05-22 上海微电子装备有限公司 一种用于光刻设备的对准探测装置
CN103972119B (zh) * 2013-01-25 2016-08-03 北大方正集团有限公司 一种测试装置和使用该测试装置测量对准偏差的方法
CN103972119A (zh) * 2013-01-25 2014-08-06 北大方正集团有限公司 一种测试装置和使用该测试装置测量对准偏差的方法
CN106569386A (zh) * 2015-10-08 2017-04-19 无锡华润上华科技有限公司 光罩及利用所述光罩进行多芯片同时制备的方法
CN106569386B (zh) * 2015-10-08 2019-12-10 无锡华润上华科技有限公司 光罩及利用所述光罩进行多芯片同时制备的方法
CN108072789A (zh) * 2016-11-11 2018-05-25 弗兰克公司 非接触式电参数测量系统
CN108072789B (zh) * 2016-11-11 2022-01-18 弗兰克公司 非接触式电参数测量系统
CN110001221A (zh) * 2019-04-11 2019-07-12 淮安信息职业技术学院 喷码位置偏移的检测方法及装置
TWI730798B (zh) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 對準標記結構及影像感測器的製造方法

Also Published As

Publication number Publication date
JP4422774B2 (ja) 2010-02-24
TWI227814B (en) 2005-02-11
US20070176128A1 (en) 2007-08-02
KR20040025867A (ko) 2004-03-26
SG152898A1 (en) 2009-06-29
JP2004282019A (ja) 2004-10-07
JP4166810B2 (ja) 2008-10-15
JP2007335906A (ja) 2007-12-27
JP5508448B2 (ja) 2014-05-28
KR100597041B1 (ko) 2006-07-04
US20060081792A1 (en) 2006-04-20
JP2007214560A (ja) 2007-08-23
US20060086910A1 (en) 2006-04-27
US7329888B2 (en) 2008-02-12
SG120949A1 (en) 2006-04-26
TW200415449A (en) 2004-08-16
US20060091330A1 (en) 2006-05-04
SG125923A1 (en) 2006-10-30
TWI251722B (en) 2006-03-21
US20060081790A1 (en) 2006-04-20
US7330261B2 (en) 2008-02-12
TWI277842B (en) 2007-04-01
CN1495540A (zh) 2004-05-12
US20040129900A1 (en) 2004-07-08
JP2009069163A (ja) 2009-04-02
US7332732B2 (en) 2008-02-19
JP4362347B2 (ja) 2009-11-11
US20110128520A1 (en) 2011-06-02
CN1534271A (zh) 2004-10-06
CN1506768B (zh) 2011-01-26
JP2008034878A (ja) 2008-02-14
TWI229243B (en) 2005-03-11
US6844918B2 (en) 2005-01-18
JP2012094915A (ja) 2012-05-17
US7112813B2 (en) 2006-09-26
US20040130690A1 (en) 2004-07-08
US8139217B2 (en) 2012-03-20
KR20040025868A (ko) 2004-03-26
JP4972628B2 (ja) 2012-07-11
US7439531B2 (en) 2008-10-21
KR20040025865A (ko) 2004-03-26
US7297971B2 (en) 2007-11-20
TW200416500A (en) 2004-09-01
US20050189502A1 (en) 2005-09-01
JP4222927B2 (ja) 2009-02-12
CN1495540B (zh) 2010-08-11
TW200421039A (en) 2004-10-16
KR100543536B1 (ko) 2006-01-20
KR100552455B1 (ko) 2006-02-20
US20060081791A1 (en) 2006-04-20
US20040114143A1 (en) 2004-06-17
US20080180668A1 (en) 2008-07-31
US7619738B2 (en) 2009-11-17
CN100337089C (zh) 2007-09-12
CN100476599C (zh) 2009-04-08
CN1506768A (zh) 2004-06-23
JP2004282018A (ja) 2004-10-07
KR20050102057A (ko) 2005-10-25
KR100632889B1 (ko) 2006-10-13
KR20040025866A (ko) 2004-03-26
JP2004282017A (ja) 2004-10-07
US7880880B2 (en) 2011-02-01
KR100536632B1 (ko) 2005-12-14
JP4222926B2 (ja) 2009-02-12
TW200411337A (en) 2004-07-01
JP2008211247A (ja) 2008-09-11
JP2004279405A (ja) 2004-10-07
SG125922A1 (en) 2006-10-30

Similar Documents

Publication Publication Date Title
CN1534387A (zh) 光刻标记结构、光刻投射装置和进行基片对准的方法
CN1517798A (zh) 用于光刻装置的水平传感器
JP5766725B2 (ja) パターンアライメントを行うための方法および装置
TWI411895B (zh) 做為對準標記之二元弦波次波長光柵
CN1295563C (zh) 制造器件的光刻法
CN1609713A (zh) 光刻设备和器件制造方法以及测量系统
US20040207097A1 (en) Alignment or overlay marks for semiconductor processing
US20230290732A1 (en) Wafer assembly having alignment marks, method for forming same, and wafer alignment method
JP2009076936A (ja) 不透明なゲート層の位置合わせ用マーカ、このようなマーカの製作方法、及びリソグラフィ機器でのこのようなマーカの使用
TWI692680B (zh) 標記、疊對目標及對準與疊對之方法
JP5011359B2 (ja) アラインメントマーク配置
KR101569896B1 (ko) 반사형 포토 마스크 및 그 제조 방법
CN1577095A (zh) 光刻装置、器件制造方法以及由此制造的器件
CN1279403C (zh) 光刻装置和器件制造方法
TWI820371B (zh) 用於微影裝置製造程序之檢測工具及度量衡方法
EP1400860B1 (en) Lithographic marker structure, lithographic projection apparatus comprising such a lithographic marker structure and method for substrate alignment using such a lithographic marker structure
TWI488002B (zh) 藉由蝕刻遮罩多側之半導體設備解析度增強
EP1477860A1 (en) Lithographic marker structure compliant with microelectronic device processing
JP2011507229A (ja) マーカ構造およびマーカ構造を形成する方法
JPH11354415A (ja) アライメントマークの形成方法、アライメント方法、半導体装置の製造方法及び露光装置
TW201502694A (zh) 使基板缺陷減到最少的雙遮罩光微影方法
JP7337014B2 (ja) パターン形成方法、フォトマスク作成方法及びフォトマスク
CN110658575B (zh) 一种高深宽比结构斜齿光栅板的制作方法及光栅板
EP1469355B1 (en) Device manufacturing method
JP2006086312A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090408

Termination date: 20160919

CF01 Termination of patent right due to non-payment of annual fee