CN1285761C - 将试剂延期注入等离子体的喷射器和方法 - Google Patents

将试剂延期注入等离子体的喷射器和方法 Download PDF

Info

Publication number
CN1285761C
CN1285761C CNB01820984XA CN01820984A CN1285761C CN 1285761 C CN1285761 C CN 1285761C CN B01820984X A CNB01820984X A CN B01820984XA CN 01820984 A CN01820984 A CN 01820984A CN 1285761 C CN1285761 C CN 1285761C
Authority
CN
China
Prior art keywords
injector
reactant
plasma
inwall
matrix
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB01820984XA
Other languages
English (en)
Other versions
CN1481449A (zh
Inventor
B·L·-M·杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
General Electric Co
Original Assignee
General Electric Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by General Electric Co filed Critical General Electric Co
Publication of CN1481449A publication Critical patent/CN1481449A/zh
Application granted granted Critical
Publication of CN1285761C publication Critical patent/CN1285761C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

提供了用于将流体注入等离子体流的方法和装置,其在长时间使用过程中能均匀分配并且减少堵塞的可能性。一种喷嘴(2),包含用于限制流体流动的第一通道部分(6),其外形使得第一通道部分(2)的内壁平行于第一轴(9)。该喷嘴(2)也包含与第一通道部分(69)流体连通的第二通道部分(8)。第二通道部分(8)包含凹壁部分,使第二通道部分(8)的内壁以预定角度偏离第一轴(9)。第二通道部分(8)减少了使用期间堵塞层在第二通道部分(8)的内壁上的形成。而且,喷嘴(2)还可以包含伸入等离子体的尖端部分(28)。喷嘴(2)可以并入注射器体系或者在注射器体系中可互换,所述注射器体系被设计用于在等离子体沉积装置中操作。

Description

将试剂延期注入等离子体的喷射器和方法
发明背景
本发明涉及一种用于流体注入的装置和方法,其用于将试剂向等离子体内的延期传递和均匀分配。特别是,本发明涉及一种喷射器,用于在各种基材例如玻璃、石英、金属或金属化材料以及塑料上沉积防护涂层。
将聚碳酸酯(PC)片材或薄膜用于户外应用场合如建筑的上釉和汽车的上釉都要求保护PC使之免于磨损。因此,通常在PC上形成耐磨涂层。
已经发展了各种用于耐磨涂层的常规沉积技术。例如,化学汽相沉积(CVD)、物理汽相沉积(PVD)和等离子增强的化学汽相沉积(PECVD)被广泛应用。PVD通过提供热能来激活用于沉积的源材料以此生成固体膜。CVD通过气体试剂的热激活和表面反应在基材表面上生成固体膜,所述气体试剂含所希望的膜组分。通过加热基材提供激活反应物所需的能量。为使反应速率适当,将基材加热到相对较高的温度,为约500-2000。PECVD通过在气体中放电向反应物提供能量,使所述气体在沉积室内形成等离子体。借助于等离子体,不再需要加热基材,并且可以通过使用PECVD将低熔点材料如塑料以直接的方式进行涂敷。
在这些沉积系统中的一种重要元件是用于将试剂、金属蒸气、及其它源材料注入等离子体流的设备。例如,美国专利申请09/033,862(1998年3月3日提交,未决)和美国专利6,110,544(2000年8月29日授权)描述了一种用于使用电弧等离子体高速、大面积沉积的喷嘴喷射器。
通常,使用均匀分布在喷射器体或板上的一连串孔(也被称为喷射器)来有控制均匀地将气体或蒸气传递到一块区域上。这种设备有时被称为莲蓬式喷射器或分配器。为确保注射的试剂在所有喷射器中均匀分配,需要在每个喷射器处有均匀的高流阻。
例如,图2中显示了常规的窄、直壁喷射器,如喷射器20。经常,试剂与靠近出口孔如孔22的等离子体18相互作用,这样试剂作为固体层19不仅沉积在孔22处而且沿着内壁24沉积。当在多通道试剂注入装置中使用时,在各孔中可能发生不均衡的堵塞,因此阻碍了试剂传递(以及随后的沉积)的均匀性。并且,喷射器的性能作为时间的函数可能随时间的变化而变化,需要经常清洗堵塞的喷射器。
因此,虽然莲蓬式喷射器对均匀分配试剂有效,但是它在长时间暴露于可凝结的试剂过程中具有孔被堵塞的常见缺陷,特别是存在等离子体时。发生这一现象是因为试剂的浓度在喷射器出口孔处很高,而且因为喷射器经常与等离子体接触。试剂的高浓度加上等离子体的活化促使固体膜在喷射器出口孔处和喷射器的内壁上沉积。因此,随着时间的推移喷射器被堵塞了。如果在各喷射器之中发生不均衡的堵塞,则就阻碍了材料传递的均匀性。堵塞的喷射器需要清洗或替换,因此使工艺中断,增加了停工时间和生产费用。
发明概述
由上述内容看来,需要提供一种用于将流体注入等离子体流的装置,它能在长时间的使用中均匀分配并且降低了堵塞的可能性。
本发明提供了一种用于将流体注入等离子体的喷射器系统,其包含:
包含喷射器(2)并且具有第一基体表面(14)和第二基体表面(12)的基体(4),其包含:
由第一基体表面延伸至基体(4)内并限定第一通道部分(6)的第一内壁(3),用于限制流体反应物的流动,并且其外形使得第一通道部分(6)的第一内壁(3)平行于第一轴(9),其中所述第一通道部分(6)具有第一直径;和
限定与第一通道部分(6)流体连通的第二通道部分(8)的第二内壁,并且其具有凹壁部分,这样第二通道部分的第二内壁以预定角度偏离第一轴(9),其中第二通道部分(8)具有大于第一直径的第二直径,并且第二通道部分(8)使流体反应物进入通过第二基体表面(12)的等离子体并减少了使用期间堵塞层(19)在第二通道部分(8)的第二内壁上的形成。
在一个具体实施方案中,其中所述预定角度为20度至70度。
在一个具体实施方案中,其中喷射器(2)在喷射器基体(4)上整体地形成,该喷射器包含:
在喷射器基体(4)的第一表面(14)上形成的入口孔(5);和
在喷射器基体(4)的第二表面(12)上形成的出口孔(7)。
在一个具体实施方案中,其中喷射器(30)能从喷射器基体(34)移走,优选其中喷射器(30)还包含具有螺纹外壁的主体(36),喷射器基体包含螺纹插孔(38)用于安装螺纹喷射器主体。
在一个具体实施方案中,所述喷射器还包含:
伸出基体表面(29)进入等离子体(18)中的尖端部分(28),其中尖端部分(28)的直径大于第一通道部分(6)的直径,优选其中尖端部分(28)伸出基体表面(29)的距离为0.001-0.3英寸。
本发明还提供了一种用于涂敷基材的装置,其包含:
具有阳极(119)和阴极(113)的等离子体发生器(140),它能够形成移向基材(180)的电弧等离子体(152);
包含基材固定架(182)的沉积室(156);和
位于阳极(119)和基材固定架(182)之间的第一喷射器系统(60),用于将第一反应物引入等离子体(152),第一喷射器系统(60)包含喷射器(130),喷射器(130)包含:
限定第一反应物流动的第一通道部分(6),其外形使得第一通道部分(6)的内壁(3)平行于第一轴(9),其中第一通道部分(6)具有第一直径,和
与第一通道部分流体连通的第二通道部分(8),其具有凹壁部分,使第二通道部分(8)的内壁(3)以预定角度偏离第一轴(9),其中第二通道部分(8)具有大于第一直径的第二直径,并且其中第二通道部分(8)使流体反应物进入等离子体并减少了使用期间堵塞层(19)在第二通道部分(8)的内壁上的形成。
本发明还提供了一种用于涂敷基材的方法,其包括以下步骤:
(a)将第一反应物供给第一喷射器系统(60),该系统具有多个沿流体供给通道(64)的圆周排列的喷射器(70-76),其中每个喷射器包含第一通道部分(6)并且具有一个直径足以限制第一反应物流动的孔(5),和第二通道部分(8),它是凹壁部分,这样使第二通道部分(8)的内壁(3)以预定角度偏离第一轴(9),以减少第一反应物在内壁(3)和伸入等离子体(18)的尖端部分(28)上的堵塞;
(b)产生电弧等离子体;
(c)将第一反应物引入该等离子体;和
(d)将第一反应物沉积在基材(180)的表面上。
本发明还提供了一种用于涂敷基材的设备,其包含:
用于产生等离子体的装置;
用于将第一反应物供给喷射器装置的装置,所述喷射器装置用于将第一反应物引入等离子体物流,该喷射器装置包含用于将第一反应物引入等离子体物流的装置和用于减少第一反应物在该喷射器装置内壁上堵塞的装置,其中用于将第一反应物引入等离子体的装置包括由第一基体表面延伸至基体内并限定第一通道部分的第一内壁,用于限制第一反应物的流动,所述第一通道部分具有第一直径并且其外形使得第一通道部分的第一内壁平行于第一轴;以及限定与第一通道部分流体连通的第二通道部分的第二内壁,所述第二通道部分具有大于第一直径的第二直径,并且具有凹壁部分,这样第二通道部分的第二内壁以预定角度偏离第一轴,和
用于将含第一反应物的涂层沉积在基材表面上的装置。
本发明提供了一种喷射器系统,其包含含喷射器的基体。该喷射器包含界定了第一通道部分的内壁,用于限制流体的流动,还具有使第一通道部分的内壁平行于第一轴的外形。该喷射器也包含界定了与第一通道部分流体连通的第二通道部分的内壁。第二通道部分包含一个凹壁部分,使第二通道部分的内壁以预定角度偏离第一轴。第二通道部分减少了使用期间堵塞层在第二通道部分内壁上的累积。另外,喷射器可以进一步包含一个伸入等离子体的尖端部分。
由上述内容看来,也需要提供一种用于涂敷基材的装置。
根据本发明的另一个实施方案,用于涂敷基材的装置包含等离子体发生器,其具有能够形成向基材移动的电弧等离子体的阳极和阴极;一个小室,装有基材;以及第一喷射器系统,位于所述阳极和基材之间,用于将第一反应物引入等离子体。第一喷射器系统含有一个喷射器,该喷射器包含第一通道部分,用于限制流体的流动,还具有使第一通道部分的内壁平行于第一轴的外形。该喷射器也包含与第一通道部分流体连通的第二通道部分。第二通道部分包含一个凹壁部分,使第二通道部分的内壁以预定角度偏离第一轴。第二通道部分减少了使用期间堵塞层在内壁上的累积。另外,喷射器可以进一步包含一个伸入等离子体的尖端部分。
由上述内容看来,需要提供一种用于将流体注入等离子体流的方法,它能在长时间的使用中均匀分配流体并且降低了堵塞的可能性。
根据本发明的另一个实施方案,以均匀方式涂敷基材的方法包括以下步骤:向喷射器系统提供第一反应物,所述喷射器系统具有许多沿提供流体的通道的圆周排列的喷射器,其中每个喷射器包含第一通道部分和直径足以限制第一反应物流动的孔,并且包含第二通道部分,它是一个凹壁部分,这样第二通道部分的内壁以预定角度偏离第一轴,以减少含有第一反应物的堵塞层在内壁上累积。产生电弧等离子体。将第一反应物引入等离子体,并且将第一反应物沉积在基材的表面上。
由上述内容看来,希望提供一种具有使用本发明装置沉积的涂层的制品。
根据本发明的另一个实施方案,用本发明装置涂敷的涂敷制品含有一种基材,该基材具有一个表面和至少一层用等离子体辅助化学气相沉积法在该表面上沉积的涂层。
以下参考附图详细描述了本发明的其它特征和优点,以及本发明各种实施方案的结构与操作。
附图简述
在此引入并形成说明书的一部分的附图举例说明了本发明,并与说明书一起用来进一步解释本发明的原理,使相关领域的技术人员能够制造并使用本发明。
图1显示了根据本发明的一个实施方案具有凹壁部分的喷射器的截面图。
图2显示了具有直孔通道的喷射器的截面图。
图3显示了根据本发明的另一个实施方案具有凹壁部分和伸出的尖端的喷射器的截面图。
图4显示了根据本发明的另一个实施方案具有凹壁部分和伸出的尖端的可拆换喷射器的截面图。
图5A-5E显示了根据本发明的可选实施方案的各种喷射器实施方案的截面图。
图6A显示了根据本发明另一个实施方案的喷射器系统,其具有排列在一个环形组件中的多个喷射器。
图6B和6C显示了根据本发明另一个实施方案的可选喷射器系统,其具有排列在平面上呈半圆形的多个喷射器。
图7显示了根据本发明另一个实施方案的可选喷射器系统,其具有排列在一个环形组件中的多个不均分布的喷射器。
图8显示了根据本发明另一个实施方案应用喷射器系统的等离子体电弧设备。
图9显示了根据本发明另一个实施方案的等离子体电弧设备,其具有等离子体发生室和沉积室。
优选实施方案的详细说明
本发明人发现,用于将流体如试剂气体注入电弧等离子体的常规直孔通道易于产生严重的堵塞问题。根据本发明,应用具有凹壁部分和任选的突出进入等离子体流的尖端部分的喷射器可以克服或至少减少这些堵塞问题。
图1显示了根据本发明的第一个实施方案的喷射器2的截面侧视图。如图1所示,喷射器2整体地排列在喷射器板或基体4中。形成板或基体4以及喷射器2的内壁3的材料可以是任何适用于等离子体室沉积应用的材料。例如,基体4可以包含不锈钢主体,或任何其它金属如镍,或其它金属合金如MONELTM(Inco Alloys International注册)或HASTELLOYTM(Haynes International注册),其可以承受高的操作温度而不会熔融。另一选择,基体4可以包含陶瓷等,其可以承受非常高的操作温度。
在本发明第一个实施方案的这一方面,喷射器2整体地在基体部分4中形成。另外,基体4可以是大略平的基体。另一选择,可以将基体4设置成具有许多喷射器的环形(如下所述)。可以根据本发明设计基体4的其它特定外形,这对本领域技术人员而言是显而易见的。
如图1的说明,喷射器2具有在基体表面14上形成的入口孔5和在基体表面12上形成的出口孔7。优选喷射器2的第一部分6是圆柱形的,以使第一部分6的壁平行于第一轴9。另一选择,喷射器2的第一部分6的横截面可以具有任何的多边形外形。喷射器2的第二部分8是凹壁部分,其中的壁以预定角度偏离第一轴9。这一预定角度可以是10度(相对于轴9)至几乎90度。根据一个优选实施方案,该预定角度可以是约20度至约70度。在这一实施方案中,第二部分8优选是圆锥形。
喷射器2的第三部分10形成出口孔7。部分10可以是圆柱形的,如图1所示,但是直径比部分6的更大。另一选择,部分10的横截面可以具有任何的多边形外形。另外,部分10可选择地偏离轴9。内壁3偏离轴9的角度可以为零度至接近90度。另外,部分10偏离的角度可以与部分8偏离的角度相同或不同。可以用常规的加工技术在基体4上制造部分6、8和10,对本说明书给出的领域中的普通技术人员而言,这是显而易见的。
在操作中,流体如试剂、气体或蒸气从区域16流出穿过孔5进入喷射器2,然后穿过出口孔7进入等离子体云或等离子体流18。等离子体流18的流向可以是沿喷射器板4的纵向(在两个方向之一)或横向(即进入或离开引流)。因此,喷射器2可以在高压下从试剂分离等离子体。
小直径的孔如图2显示的通道20可以提供高的流动阻力。这种流动阻力对于将试剂均匀分布进入等离子体流是有用的。然而,不同于常规的(直孔)通道20(图2显示),图1显示的喷射器2的凹壁部分8减少了部分6在等离子体中的直接暴露。
如上所述,常规的喷射器如图2中的喷射器20易于随着时间的推移而堵塞,其中薄膜19在出口孔22和内壁24处形成。因此,当在多喷射器设备中使用时,在各孔中可能发生不均衡地堵塞,因此阻碍了试剂传递(以及随后的沉积)的均匀性。
根据本发明的另一个实施方案,如图3的说明,喷射器26可以包含凹壁部分8和伸出超过基体表面29进入等离子体流18的尖端部分28。尖端部分28可以伸出超过表面29千分之几英寸至数十英寸,并且进入等离子体流。根据本发明,喷射器26改善了试剂分散进入等离子体流18。可以将喷射器部分6、8和10设计得类似于上述讨论到的图1中的样子,其中部分6的直径比凹壁部分8和部分10的直径小。另外,如以下实验部分解释的,在重复使用之后,喷射器26的设计比图2显示的常规喷射器22的设计更大程度地减少了堵塞。
根据本发明这一实施方案的又一个方面,可以将喷射器30设计成以下形式:有具有不同通道直径的可移动插件,有偏离第一轴不同角度的不同凹壁部分,并且尖端伸进等离子体流的距离不同。例如,如图4所示,喷射器30具有凹壁部分8和伸出部分28,其类似于上面描述的那些,可插入喷射器基体34并且可从喷射器基体34移出。基体34包含螺纹部分36,其与喷射器30的螺纹部分38匹配。另一选择,喷射器30可以具有平滑的外表面,可将基体34设计得能为喷射器30提供紧贴的形状配合或摩擦配合的接受器,这对本说明书给出的领域中的普通技术人员而言是显而易见的。另外,喷射器30可以任选进一步包含扩径部分32,其伸到基体表面31以下。因此,对于不同应用可以在板34中使用不同类型的喷射器。对于这种设计,可以容易地定做喷射器以用于不同的工艺。
图5A-5E显示了若干其它的喷射器设计实施方案。在图5A中,喷射器40在基体41上整体地形成。喷射器40包含的第一部分6可以是圆柱形的,以使第一部分6的壁平行于轴43。喷射器40的第二部分42是凹壁部分,其中的壁以预定角度偏离圆柱的轴。注意,第三个一般为圆柱形的部分从这一设计中省略。另一选择,可以将喷射器40改变成基体41中的可互换插件,类似于上面图4中描述的可互换喷射器。
在图5B中,喷射器44在基体45上整体地形成。喷射器44的第一部分46是凹壁部分,其中的壁以预定角度偏离轴43。注意,喷射器44不包含一般为圆柱形的部分。另一选择,可以将喷射器44改成基体45中的可互换插件,类似于上面图4中描述的可互换喷射器。
在图5C中,喷射器48在基体49上整体地形成。喷射器48的第一部分50是凹壁部分,其中的壁以预定角度偏离轴43。限定喷射器48的第二部分具有圆柱形的尖端部分51,其伸出超过基体49的顶面而伸入等离子体或等离子体流。类似于上面图3描述的喷射器26,尖端部分51可以伸出超过板49的顶面千分之几英寸至数十英寸而进入等离子体流。另一选择,可以将喷射器48改成基体49中的可互换插件,类似于上面图4中描述的可互换喷射器。
在图5D中,喷射器37在基体39上整体地形成。喷射器37包含的第一部分6是圆柱形的,以使第一部分6的壁平行于轴43。喷射器37的第二部分38是圆柱形的,其直径比部分6的直径更大。在这一实施方案中,部分6和部分38的内壁彼此平行。
在图5E显示的本发明这一实施方案的这个方面,喷射器52相对于基体53的顶面59呈锐角布置。如图5E所示,喷射器52在基体53上整体地形成。喷射器52包含为圆柱形的第一部分55,这样第一部分55的壁平行于轴54,轴54相对于顶面59倾斜呈锐角。该倾斜角度可以是约10度至约70度,优选为约30度至约60度。喷射器52的第二部分56是凹壁部分,其中的壁以预定角度偏离轴54。喷射器52的第三部分57可以是圆柱形的或者可以偏离轴54。尖端部分58伸出超过基体53的顶面59,进入等离子体或等离子体流。类似于上面图3描述的喷射器26,尖端部分58可以伸出超过板53的顶面千分之几英寸至十分之几英寸而进入等离子体流。另一选择,可以将喷射器52改变成基体53上的可移出且可互换插件,这对本说明书给出的领域中的普通技术人员而言是显而易见的。
图6A显示了本发明的另一个实施方案,喷射器系统具有多个排列在环形组件上的喷射器。流体供给管线62与流体供给通道64相连,通道64在喷射器系统60的主体内部形成。流体供给通道64含许多喷射器70-76,其优选均匀分布在通道64的圆周上。每一个喷射器70-76都可根据以上相对于图1、3、4和5A-5E的详细描写的不同喷射器实施方案来设计。例如,一个或多个喷射器70-76可以包含凹壁部分和任选的伸出的尖端,以进一步减小堵塞的可能性。流体从管线62流入通道64。然后,流体从通道64同时流过喷射器70-76,从若干方向进入沉积室空间79。在本发明的这一实施方案中,喷射器系统60包含一个或多个喷射器,其与反应物供给管线或将流体传递进入等离子体的管线相连。另一选择,如下所述,该喷射器系统不必是环形的,可以设计成具有多个喷射器的平面柱体(图6B),或者为半圆形(图6C)。多个喷射器可以分布在不同几何形状(如圆盘形、正方形、长方形、三角形或梯形)的喷射器板区域上,以最好地配合用于不同外形基材的沉积室的设计。
在一个优选实施方案中,从等离子体发生器80如直流电弧的出口供给等离子体。等离子体可以流入或流出纸面,如图6A所示。
穿过供给线62将流体供给进入流体供给通道64。而且,可以将一个或多个额外的供给线连接到喷射器系统60上,以向等离子体流提供额外的流体,如反应物和/或掺杂物。根据本发明的这一实施方案,选择的流体优选是反应物,其通过等离子体传递,反应并在基材上形成涂层。特定流体的使用取决于应用的场合,但使用的流体可以包括而不限于无机气体、无机化合物气体、有机化合物气体以及有机金属化合物气体。无机气体可以包括单质气体,如氧气、氮气和氢气;蒸发的金属,如锌、铝、铟,以及其化合物。无机化合物气体可以包括氨气、硅烷以及其化合物。有机化合物气体可以包括烃类(例如甲烷、丁二烯、乙苯)、有机硅烷(例如六甲基二硅烷、四甲基二硅烷、乙烯基三乙基硅烷)、有机硅氧烷(例如六甲基二硅氧烷、四甲基二硅氧烷、八甲基环四硅氧烷)、有机硅氮烷(例如六甲基二硅氮烷),以及其化合物。有机金属化合物气体可以包括二乙基锌、二甲基锌以及其化合物。
在这一实施方案的一个优选方面,使用反应物以在聚碳酸酯基材上形成耐刮擦的涂层,如氧化铝(Al2O3)、二氧化硅(SiO2)、似金刚石的碳或等离子体聚合的硅氧烷涂层,如未决的美国申请系列号09/271,658(Charles Iacovangelo等人,1999年3月17日提交)所述。
图6B和6C显示了根据本发明另一个实施方案的备选喷射器系统,其具有分别排列在平面和半圆形上的多个喷射器。在图6B中,流体供给线62与流体供给通道65相连,通道65在喷射器系统61的主体内部形成。流体供给通道65一般为圆柱形,包含多个喷射器70-74。可以根据上面详细描写的不同喷射器实施方案设计每个开口70-74。例如,一个或多个喷射器70-74可以包含凹壁部分和任选的突出的尖端,以进一步减小堵塞的可能性。
另一选择,在图6C中,流体供给线62与流体供给通道67相连,通道67在喷射器系统66的主体内部形成。在本发明的这个方面中,流体供给通道67为半圆形,包含多个喷射器70-73,其根据上面详细描写的不同喷射器实施方案而设计。至于喷射器系统的外形,可以取决于具体应用所需的流体注入类型来设计其它各种变化和改进,这对本说明书给出领域的普通技术人员而言是显而易见的。
图7显示了图6A显示的喷射器系统实施方案的另一个方面。这里,喷射器系统90包含流体供给通道64,其与流体供给线62和多个喷射器82-89相连。在本发明的这个方面中,通过在供给线62的侧对面上(图7中轴81的右侧)设置更多喷射器来进一步增强流体向沉积室空间80中的均匀传递。在图7所示的实施例中,四个喷射器位于轴81的右侧,而两个喷射器位于轴81的左侧。另外,可以将各个喷射器82-89的尺寸设计得使在最接近流体供给线62的一侧(图7中轴81的左侧)上比在离供给线62最远的一侧上对流体有更大的限制。在这个实施例中,图7显示的轴81右侧喷射器的进口直径比轴81左侧喷射器的进口直径大。另外,可以根据上面详细描写的不同喷射器实施方案设计喷射器。
图8显示了本发明另一个实施方案的电弧等离子体发生器140。等离子体发生器140包含至少一个阴极113、等离子体气体供给线117和一个阳极喷嘴119。发生器140优选含有多于一个的阴极113。有利地,有三个阴极113。阴极113可以包含例如钨或掺杂钍的钨的尖端。使用钍可使尖端的温度保持在钨的熔点以下,从而避免钨原子污染等离子体。阴极113可以由阴极外壳150支撑,以使每个阴极113与阴极支承板128的壁隔离。
阴极113优选被至少一个栅板126与阳极喷嘴119隔离。栅板优选包含铜盘,铜盘包含一个中央孔。中央孔的直径优选以恒定比率从阴极113向阳极喷嘴119孔扩展。然而,可以使用其它的结构。
发生器140也包含至少一条等离子体气体供给线117。任选地,发生器140也可以包含清洗气供给线,其靠近等离子体气体供给线117,用以在供给等离子体气体前向室140和沉积室(未显示)供给清洗气。
阴极支承板128可以通过绝缘螺栓127或通过其它紧固件适当地附着于栅板126和阳极喷嘴119。优选栅板126通过隔离物115与阴极支承板128和阳极喷嘴119电绝缘。隔离物115可以包含例如O形环真空封条、聚氯乙烯环和/或氮化硼环。
等离子体在高功率密度和高温下放电易于将栅板126和阳极喷嘴119加热。优选,栅板126和阳极喷嘴119包含冷却水通道129。优选,通道129在板126和喷嘴119容积内部为圆形。通过供水管线169供给的冷水流过通道129以冷却板126和喷嘴119。
电弧等离子体发生器140也可以包含注射喷嘴118,其进一步含有一个或多个进口,这些构成这里所述实施方案的喷射器系统。优选,喷嘴118为圆锥形,其扩径的角度为约10度至约60度,优选约40度至约50度,长度为约10厘米至约20厘米,优选约16厘米。然而,喷嘴118可以具有可变截面,如圆锥形-圆柱形-圆锥形或圆锥形-圆柱形。注射喷嘴118优选连在阳极喷嘴119上。注射喷嘴118包含任选的整体式或可移动的扩径附加部分139,用于引导等离子体和反应活性组分流。可以相应于所希望的化学计量和待通过等离子体涂敷到基材表面上的涂层结构来选择喷射器系统的位置。
涂布装置也包含至少一个反应物供给线。例如,图8中的三条反应物供给线112、114、116优选与注射喷嘴118相连并将反应物通过喷嘴118供给进入等离子体流。然而,可以有一条、两条、三条或多于三条反应物供给线。而且,反应物供给线可以位于阳极孔119上方或靠近等离子体发生器的沉积室内部。
注射喷嘴118优选包含环形的喷射器系统,其包含至少一条连接到喷射器上的环形反应物供给通道,如图6A所示的环喷射器60。例如,反应物供给线114连接于在注射喷嘴118主体内部形成的反应物供给通道135上。反应物供给通道135一般包含多个喷射器134,这些喷射器优选均匀分布在通道135的圆周上。
另外,优选根据上面描述的喷射器外形来设计喷射器134。例如,如图8所示,来自供给线114的反应物将流入供给通道135并流到喷射器134。喷射器134可以包含第一通道部分6、第二通道部分8、第三通道部分10和尖端部分28,第二通道部分8是凹壁部分,其偏离直径大于通道部分6,该喷射器类似于以上图3显示的喷射器26。因此,反应物将从通道135流出,同时通过喷射器134进入等离子体152(图9显示),其从阳极喷射器119流出并从若干方向进入沉积室。同样,供给线116与通道133和喷射器132相连,而供给线112与通道131和喷射器130相连。根据本发明的若干实施方案,减少了喷射器130、132和134被堵塞的情况。
现在将参考图9描述生成等离子体并在基材180上形成层的方法。为在发生器140中形成等离子体,通过等离子体气体供给线117供给等离子体气体。等离子体气体可以适当地包含惰性气体如氩气或氦气,或各组分如氮气、二氧化碳或氢气的气体混合物。如果有多于一种的等离子体气体,则根据需要可通过多条供给线供给多种气体。优选,等离子体气体含有氩气或含氩气的混合物。将等离子体发生器140中等离子体气体的压力保持比沉积室156中的环境压力更高,其通过一个泵(未显示)不断地排气。然后在阴极113和阳极喷嘴119之间施加直流电压,以在发生器140中生成等离子体152。然后将等离子体作为等离子体流152通过阳极喷嘴119孔在压差的作用下扩展进入沉积室。
将反应物通过供给线112、114和/或116供给进入等离子体流。可以通过供给线供给气体或液体形式的反应物,它在等离子体流中离解。然后可将涂层沉积在基材180上,基材180由基材固定架182支承。另一选择,可通过从熔锅中蒸发或通过被电子束蒸发来供给蒸气形式的反应物如金属和半导体反应物。而且,一部分等离子体被引导指向含有金属或半导体的溅射靶,以将反应物溅射进入等离子体流。将反应物引入等离子体的细节详细描述在共同未决申请09/271,655中,代理卷号为RD-26,345,C.Iacovangelo和K.Borst,1999年3月17日提交,将其在此全文引入作为参考。
通过电弧等离子体法可以在多种不同的基材上形成多种不同的层。例如,耐磨涂层、应力消除和/或IR过滤或UV过滤涂层可以在基材如塑料、玻璃、石英、陶瓷、金属和/或半导体上形成。塑料基材的非限制性例子包括聚碳酸酯(PC)(通用电气公司出售,商标为LEXANTM)、聚醚碳酸酯(PPC)、聚醚砜(PES)(以商标“Radel”出售)、聚醚酰亚胺(PEI)(通用电气公司出售,商标为ULTEMTM)、涂有硅氧烷硬涂层的聚碳酸酯基材(通用电气公司出售,商标为MR7TM和MR10TM)。可以使用塑料基材例如作为车窗。
例如,为了在基材上形成耐磨层,可以使用供给线112将氧供给进入等离子体弧,同时使用供给线114来供给单体的前体气体反应物。可以使用硅氧烷反应物如四甲基二硅氧烷(TMDSO)、六甲基二硅氧烷(HMDSO)或八甲基环四硅氧烷(D4)在基材上沉积富氧化物的聚硅氧烷层。
另一选择,可以使用有机金属化合物如二乙基锌(DEZ)、二甲基锌(DMZ)、三乙基铟(TEI)、三甲基铝(TMA)和三乙基铝(TEA)等作为被引入等离子体流的反应物源。可以借助于例如供给线116将这些反应物引入等离子体流。
当然,可以使用供给线114来供给其它的反应物,以在基材上形成不同于聚硅氧烷的塑料或树脂层。另一选择,可以使用供给线114和116来供给气体,如氧气、氮气和氢气;无机化合物,如氨气和硅烷;有机化合物,如烃类、有机硅烷、有机硅氧烷、有机硅氮烷;有机金属化合物以及蒸发的金属,以形成金属、半导体、金属氧化物、金属氮化物或聚合物层,如Zn、Al、In、Si、Sn、ZnO、Al2O3、In2O3、SiO2、TiO2、Ta2O5、Nb2O3、CeO2、SnO2、Si3N4、硅氧氮化物、铟锌氧化物、锌铝氧化物、铟锡氧化物、金刚石状碳或等离子体聚合的烃类、硅烷或硅氧烷。
本发明这一实施方案的电弧等离子体装置对沉积汽车用釉层特别有用。对于在PC上沉积各种涂层以在如建筑的窗子、头顶照明灯、飞机顶盖等应用场合中扩展耐候性能也是有用的。此外,对于涂层太阳能电池也是有用的。而且,涂过的基材可应用于各种装置显示窗中,如电视屏幕、液晶屏幕、平面显示器、等离子体显示屏、计算机终端屏幕以及眩目防护屏。
实验
进行了两组实验,以分析本发明优选实施方案的喷射器减少堵塞的情况。在第一组实验中,在长时间注射运转的过程中,对外形类似于图4所示可互换喷射器30的喷射器与外形类似于图2所示喷射器20的喷射器进行比较。在第二组实验中,在电弧等离子体装置中、在多次注射运转中使用外形类似于图4所示可互换喷射器30的喷射器,并且检测沉积涂层的沉积特征。
在这两组实验中,使用类似于图8所示的电弧等离子体发生器来进行若干实验性的涂敷运转。该电弧等离子体发生器包含一个铜阳极,其通过至少一个或一系列电绝缘的铜栅板与三个镀钍钨的针型阴极分隔。选择氩气(Ar)作为等离子体气体。随着Ar流过电弧发生器的穿孔,在电极上施加直流(DC)电压以生成等离子体。
类似于图8所示的实施方案,等离子体扩展通过注射喷射器进入减压的沉积室,从而形成等离子体射流。
涂布的基材是PC MR7片材,大小为4″×4″。在沉积前,在异丙醇中清洗基材并且在流动的氮气中于80℃下干燥至少12小时,以使基材脱气。在沉积室中用金属支承架将多个基材以垂直于等离子体射流轴的方向支承。支承架位于距离阳极约36厘米处。
借助于反应物供给线(例如管线112)和反应物喷射器(例如130)(如以上图8所示的那些)将氧气引入等离子体。这一装置生成了氧/氩等离子体。
在第一组实验中,第一次运转由圆锥形喷嘴和直径为0.047″的直孔喷射器(参见例如图2)进行。这些喷射器排列在环形喷射器系统中,其类似于图6A所示的喷射器系统60。这些喷射器将四甲基二硅氧烷(TMDSO)送入氧-氩等离子体流,以在PC基材上沉积耐磨涂层。结果显示,在以0.3slm(标准升/分钟)TMDSO蒸气的给料速度下累积工作时间为约3小时后喷射器孔被堵塞。
第二试验由几乎相同的运转组成,使用了可比较的喷嘴,除了该喷射器包含具有扩径的内壁和伸出的尖端的凹壁部分(参见例如图4,喷射器30)。在这一运转中,第一部分6的孔直径为0.047″且长度为0.095″,第二部分8偏离圆柱形的轴的角度为约60度且长度为0.015″,第三部分10的直径为0.102″且长度为0.075″,0.05″的喷射器尖端伸入等离子体流,其中外面部分的直径为0.18″。在与上述相似的条件下连续运转8小时后,第二运转的喷射器没有显示可觉察的堵塞。然后继续沉积运转,累计总共13小时的运行时间而没有可觉察的堵塞。因此,具有本发明优选方面的喷射器系统的喷嘴喷射器没有堵塞地连续运行时间比直孔喷射器长了四倍。
在第二组实验中,TMDSO基涂层在类似于上述那些条件下被沉积在三个4″×4″的PC MR7片材上。在这组实验中,使用了具有多个喷射器的喷嘴,所述喷射器具有凹壁部分和伸出的尖端,如上所述。在喷嘴-喷射器连续运转8小时前(第一次运转)和后(第二次运转)生成两组样品。针对厚度、透明度、Taber耐磨性和带粘合性来确定沉积涂层的质量。通过使用轮廓测定仪(Dektak IIA)在基材上五个不同的预掩蔽的掩蔽位置测定厚度。以“浊度”来确定透明度,其是众所周知的窗子光学透明度的度量。使用Gardner型XL-835色度计来测量浊度。通过将样品在Gardner Taber耐磨性测定仪(5130型)上用CS-10F轮(每个轮重500g)磨1,000转前后的浊度读数来测定Taber耐磨性。在每个样品沿Taber轨道等距的四个不同位置进行测定。Taber仪作用后,浊度的增加记作Taber耐磨性。浊度增加越小,Taber耐磨性越好。如下测定带粘合性:将沉积后的涂层用双向影线切割机进行切割,将样品浸在65℃水中高达14天,并且每隔3-4天通过将胶带用于涂层上再撕下来试验该涂层。结果以0B-5B进行排序,其中0B为>65%被剥离,5B为没有可检测到被剥离。
表1给出的结果表明,根据本发明优选方面使用喷射器系统获得实际上相同的涂层特性,这些特性是指厚度、透明度、Taber耐磨性和连续8小时使用该喷射器前后的带粘合性。
表1
在喷嘴-喷射器操作8小时前后生成涂层的性能
喷嘴-喷射器条件     涂层厚度(微米)   初始浊度(%)    Taber浊度增量(%)     带试验/水浸泡
    vg     tDev   rg     tDev    vg     tDev     天     14天
清洗8小时后     .7.4     .33.31   .76.74     .14.13    .1.4     .8.9     BB     5B5B
另外,用相同的喷嘴-喷射器也试验了对不同试剂的传递,将八甲基环四硅氧烷(D4)以0.2slm D4蒸气的速度送入氧-氩等离子体。连续运转8小时后没有发现堵塞的情况。
虽然对本发明进行了详细描述并参考了其具体实施方案,但对本领域技术人员显而易见的是,在不背离本发明范围的情况下可以进行各种变化和改进。

Claims (10)

1.一种用于将流体注入等离子体的喷射器系统,其包含:
包含喷射器(2)并且具有第一基体表面(14)和第二基体表面(12)的基体(4),其包含:
由第一基体表面延伸至基体(4)内并限定第一通道部分(6)的第一内壁(3),用于限制流体反应物的流动,并且其外形使得第一通道部分(6)的第一内壁(3)平行于第一轴(9),其中所述第一通道部分(6)具有第一直径;和
限定与第一通道部分(6)流体连通的第二通道部分(8)的第二内壁,并且其具有凹壁部分,这样第二通道部分的第二内壁以预定角度偏离第一轴(9),其中第二通道部分(8)具有大于第一直径的第二直径,并且第二通道部分(8)使流体反应物进入通过第二基体表面(12)的等离子体并减少了使用期间堵塞层(19)在第二通道部分(8)的第二内壁上的形成。
2.权利要求1的喷射器系统,其中所述预定角度为20度至70度。
3.权利要求1的喷射器系统,其中喷射器(2)在喷射器基体(4)上整体地形成,该喷射器包含:
在喷射器基体(4)的第一表面(14)上形成的入口孔(5);和
在喷射器基体(4)的第二表面(12)上形成的出口孔(7)。
4.权利要求1的喷射器系统,其中喷射器(30)能从喷射器基体(34)移走。
5.权利要求4的喷射器系统,其中喷射器(30)还包含具有螺纹外壁的主体(36),喷射器基体包含螺纹插孔(38)用于安装螺纹喷射器主体。
6.权利要求1的喷射器系统,所述喷射器还包含:
伸出基体表面(29)进入等离子体(18)中的尖端部分(28),其中尖端部分(28)的直径大于第一通道部分(6)的直径。
7.权利要求6的喷射器系统,其中尖端部分(28)伸出基体表面(29)的距离为0.001-0.3英寸。
8.一种用于涂敷基材的装置,其包含:
具有阳极(119)和阴极(113)的等离子体发生器(140),它能够形成移向基材(180)的电弧等离子体(152);
包含基材固定架(182)的沉积室(156);和
位于阳极(119)和基材固定架(182)之间的第一喷射器系统(60),用于将第一反应物引入等离子体(152),第一喷射器系统(60)包含喷射器(130),喷射器(130)包含:
限定第一反应物流动的第一通道部分(6),其外形使得第一通道部分(6)的内壁(3)平行于第一轴(9),其中第一通道部分(6)具有第一直径,和
与第一通道部分流体连通的第二通道部分(8),其具有凹壁部分,使第二通道部分(8)的内壁(3)以预定角度偏离第一轴(9),其中第二通道部分(8)具有大于第一直径的第二直径,并且其中第二通道部分(8)使流体反应物进入等离子体并减少了使用期间堵塞层(19)在第二通道部分(8)的内壁上的形成。
9.一种用于涂敷基材的方法,其包括以下步骤:
(a)将第一反应物供给第一喷射器系统(60),该系统系统具有多个沿流体供给通道(64)的圆周排列的喷射器(70-76),其中每个喷射器包含第一通道部分(6)并且具有一个直径足以限制第一反应物流动的孔(5),和第二通道部分(8),它是凹壁部分,这样使第二通道部分(8)的内壁(3)以预定角度偏离第一轴(9),以减少第一反应物在内壁(3)和伸入等离子体(18)的尖端部分(28)上的堵塞;
(b)产生电弧等离子体;
(c)将第一反应物引入该等离子体;和
(d)将第一反应物沉积在基材(180)的表面上。
10.一种用于涂敷基材的设备,其包含:
用于产生等离子体的装置;
用于将第一反应物供给喷射器装置的装置,所述喷射器装置用于将第一反应物引入等离子体物流,该喷射器装置包含用于将第一反应物引入等离子体物流的装置和用于减少第一反应物在该喷射器装置内壁上堵塞的装置;其中用于将第一反应物引入等离子体的装置包括由第一基体表面延伸至基体内并限定第一通道部分的第一内壁,用于限制第一反应物的流动,所述第一通道部分具有第一直径并且其外形使得第一通道部分的第一内壁平行于第一轴;以及限定与第一通道部分流体连通的第二通道部分的第二内壁,所述第二通道部分具有大于第一直径的第二直径,并且具有凹壁部分,这样第二通道部分的第二内壁以预定角度偏离第一轴,和
用于将含第一反应物的涂层沉积在基材表面上的装置。
CNB01820984XA 2000-12-20 2001-10-12 将试剂延期注入等离子体的喷射器和方法 Expired - Fee Related CN1285761C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/742,837 2000-12-20
US09/742,837 US6641673B2 (en) 2000-12-20 2000-12-20 Fluid injector for and method of prolonged delivery and distribution of reagents into plasma

Publications (2)

Publication Number Publication Date
CN1481449A CN1481449A (zh) 2004-03-10
CN1285761C true CN1285761C (zh) 2006-11-22

Family

ID=24986451

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB01820984XA Expired - Fee Related CN1285761C (zh) 2000-12-20 2001-10-12 将试剂延期注入等离子体的喷射器和方法

Country Status (10)

Country Link
US (1) US6641673B2 (zh)
EP (1) EP1346080A1 (zh)
JP (1) JP2004516386A (zh)
KR (1) KR20030063444A (zh)
CN (1) CN1285761C (zh)
AU (1) AU2001296825A1 (zh)
CA (1) CA2431017A1 (zh)
MX (1) MXPA03005573A (zh)
RU (1) RU2291223C2 (zh)
WO (1) WO2002050335A1 (zh)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
ATE450631T1 (de) * 2001-09-10 2009-12-15 Univ Virginia Verfahren zum aufbringen von metalllegierungsüberzügen und überzogene komponente
NL1020634C2 (nl) * 2002-05-21 2003-11-24 Otb Group Bv Werkwijze voor het passiveren van een halfgeleider substraat.
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US7622007B2 (en) * 2003-08-07 2009-11-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
KR100958576B1 (ko) * 2003-11-12 2010-05-18 엘지디스플레이 주식회사 표시소자의 제조장치
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
EP1563899A1 (en) * 2004-02-13 2005-08-17 Total Petrochemicals Research Feluy Device and method for the optimization of the injection of reactants into a reactor
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
JP4301094B2 (ja) * 2004-06-25 2009-07-22 トヨタ自動車株式会社 燃料又は還元剤添加装置及び方法、並びにプラズマトーチ
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US8236383B2 (en) * 2007-04-27 2012-08-07 Exatec Llc Abrasion resistant plastic glazing with in-mold coating
JP5325879B2 (ja) 2007-05-01 2013-10-23 エグザテック・リミテッド・ライアビリティー・カンパニー カプセル化プラスチックパネル及びそのパネルを作製する方法
US20080286537A1 (en) * 2007-05-09 2008-11-20 Christophe Lefaux Pre-dry treatment of ink in decorative plastic glazing
WO2009112053A1 (en) * 2008-03-12 2009-09-17 Ricardo Enrique Biana Plasma system
CA2658210A1 (en) * 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008029681A1 (de) * 2008-06-23 2009-12-24 Plasma Treat Gmbh Verfahren und Vorrichtung zum Aufbringen einer Schicht, insbesondere einer selbstreinigend und/oder antimikrobiell wirkenden photokatalytischen Schicht, auf eine Oberfläche
EP2141259B1 (en) * 2008-07-04 2018-10-31 ABB Schweiz AG Deposition method for passivation of silicon wafers
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
CN103502333B (zh) 2011-04-14 2016-05-04 埃克阿泰克有限责任公司 有机树脂层压板
US8361607B2 (en) 2011-04-14 2013-01-29 Exatec Llc Organic resin laminate
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130029136A1 (en) * 2011-07-27 2013-01-31 Groner Markus D Transparent Polycarbonate Elements with Alumina Coatings
US9441133B2 (en) 2011-08-26 2016-09-13 Exatec, Llc Organic resin laminate, methods of making and using the same, and articles comprising the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101394265B1 (ko) * 2012-08-22 2014-05-13 에스엔유 프리시젼 주식회사 분사노즐 유닛
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20200173015A1 (en) * 2013-07-25 2020-06-04 Samsung Display Co., Ltd. Vapor deposition apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6497709B2 (ja) * 2014-06-20 2019-04-10 アドバンストマテリアルテクノロジーズ株式会社 プラズマcvd装置及び磁気記録媒体の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101755664B1 (ko) * 2014-07-29 2017-07-11 주식회사 정화나노엔지니어링 코팅 가스 주입을 통한 나노입자 제조 설비
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102480457B1 (ko) * 2015-07-27 2022-12-22 삼성디스플레이 주식회사 증착 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107435139A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 气体分配器及基板处理装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
DE102017108992A1 (de) * 2017-04-26 2018-10-31 Khs Corpoplast Gmbh Vorrichtung zur Innenbeschichtung von Behältern
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US20190295822A1 (en) * 2018-03-20 2019-09-26 Applied Materials, Inc. Method and apparatus for providing radical species to a processing volume of a processing chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109382288A (zh) * 2018-09-28 2019-02-26 江苏柯润玺医疗科技发展有限公司 一种回转类零件表面凹槽填色工艺
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN109881138A (zh) * 2019-03-13 2019-06-14 罗远新 一种保护涂层施工工艺
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) * 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2527184C3 (de) * 1975-06-18 1981-07-02 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zur Herstellung von Targets für Kathodenzerstäubung
JPS62115827A (ja) * 1985-11-15 1987-05-27 Canon Inc 微粒子流の流れ制御装置
DE69125118T2 (de) * 1990-12-15 1997-06-19 Fujitsu Ltd Verfahren zur Herstellung eines Diamant-Überzuges
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6213049B1 (en) * 1997-06-26 2001-04-10 General Electric Company Nozzle-injector for arc plasma deposition apparatus
US6110544A (en) 1997-06-26 2000-08-29 General Electric Company Protective coating by high rate arc plasma deposition
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6123776A (en) 1998-03-04 2000-09-26 United Microelectronics Corp. Gas delivering apparatus for chemical vapor deposition
JP2000038678A (ja) 1998-07-22 2000-02-08 Komatsu Ltd プラズマcvd用のプラズマトーチ及び原料ガス導入方法
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma

Also Published As

Publication number Publication date
JP2004516386A (ja) 2004-06-03
RU2003122206A (ru) 2005-02-20
RU2291223C2 (ru) 2007-01-10
US20020136909A1 (en) 2002-09-26
US6641673B2 (en) 2003-11-04
MXPA03005573A (es) 2003-10-06
AU2001296825A1 (en) 2002-07-01
EP1346080A1 (en) 2003-09-24
CN1481449A (zh) 2004-03-10
KR20030063444A (ko) 2003-07-28
WO2002050335A1 (en) 2002-06-27
CA2431017A1 (en) 2002-06-27

Similar Documents

Publication Publication Date Title
CN1285761C (zh) 将试剂延期注入等离子体的喷射器和方法
US6397776B1 (en) Apparatus for large area chemical vapor deposition using multiple expanding thermal plasma generators
EP2261392B1 (en) Expanding thermal plasma deposition system
CN1117890C (zh) 用于电弧等离子体沉积设备的喷嘴式喷射器
KR101177983B1 (ko) 화학 기상 증착 반응기
CN1198957C (zh) 由电弧等离子体高速淀积法形成的保护涂层
US4800105A (en) Method of forming a thin film by chemical vapor deposition
CN100336165C (zh) 用于半导体处理系统的注气装置
US20090324847A1 (en) Method of avoiding a parasitic plasma in a plasma source gas supply conduit
CN101031669A (zh) 由等离子体增强的化学气相沉积的多层涂层
JP2013028170A (ja) 透明ガスバリア性フィルムの製造方法および有機エレクトロルミネッセンス素子
JPWO2008096616A1 (ja) 透明ガスバリア性フィルム及びその製造方法
CN1243846C (zh) 将一流体流分成多个分流的流体分配单元
JPWO2008114627A1 (ja) 防汚性積層体及びディスプレイ用前面板
EP2396452A1 (en) Two layer barrier on polymeric substrate
CN1902732A (zh) 用于改进cvd膜性能的边流面板
KR20100077695A (ko) 원자층 증착장치
WO2008001723A1 (fr) Dispositif de fabrication d'un film mince et procédé de fabrication d'un film mince
KR100490510B1 (ko) 아크플라즈마침착장치용단일노즐-인젝터및표면처리및침착장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee