CN1132232C - 半导体器件制造工艺中的等离子体蚀刻法 - Google Patents

半导体器件制造工艺中的等离子体蚀刻法 Download PDF

Info

Publication number
CN1132232C
CN1132232C CN96113405A CN96113405A CN1132232C CN 1132232 C CN1132232 C CN 1132232C CN 96113405 A CN96113405 A CN 96113405A CN 96113405 A CN96113405 A CN 96113405A CN 1132232 C CN1132232 C CN 1132232C
Authority
CN
China
Prior art keywords
gas
etching
plasma
semiconductor device
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN96113405A
Other languages
English (en)
Other versions
CN1164761A (zh
Inventor
李辉健
文大植
金成经
金敬勋
郭奎焕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1164761A publication Critical patent/CN1164761A/zh
Application granted granted Critical
Publication of CN1132232C publication Critical patent/CN1132232C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

本发明涉及半导体器件制造工艺中用等离子体选择蚀刻圆片上的载硅层,它包括:采用混合气体作为供应气体,混合气体由含氯或氟的蚀刻气体和另一种在等离子体放电时产生碳烯结构中间生成物的气体混合物组成;在断面的载硅层受蚀刻的侧壁上形成在等离子体状态下产生的聚合物,该聚合物为所述中间生成物的混合材料。因此,本发明的蚀刻层断面足以用来制造要求高集成度和超细线条的半导体器件,从而可以制取高容量、高功能的半导体器件。

Description

半导体器件制造工艺中的等离子体蚀刻法
技术领域
本发明涉及半导体器件制造工艺中的一种等离子体蚀刻法。更具体地说,本发明涉及半导体器件制造工艺中改善蚀刻断面的一种等离子体蚀刻法,具体作法是往主蚀刻气体中混入其它各种气体并通入这种混合气体,在部分准备选择性蚀刻的圆片层侧壁上形成供钝化用的薄聚合物薄层。
背景技术
随着半导体工业的高度发展,对半导体器件提出了高容量和高功能的要求,因而要求提高各元件在有限面积上的集成度,在圆片加工技术的科研方面则寻求超精细和集成度高的图形。
为制取超精细、高集成度的半导体器件而进行的圆片加工工艺中,广泛采用干式蚀刻法,而最常用的干式蚀刻法则为等离子体熔融蚀刻法。
然而,采用等离子体的蚀刻法虽然非常重要,但却是难以掌握的技术。等离子体蚀刻法主要考虑的细节包括蚀刻断面、较底层的选择、蚀刻速率和蚀刻的均匀性。这四个细节主要取决于蚀刻设备或所供应气体的性能,具体地说,均匀性受蚀刻设备性能的影响非常大,其它三个细节则受所供应气体性能的影响。
近来,有从研究出了往所供应的气体中加入形成聚合物的气体、用混合气体进行等离子体蚀刻来制取超精细、高集成度图形来改善蚀刻断面的方法。这类改善蚀刻断面的方法在S.M.Sze著的“VLSI技术”(1988年McGrawHill出版社第二版,第200~204页)和美国专利4,490,209中有介绍。
就是说,用传统的等离子体蚀刻法蚀刻载有硅的材料层时,按蚀刻层的性质采用含氟(F)和氯(Cl)的卤素化合物作为主要蚀刻气体,并用来改善蚀刻层的蚀刻断面和较低层的选择性,或用作载气,其它气体则混合加入主蚀刻气中。
混合加入的各种气体,各有各的预定作用。象氦(He)和氩(Ar)之类的惰性气体,质量较重,因而这类气体可用作主蚀刻气体的载气,且在用物理溅射法进行蚀刻时起一定的作用。等离子体放电时,氧(O2)和氮(N2)以O、N原子团的形式或O2 +、N2 +离子态的形式存在,通过增加或减少受蚀刻部分产生的聚合物起控制蚀刻断面的作用。
溴化氢(HBr)在等离子体中分解后,溴为受蚀刻部分的侧壁所吸收,形成Si-Br聚合物线条。这种Si-Br聚合线条通过中断受蚀刻层侧壁表面与氯的反应对良好断面的形成起印化作用。
图1(a)和1(b)示出了上述用典型的氯、氢、溴化物、氧和氩组成的混合气体的方法蚀刻多晶硅层的结果。
图1中,多晶硅层经蚀刻后的断面大致呈倒转梯形的形式,受蚀刻的垂直平面倾斜着。
这样,由于上述传统的等离子体蚀刻法蚀刻出来的各层侧壁的断面在垂直度方面不能令人满意,因而传统的方法不能用在要求超精细和高集成度的方法中。
发明内容
本发明的目的是提供半导体器件制造工艺中改进蚀刻断面外观的等离子体蚀刻法,蚀刻出来的特定层断面适合要求超精细、高集成度的工艺用。
本发明的另一个目的是提供半导体器件制造工艺中改善蚀刻层断面外观的一种等离子体蚀刻法,即将等离子体状态下因分解而产生碳烯中间生成物的气体与含氟或氯的主蚀刻气体混合,在蚀刻载硅层时采用该混合气体。
本发明的另一个目的是提供半导体器件制造工艺中的一种供改进蚀刻断面外观的等离子体蚀刻法,具体作法是往含氟或氯的蚀刻气体中混入另一种与溴或其它卤素结合的气体,在蚀刻载硅层时通上该混合气体。
本发明的另一个目的是提供半导体器件制造工艺中的一种供改进蚀刻断面外观的等离子体蚀刻法,具体作法是往含氟或氯的蚀刻气体中混入三溴化硼,在蚀刻载硅层时通上该混合气体。
本发明的另一个目的是提供半导体器件制造工艺中的一种供改进蚀刻断面外观的等离子体蚀刻法,具体作法是往主蚀刻气体中混入一种因在等离子体状态中分解而产生碳烯结构中间生成物的气体,蚀刻金属层时通上该混合气。
为达到上述目的,本发明提供的半导体器件制造工艺中的等离子体蚀刻法是将多种供应气体转换成等离子体状态,借助于圆片上多晶硅层上部分加了掩模的图形通过选择性反应和离子冲击蚀刻多晶硅层,该方法的特征在于,它包括以下步骤:采用混合气体作为供应气体,混合气体由含氯或氟的蚀刻气体和另一种在等离子体放电时形成碳烯结构的中间生成物的气体组成;在断面的多晶硅层受蚀刻的侧壁上形成在等离子体状态下产生的聚合物,该聚合物为所述中间生成物的混合材料。
蚀刻气体可以采用Cl2、BCl、HCl、SiCl4、F2、SF6、CF4、CHF3或它们的混合气体,而作为附加气体可以采用CH3Br、CH2Br2、CHBr3、C2H5Br、C2H4Br2或它们的混合气体。
此外,多晶硅的下层是氧化硅(SiO2)制成时,要增加氧的供应量以便在各层之间作出蚀刻选择。氯对氧的比值最好为2∶1至6∶1。
蚀刻气体和附加气体可能产生中间生气物,以电感耦合或电容耦合的等离子体的形式出现,选择性地蚀刻多晶硅层。
本发明还提供制备半导体器件时的这样一种等离子体蚀刻法,该方法将多种供应气体转换成等离子体状态,借助于圆片上氧化硅层上部分加了掩模的图形通过选择性地蚀刻和离子冲击蚀刻氧化硅层,该方法的特征在于,它包括以下步骤:采用混合气体作为供应气体,混合气体由含氟的蚀刻气体和另一种在等离子放电时产生碳烯结构中间生成物的气体混合组成;在断面的氧化硅层受蚀刻的侧壁上形成等离子体状态下产生的聚合物,该聚合物为所述中间生成物的混合物质。
蚀刻气体最好采用F2、SF6、CF4、CHF3
此外,本发明还提供半导体器件制造工艺中蚀刻圆片上载有硅的材料的等离子体蚀刻法,该方法在供应的蚀刻气体中加了BrI或Br2(其中的溴原子和其它卤素原子结合),以便形成一种聚合物供保护断面通过选择性蚀刻载硅层形成的侧壁。
此外,本发明还提供半导体器件制造工艺中的一种等离子体蚀刻法,该方法将多种供应气体转换成等离子体状态,借助于圆片上载硅层上部分加了掩模的圆形通过选择性反应和离子冲击蚀刻载硅层,其中供应气体采用蚀刻气体和三溴化硼,聚合物就形成在载硅层的断面用等离子体放电产生的溴和溴化硼蚀刻的侧壁上。
此外,本发明还提供半导体器件制造工艺中的一种等离子体蚀刻法,该方法将多种供应气体转换成等离子状态,借助于圆片上金属层上部分加了掩模的图形通过选择性反应和离子冲击蚀刻金属层,其特征在于,它包括以下两个步骤:采用混合气体作为供应气体,混合气体由蚀刻气体和另一种在等离子体放电时能产生碳烯结构的中间生成物的气体混合组成;形成在等离子体状态下产生的聚合物,该聚合物为断面的金属层经蚀刻的侧壁上所述中间生成物的混合材料。
附图说明
图1(a)和1(b)的照片示出了用传统半导体器件制造工艺的等离子体蚀刻法蚀刻载硅材料得出的断面。
图2的圆片层剖视图用以说明本发明半导体器件制造工艺的等离子蚀刻法。
图3(a)和3(b)的照片示出了按本发明的实施例蚀刻载硅材料得出的断面。
具体实施方式
按照本发明,蚀刻是在圆片上形成的特定层进行的,该特定层包括一层载硅材料层和一层金属材料层,载硅材料层则含有多晶硅层和氧化硅层。
各实施例蚀刻上述各材料层是根据各层的性能适当选择主蚀刻气体和混入主蚀刻气体的另一些气体进行的。
首先,参看图2说明应用到多晶硅层的一个实施例。
参看图2。底层(10)、氧化硅层(12)、多晶硅层(14)和掩蔽用的光刻胶层(16)顺次层叠成圆片。
底片(10)是圆片的一个单晶硅组成部分,氧化硅层(12)很薄,只有几百埃,在底片层(10)上形成,多晶硅层(14)有数千埃厚,形成晶体管的栅极,光刻胶涂层(16)供掩蔽多晶硅层(14)不拟蚀刻的部分。
蚀刻图2所示的那种具叠片结构的圆片可以采用电感耦合等离子体式设备或电容耦合等离子体设备,前者的等离子是将线圈绕制在石英管上(图中未示出)作为等离子体源通过感应产生的,后者是电容式的。采用电感耦合式等离子体时,反应器(图中未示出)前配备有若干片层层叠起来组成的扩散器,供均匀混合蚀刻气体用。直流偏压的等离子体产生或形成用的电力采用射频。温度条件根据所使用气体的性能在一定的范围内调节确定。
如上所述设定等离子体蚀刻设备的操作环境之后,供应蚀刻气体和附加气体,蚀刻气体可以从Cl2、BCl、HCl或SiCl4组成的一组含氯气体中选取,附加气体可以从等离子体放电时能产生碳烯结构中间生成物的诸气体,即CH3Br、CH2Br2、CHBr3、C2H5Br或C2H4Br2中选取溴代甲烷(CH3-Br)。之所以从上述能产生碳烯结构中间生成物的诸气体中选取溴代甲烷是因为采用的室温(大约20℃)下为气态的气体容易控制象蒸汽压力之类的参数。此外,改变温度条件还可以选用其它产生碳烯结构中间生成物的气体。
另外,还通上氧气作为另一种控制蚀刻断面的气体,并通上惰性气体(Ar或He)的氩气作为载气。虽然蚀刻多晶硅层(14)时是通上含氟的F2、SF6、CF4或CHF3作为蚀刻气体进行的,但作为一个实施例这里将说明蚀刻反应是在通上含氯的主蚀刻气体的情况下进行的。
通上上述各气体(主蚀刻气体、Cl2、CH3Br、O2和Ar等)然后用所加的射频将其转换成等离子体状态的中间生成物,其机理如下式所示: (其中x为0或1,y和z分别为0至2,Rf为射频)
除上述(1)至(3)式外,惰性气体分解并处于受激活状态。
如上所述,激活成等离子体状态的各组分按以下诸式与不为光刻胶层(16)所掩蔽的多晶硅层(14)表面起反应,即
         (4)式
                   (5)式
      (6)式
(1)式中受激活的氯载在氩载气上移向多晶硅层(14)的表面,如(4)式那样与多晶硅层(14)表面上的硅组分结合,生成氯化硅(SiCl)淀积在多晶硅层(14)的表面。
(2)式中以等离子体状态产生的溴与多晶硅层(14)表面上的硅组分键合形成SiBi聚合物如(5)式那样淀积在该表面上。
此外,(2)式中产生的CxHyBrz为具H-C-Br结构的碳烯,如(6)式中那样与多晶硅层(14)表面的硅组分结合。这样,蚀刻形成的各断面的表面和侧壁上出现了呈链状图形键合的聚合物,即CxHyBrz-CxHyBrz键。
在此情况下,形成了碳烯,即CxHyBrz,其中各组分按x∶y∶z结合,在x为0和1、y和z为0至2的范围内变动。考虑到这个比例,分别能产生溴化氢碳烯(:CHBr)、二氢碳烯(:CH2)和二溴碳烯(:CBr2),且这些碳烯能形成聚合物。
就是说,圆片的多晶硅层(14)蚀刻成图3中所示的样子,先是形成按上述过程产生的SiCl和SiBr,在蚀刻多晶硅层的过程中,SiBr和CxHyBrz-CxHyBrz作为聚合物为蚀刻中的表面所吸附或在该表面上形成。
聚合物可以在多晶硅层(14)各断面的表面上形成,即在侧壁或底部侧壁上形成。侧壁上形成的聚合物(18)可以通过中断包含在多晶硅层(14)表面中的硅与等离子体状态的氯的反应使侧壁的断面垂直,从而避免侧壁蚀刻过头或蚀刻得使其断面不正常。但底部形成的聚合物通过中断包含在多晶硅层(14)表面的硅与等离子状态的氯的反应起阻止蚀刻的元件的作用。但底部的聚合物由于受经激活的氩气的物理溅射而不会影响蚀刻的进行。
如上述过程那样,在蚀刻继续下去的过程中,等离子体蚀刻时的氩物理溅射不仅在多晶硅层(14)而且也在多晶硅层(16)供掩蔽用的表面上进行。这时,蚀刻胶中含有碳组分,借助于氩的物理溅射过程扩散开。
由于碳与氧有一定的亲合本能,因而当多晶硅层(14)的下部分如图2那样由氧化硅层(12)构成时,碳具有根据蚀刻程序与包含在多晶硅下层(14)的氧化硅层(12)中的氧结合的倾向。
因此,当氧化硅的氧与碳结合时,蚀刻会在氧化硅层(12)中进行,从而使蚀刻对各层的选择性变坏。在本发明的实施例中,通上氧气来防止上述倾向。于是,氧转入等离子状态,并使其转换成原子团状态(O)或离子状态(O2 +),与碳结合变为一氧化碳(CO)和二氧化碳(CO2)。
所以,通氧气或氮气可以防止各层之间的蚀刻选择性因蚀刻过程中产生的碳组分而变坏。
然而,若氧气的量比氯气的量多得多,则会阻止蚀刻的进行,因此通的氧气量还得适当。作为主蚀刻气体的氯气量与氧气量的比值最好取2∶1至6∶1左右。
本发明上述实施例经过实际测试,其结果如图3(a)和3(b)中所示。
测试条件是,主蚀刻气体采用Cl2,附加气体采用CH3Br、O2和惰性气体混合加入。蚀刻设备的条件为:电功率800~1000瓦,偏置功率0~300瓦,总压力2~15吨。此外,所通各气体的量经过控制之后通入,使得Cl2为10~200sccm,CH3Br为2~100sccm,O2为0~100sccm,惰性气体为0~200sccm。
供气单位sccm是表示在1个大气压0℃下每分钟供应的流体量(标准状态下每分钟的立方厘米数)的单位。而电极用以控制进行蚀刻的内部空间温度的温度取-20~60℃。
将图3(a)和3(b)上述条件下实验结果的断面与图1(a)和1(b)按传统方法蚀刻出的断面进行比较,图3(a)和3(b)本发明一个实施例的断面中,由于侧壁是垂直的,因而光刻胶层(16)与多晶硅层(14)之间的界面能保持光滑的直线,且总的断面呈方形,而在图1(a)和1(b)的断面中,由于侧壁倾斜,因而光刻胶层(16)与多晶硅层(14)之间的界面不能保持光滑的直线,且总断面呈倒转的梯形。
此外,在图3(a)和3(b)中,可以看出,几乎没有任何高密度等离子体蚀刻过程中经常出现的沟渠现象。
因此,本发明的上述实施例由于形成的界面如图3(a)和3(b)所示的那样光滑而且垂直,因而容易形成集成度高的超细线条图形。
至于本发明的第二实施例,可以采用这样的蚀刻法来蚀刻载硅材料层的多晶硅层,其中主蚀刻气体采用含氟的F2、SF6、CF4或CHF3,附加气体采用从一组由等离子体放电时能产生碳烯结构中间生成物的CH3Br、CH2Br2、CHBr3、C2H5Br或C2H4Br2组成的气体选取的气体。
在上述第二实施例中,其它混入的供蚀刻用的气体与第一实施例中的相同,设备的蚀刻环境也几乎相同,还有侧壁上蚀刻出的聚合物也起钝化作用,从而改善了蚀刻断面的外观。因此,第二实施例和第一实施例一样,适宜形成集成度高的超精细图形。
作为第三实施例,本发明可应用于氧化硅层的蚀刻中。在第三实施例中,用含氟气体F2、SF6、CF4或CHF3作为主蚀刻气体来蚀刻一般的氧化硅层,此外还选用在等离子体放电时能产生碳烯结构中间生成物由CH3Br、CH2Br2、CHBr3、C2H5Br或C2H4Br2组成的一组气体中的一种气体作为其它气体混入主蚀刻气中来蚀刻氧化硅层,取得了与第一实施例同样的效果。
此外,在第四实施例中,往主蚀气体中混入溴与一种卤素原子结合的BrI或Br2,在侧壁上形成聚合物来蚀刻载硅材料。在第五实施例中,BBr3作为附加气体与主蚀刻气体混合在一起来进行蚀刻,结果蚀刻出的断面良好。
除如上述第一至第五实施例那样用干式蚀刻法蚀刻载硅材料层之外,在本发明的第六实施例中,用等离子体放电时能产生碳烯结构中间生成物的成分作为附加气体在蚀刻金属层的过程在蚀刻部分形成聚合物,同样改善了蚀刻断面且确保了侧壁的垂直度。
这样,本发明通过作为所提供的气体的中间生成物形成的聚合物改善了干式蚀刻所有细节中公认为最困难的部分的蚀刻断面,且按本发明干式蚀刻得出的蚀刻断面具有完美的垂直度。
因此,本发明所能达到的蚀刻层断面足以用来制造要求高集成度和超精细的半导体器件,从而可以制取高容量、高功能的半导体器件。
虽然上面是就一些特殊实施例来展示和说明本发明的,但本技术领域的行家们都知道,在不脱离本说明书所附权利要求书中所述的本发明的精神实质和范围的前提下是可以就本发明的形式和细节进行种种修改的。

Claims (44)

1.半导体器件制造工艺中的一种等离子体蚀刻法,该方法将多种供应气体转换成等离子体状态,借助于圆片上多晶硅层上部分加了掩模的图形通过选择性反应和离子冲击蚀刻多晶硅层,其特征在于,它包括以下步骤:采用混合气体作为供应气体,混合气体由含氯的蚀刻气体和另一种在等离子体放电时形成碳烯结构中间生成物的气体混合组成;在断面的多晶硅层受蚀刻的侧壁上形成在等离子体状态下产生的聚合物,该聚合物为所述中间生成物的混合材料。
2.如权利要求1所述的半导体器件制造工艺中的等离子体蚀刻法,其特征在于,蚀刻气体选自由Cl2、BCl、HCl或SiCl4或它们的混合气体组成的一组气体。
3.如权利要求1所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,采用He或Ar惰性气体作为载气以便通过碰撞清除多晶硅层表面蚀刻的副产品。
4.如权利要求1所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,附加气体选自由CH3Br、CH2Br2、CHBr3、C2H5Br或C2H4Br2或它们的混合气体组成的一组气体。
5.如权利要求1所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,所述碳烯结构的中间生成物为CxHyBrz,其中x为0或1,y和z分别为0至2。
6.如权利要求5所述的半导体制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为溴化氢碳烯(:CHBr)。
7.如权利要求5所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二氢碳烯(:CH2)。
8.如权利要求5所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二溴碳烯(:CBr2)。
9.如权利要求1所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,蚀刻是在室温下进行的,附加气体采用溴代甲烷(CH3Br)。
10.如权利要求1所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,多晶硅的下层由二氧化硅(SiO2)制成时,增加氧(O2)的通入量,以便选择性地蚀刻各层。
11.如权利要求1或10所述的半导体制造工艺中的一种等离子体蚀刻法,其特征在于,通入的氯气与氧气的比值为2∶1~6∶1。
12.如权利要求1所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,蚀刻气体和附加气体用电感耦合式等离子体源转换成中间生成物以选择性地蚀刻多晶硅层。
13.如权利要求1所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,蚀刻气体和附加气体用电容耦合式等离子体源转换成中间生成物以选择性地蚀刻多晶硅层。
14.半导体器件制造工艺中的一种等离子蚀刻法,该方法将多种供应气体转换成等离子体状态,借助于圆片上多晶硅层上部分加了掩模的图形通过选择性反应和离子冲击蚀刻多晶硅层,其特征在于,它包括以下步骤:采用混合体作为供应气体,混合气体由含氟的蚀刻气体和另一种在等离子体放电时形成碳烯结构中间生成物的气体混合组成;在断面的多晶硅层受蚀刻的侧壁上形成在等离子状态下产生的聚合物,该聚合物为所述中间生成物的混合材料。
15.如权利要求14所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,蚀刻气体选自由F2、SF6、CF4或CHF3或它们的混合气体组成的一组气体。
16.如权利要求14所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,采用He或Ar惰性气体作为载气通过碰撞来清除多晶硅层的表面蚀刻副产品。
17.如权利要求14所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,附加气体选自由CH3Br、CH2Br2、C2H5Br或C2H4Br2或它们的混合气体组成的一组气体。
18.如权利要求14所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,碳烯结构的中间生成物为CxHyBrz,其中x为0或1,y和z分别为0至2。
19.如权利要求18所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成为溴化氢碳烯(:CHBr)。
20.如权利要求18所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二氢碳烯(:CH2)。
21.如权利要求18所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二溴碳烯(:CBr2)。
22.如权利要求14所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,多晶硅的下层由二氧化硅(SiO2)制成时,增加通入的氧气量以便选择性地蚀刻各层。
23.如权利要求14所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,用电感耦合式等离子体源将蚀刻气体和附加气体转换成中间生成物以选择性地蚀刻多晶硅层。
24.如权利要求14所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,用电容耦合式等离子体源将蚀刻气体和附加气体转换成中间生成物以选择性地蚀刻多晶硅层。
25.半导体器件制造工艺中的一种等离子体蚀刻法,该方法将多种供应气体转换成等离子体状态,借助于圆片上二氧化硅层上部分加了掩模的图形通过选择性反应和离子冲击蚀刻二氧化硅层,其特征在于,它包括以下步骤:采用混合气体作为供应气体,混合气体由含氟的蚀刻气体和另一种在等离子体放电时形成碳烯结构中间生成物的气体混合组成;在断面的二氧化硅层受蚀刻的侧壁上形成等离子体状态下产生的聚合物,该聚合物为所述中间生成物的混合材料。
26.如权利要求25所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,蚀刻气体选自由F2、SF6、CF4或CHF3或它们的混合气体组成的一组气体。
27.如权利要求25所述的半导体气件制造工艺中的一种等离子体蚀刻法,其特征在于,通入He或Ar惰性气体作为载体以便通过碰撞清除二氧化硅层的表面蚀刻副产物。
28.如权利要求25所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,附加气体选自CH3Br、CH2Br2、CHBr3、C2H5Br或C2H4Br2或它们的混合气体组成的一组气体。
29.如权利要求25所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,碳烯结构的中间生成物为CxHyBrz,其中x为0或1,y和z分别为0至2。
30.如权利要求29所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为溴化氢碳烯(:CHBr)。
31.如权利要求29所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二氢碳烯(:CH2)。
32.如权利要求29所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二溴碳烯(:CBr2)。
33.如权利要求25所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,用电感耦合式等离子体源将蚀刻气体和附加气体转换形成中间生成物以选择性地蚀刻二氧化硅层。
34.如权利要求25所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,用电容耦合式等离子体源将蚀刻气体和附加气体转换形成中间生成物以选择性地蚀刻二氧化硅层。
35.半导体器件制造工艺中的一种等离子体蚀刻法,用以蚀刻圆片上的载硅材料,其特征在于,将BrI或Br2,其中溴与其它卤素原子结合,与蚀刻气体一起通入,形成聚合物供保护断面通过选择性地蚀刻载硅层形成的侧壁,所述蚀刻气体选自由Cl2、BCl、HCl或SiCl4、F2、SF6、CF4或它们的混合气体组成的一组气体。
36.半导体器件制造工艺中的一种等离子体蚀刻法,该方法将多种供应气体转换成等离子体状态,借助于圆片上载硅层上部分加了掩模的图形通过选择性反应和离子冲击蚀刻载硅层,其特征在于,供应气体采用蚀刻气体和三溴化硼(BBr3)从而在载硅层为等离子体放电产生的溴和溴化硼所蚀刻的断面的侧壁上形成聚合物,所述蚀刻气体选自由Cl2、BCl、HCl或SiCl4、F2、SF6、CF4或它们的混合气体组成的一组气体。
37.半导体器件制造工艺中的一种等离子体蚀刻法,该方法将多种供应气体转换成等离子体状态,借助于圆片上金属层上部分加了掩模的图形通过选择性反应和离子冲击蚀刻金属层,其特征在于,它包括以下步骤:采用混合气体作为供应气体,混合气体由蚀刻气体和另一种等离子体放电时产生碳烯结构中间生成物的气体混合组成;在断面的金属层受蚀刻的侧壁上形成在等离子体状态下产生的聚合物,该聚合物为所述中间生成物的混合材料,所述蚀刻气体选自由Cl2、BCl、HCl或SiCl4、F2、SF6、CF4或它们的混合气体组成的一组气体。
38.如权利要求37所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,通入He或Ar惰性气体作为载气通过碰撞清除金属层表面蚀刻的副产物。
39.如权利要求37所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,附加气体选自由CH3Br、CH2Br2、CHBr3、C2H5Br或C2H4Br2或它们的混合气体组成的一组气体。
40.如权利要求37所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,碳烯结构的中间生成物为CxHyBrz,其中x为0或1,y和z分别为0至2。
41.如权利要求40所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为溴化氢碳烯(:CHBr)。
42.如权利要求40所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二氢碳烯(:CH2)。
43.如权利要求40所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,中间生成物为二溴碳烯(:CBr2)。
44.如权利要求40所述的半导体器件制造工艺中的一种等离子体蚀刻法,其特征在于,金属的下层由二氧化硅(SiO2)制成时,增加通入的氧气量以便对各层的蚀刻有所选择。
CN96113405A 1996-05-08 1996-09-10 半导体器件制造工艺中的等离子体蚀刻法 Expired - Fee Related CN1132232C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR15149/1996 1996-05-08
KR15149/96 1996-05-08
KR1019960015149A KR100230981B1 (ko) 1996-05-08 1996-05-08 반도체장치 제조공정의 플라즈마 식각 방법

Publications (2)

Publication Number Publication Date
CN1164761A CN1164761A (zh) 1997-11-12
CN1132232C true CN1132232C (zh) 2003-12-24

Family

ID=19458133

Family Applications (1)

Application Number Title Priority Date Filing Date
CN96113405A Expired - Fee Related CN1132232C (zh) 1996-05-08 1996-09-10 半导体器件制造工艺中的等离子体蚀刻法

Country Status (7)

Country Link
US (1) US5900163A (zh)
JP (1) JP2807445B2 (zh)
KR (1) KR100230981B1 (zh)
CN (1) CN1132232C (zh)
DE (1) DE19636288B4 (zh)
GB (1) GB2313230B (zh)
TW (1) TW306026B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1985335B (zh) * 2004-06-30 2010-05-12 兰姆研究有限公司 双层抗蚀剂等离子体蚀刻方法
CN104124148A (zh) * 2013-04-26 2014-10-29 中微半导体设备(上海)有限公司 硅片刻蚀方法

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2956602B2 (ja) * 1996-08-26 1999-10-04 日本電気株式会社 ドライエッチング方法
JP3252780B2 (ja) * 1998-01-16 2002-02-04 日本電気株式会社 シリコン層のエッチング方法
US6083815A (en) * 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
US6069086A (en) * 1998-04-30 2000-05-30 Applied Materials, Inc. Non-HBr shallow trench isolation etch process
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
KR100550348B1 (ko) * 1998-07-22 2006-05-17 삼성전자주식회사 반도체소자의 식각방법
US6107206A (en) * 1998-09-14 2000-08-22 Taiwan Semiconductor Manufacturing Company Method for etching shallow trenches in a semiconductor body
US6235214B1 (en) * 1998-12-03 2001-05-22 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6312616B1 (en) 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
KR100511929B1 (ko) * 1998-12-15 2005-10-26 주식회사 하이닉스반도체 반도체 장치의 콘택홀 형성방법_
JP2000208488A (ja) * 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6565759B1 (en) * 1999-08-16 2003-05-20 Vanguard International Semiconductor Corporation Etching process
US6306313B1 (en) 2000-02-04 2001-10-23 Agere Systems Guardian Corp. Selective etching of thin films
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
JP2002343798A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp 配線層のドライエッチング方法、半導体装置の製造方法および該方法によって得られた半導体装置
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6872652B2 (en) * 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US7226868B2 (en) 2001-10-31 2007-06-05 Tokyo Electron Limited Method of etching high aspect ratio features
KR20030071195A (ko) * 2002-02-28 2003-09-03 주식회사 하이닉스반도체 반도체 제조용 플라즈마 식각 공정
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050029226A1 (en) * 2003-08-07 2005-02-10 Advanced Power Technology, Inc. Plasma etching using dibromomethane addition
KR100641952B1 (ko) * 2004-02-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
EP1793418B1 (en) * 2004-07-02 2013-06-12 Ulvac, Inc. Etching method and system
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
KR100623592B1 (ko) * 2004-10-30 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성 방법
KR100562657B1 (ko) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 리세스게이트 및 그를 구비한 반도체장치의 제조 방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
WO2007088302A1 (fr) * 2006-02-01 2007-08-09 Alcatel Lucent Procede de gravure anisotropique
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
KR20090015054A (ko) * 2006-04-10 2009-02-11 솔베이 플루오르 게엠베하 에칭 방법
TW200917417A (en) * 2007-10-01 2009-04-16 Nanya Technology Corp Interconnection process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120000612A (ko) 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
CN104779151B (zh) * 2014-01-13 2018-01-26 北大方正集团有限公司 一种多晶硅刻蚀方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6569578B2 (ja) * 2016-03-30 2019-09-04 日本ゼオン株式会社 プラズマエッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107910260A (zh) * 2017-11-14 2018-04-13 扬州扬杰电子科技股份有限公司 一种多晶硅回刻方法
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN116721915B (zh) * 2023-08-04 2023-10-03 无锡邑文电子科技有限公司 SiC刻蚀方法和设备

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4789426A (en) * 1987-01-06 1988-12-06 Harris Corp. Process for performing variable selectivity polysilicon etch
US4818334A (en) * 1988-03-15 1989-04-04 General Electric Company Method of etching a layer including polysilicon
JPH0467624A (ja) * 1990-07-09 1992-03-03 Fujitsu Ltd エッチング方法
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5296095A (en) * 1990-10-30 1994-03-22 Matsushita Electric Industrial Co., Ltd. Method of dry etching
US5126008A (en) * 1991-05-03 1992-06-30 Applied Materials, Inc. Corrosion-free aluminum etching process for fabricating an integrated circuit structure
JPH05102098A (ja) * 1991-10-11 1993-04-23 Sony Corp 配線形成方法
JP3259295B2 (ja) * 1991-10-22 2002-02-25 ソニー株式会社 ドライエッチング方法及びecrプラズマ装置
JP3179872B2 (ja) * 1991-12-19 2001-06-25 東京エレクトロン株式会社 エッチング方法
JP3318777B2 (ja) * 1992-11-17 2002-08-26 ソニー株式会社 ドライエッチング方法
US5691246A (en) * 1993-05-13 1997-11-25 Micron Technology, Inc. In situ etch process for insulating and conductive materials
US5437765A (en) * 1994-04-29 1995-08-01 Texas Instruments Incorporated Semiconductor processing
JP3353462B2 (ja) * 1994-06-10 2002-12-03 ソニー株式会社 ドライエッチング方法
US5591301A (en) * 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1985335B (zh) * 2004-06-30 2010-05-12 兰姆研究有限公司 双层抗蚀剂等离子体蚀刻方法
CN104124148A (zh) * 2013-04-26 2014-10-29 中微半导体设备(上海)有限公司 硅片刻蚀方法

Also Published As

Publication number Publication date
DE19636288A1 (de) 1997-11-13
TW306026B (en) 1997-05-21
GB2313230A (en) 1997-11-19
GB9623432D0 (en) 1997-01-08
CN1164761A (zh) 1997-11-12
GB2313230B (en) 1998-07-22
JPH09306895A (ja) 1997-11-28
US5900163A (en) 1999-05-04
KR970077307A (ko) 1997-12-12
JP2807445B2 (ja) 1998-10-08
DE19636288B4 (de) 2004-02-12
KR100230981B1 (ko) 1999-11-15

Similar Documents

Publication Publication Date Title
CN1132232C (zh) 半导体器件制造工艺中的等离子体蚀刻法
CN1286153C (zh) 一种用于蚀刻晶片的电介质层的方法
CN101515542B (zh) 用硫基蚀刻剂等离子体蚀刻含碳层
CN1271688C (zh) 碳化硅的等离子体刻蚀
KR102400414B1 (ko) 에칭 기체로 반도체 구조를 에칭하는 방법
CN100365777C (zh) 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
JP3866694B2 (ja) Lsiデバイスのエッチング方法および装置
CN1524287A (zh) 用于蚀刻有机低k材料的特殊化学工艺
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
CN1618121A (zh) 用于选择性地蚀刻电介质层的工艺
KR100874813B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
JP6636250B2 (ja) ドライエッチングガス組成物及びドライエッチング方法
CN1599038A (zh) 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用
KR100727834B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
US6955964B2 (en) Formation of a double gate structure
US6461969B1 (en) Multiple-step plasma etching process for silicon nitride
Sun et al. Vertical sidewall of silicon nitride mask and smooth surface of etched-silicon simultaneously obtained using CHF3/O2 inductively coupled plasma
JP2002075975A (ja) オクタフルオロブテンを含む蝕刻ガスを用いた半導体素子の製造方法及びその方法によって製造された半導体素子
JPH06338479A (ja) エッチング方法
US6565759B1 (en) Etching process
JPH10177992A (ja) 微細コンタクトホールのテーパエッチング方法
JP2005142255A (ja) 低誘電率層間絶縁膜のドライエッチング方法
JPH04290429A (ja) 半導体装置の製造方法
WO2020085469A1 (ja) 硫黄含有フルオロカーボン化合物を含むドライエッチングガス組成物及びそれを用いたドライエッチング方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20031224

Termination date: 20110910