CN1024226C - 便携式计算机实时的功率储存 - Google Patents

便携式计算机实时的功率储存 Download PDF

Info

Publication number
CN1024226C
CN1024226C CN90108771A CN90108771A CN1024226C CN 1024226 C CN1024226 C CN 1024226C CN 90108771 A CN90108771 A CN 90108771A CN 90108771 A CN90108771 A CN 90108771A CN 1024226 C CN1024226 C CN 1024226C
Authority
CN
China
Prior art keywords
mentioned
processing unit
cpu
central processing
somnolence
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN90108771A
Other languages
English (en)
Other versions
CN1054496A (zh
Inventor
小L·F·瓦兹
S·J·华莱士
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23702544&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1024226(C) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of CN1054496A publication Critical patent/CN1054496A/zh
Application granted granted Critical
Publication of CN1024226C publication Critical patent/CN1024226C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/02Digital computers in general; Data processing equipment in general manually operated with input through keyboard and computation using a built-in program, e.g. pocket calculators
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • G06F1/20Cooling means
    • G06F1/206Cooling means comprising thermal management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Abstract

一种便携式计算机用实时功率储存装置和方法使用监示器(40),根据CPU活动电平实时采样而确定CPU是否可休息及激活硬件选择器进行监示器的确定。如果监示器确定CPU可休息,硬件选择器降低CPU时钟时间(260,270,280);如果CPU将处于活动,则硬件选择器把CPU恢复到它的原先高速时钟电平。CPU休息状态转换回到全操作发生了,用户不必请求及当等待计算机回到“准备”状态时,在计算机操作中也没有任何延迟。监示器调节计算机执行电平以便控制根据CPU活动的实时采样控制功率储存。

Description

本发明涉及计算机实时的功率储存,尤其是在便携式计算机中央处理机内根据实时的活动电平减少中央处理机的时钟时间的一种装置和方法。
在各种个人计算机开发阶段期间,传带式或便携式计算机已非常普遍。此种便携式计算机应用了大功率电源及真实地表现为一种小的台式个人计算机。各种便携式计算机比起一种台式个人计算机更小及更轻,允许用户使用与台式计算机中所用的同样软件。
第一代生产的便携式计算机仅仅由壁式交流电源工作。由于个人计算机不断开发,设计了电池电源计算机。此外,随着新型显示技术、较好磁盘存储器和较轻元件的开发,便携性就成为真的可能了。
因而,开发的软件用来操作台式个人计算机,并具有计算机所有特征,而与电源量有限的和使用期较短的电池电源计算机无关。为这些便携式计算机储存功率使用,软件,操作系统(MS-DOS),基本输入/输出系统(BIOS)或第三者应用软件都没特殊考虑过。
随着开发了功能愈来愈高的软件包,台式计算机用户由于引进较高计算性能的中央处理机,存储量提高的储存器及较快的高性能磁盘驱动装置,从而提高了性能。
遗憾地,便携式计算机仍然是仅仅用交流电源或用大而重的电池工作。在跟上台式计算机的性能要求的尝试中,为了削减电源要求使用新的软件和昂贵的元器件。即使如此,笨重的电池依然不会工作很久。这就意味着各种便携式计算机用户不得不满足于交流或非常短的电池工作以便具有从第三者软件所期望的性能。
便携式计算机设计者为了减少功耗性能已降至8088-8086型处理器。各种支持电路和中央处理机消耗更少功率来工作。因此就能使用较轻的电池。不幸地,在较旧的,较慢速的8088/8086中央处理机中没有新软件所要求80286-型指令故新软件不会运行。
在企图设计能储存功率,因而产生电池较长期地工作,器件更小以及重量更轻的便携式计算机中,某些便携式计算机设计者着手在用户不用计算机时减少便携式计算机的功耗。例如,在一些预定的无活动周期后设计者通过慢速关掉磁盘驱动器以减少功耗;如果不使用磁盘驱动器,则磁盘驱动器就关掉或简单地处于备用模式。当用户准备使用磁盘时,操作者必须等到磁盘驱动器旋转,在操作者着手工作前,此计算机系统重新准备所用的性能。
其它便携式计算机设计者在不用键盘时,关掉计算机显示器来储存功率,因而在通常工作中,计算机是使用满功率。换句话说,仅当用户不用该系统中的部件时,靠这种方法储存功率是行得通的。当不使用时,用户关掉计算机,这是非常合理的。
尽管如此,当操作者正在使用计算机作重要工作时,基本的功率储存是必需的。当操作者使用该计算机时,要求所有部件充分工作,在操作者不使用计算机时的间隔期间,无论如何,计算机应关掉或慢速以便保存功耗。保持性能以便确定什么时间关掉或慢速而不中断用户工作,不破坏第三者软件或不扰乱操作系统,直到操作需要,这点是非常重要的。
此外,尽管用户能等待该磁盘旋转,如上所述,而应用软件包不能等待中央处理机“旋转”而准备好。当应用程序需要计算机时,中央处理机必须要准备好。必须快速完成转换到全工作状态,而且不影响应用程序。这个即该转变对用户及当今有效的应用必须是明显的。各种延迟会使用户在响应时间、软件兼容性方面产生问题以及计算机 产生一般故障,从而不能精确地执行所需程序。
便携式计算机功率储存的其它尝试包括对操作提供“切断”或“备用模式”。这个问题是在这个期间操作者不能再次使用该计算机。操作者只好关掉装置的电源开关以便节省功率。如果操作者忘记关掉电源开关或跑离计算机在一定计划的时间长度内,这种类型的功率储存仅允许便携式计算机关掉从而节省功率。在一旦关掉/接通电源开关的这种类型的电源储存的优点是很快地返回到全工作状态。因而这种方法的功率储存还不是实时的明智的功率储存,因为与此同时,计算机仍接通及处理不干扰操作系统的数据,基本以输入/输出系统的数据和计算机上正在运行的第三者应用程序。超大规模集成电路销售商为了满足这些需要作了某些尝试,如提供的电路,它当用户不在键盘上打字时,可关掉到中央处理机的时钟;或者当打击键盘时,根据要求,唤醒计算机工作中任何一种这种手段在这个期间都会减少功率而计算机封死(不使用)如像校正该系统时钟,通讯、打印机,及其它类似工作的后备作业都不能执行。某些现成的便携式计算机应用这些电路,在无活动的程序周期后,计算机自行关掉,操作者必须再次接通机器电源,而不必重新引导该操作系统和应用程序。这种电路的优点如像目前的“切关”操作一样可快速返回到全工作状态而不要重新启动计算机。然而这种方法仅是当用户离开机器时,能减少功耗,实际上不能延长电池电荷的工作寿命。
考虑到与有关技术有关的上述各种问题。供给一种计算机系统的实时的功率储存并且不会产生任何性能实时降级,及此种功率储存对用户是很清楚的装置和方法是本发明的一个目的。
本发明的另一个目的是在计算机系统内预测活动电平和使用功率自动储存的预测的一种装置和方法。
本发明还有一个目的是提供一种允许用户修改自动预测活动级和 使用修改的预测值来进行功率自动储存的一种装置和方法。
本发明的进一步目的就是提供一种实时的降低和恢复时钟速度,从而使中央处理机从软件程序明显是不活动的一个周期返回到全处理速率的一种装置和方法。
在本发明的最佳实施例中,这些目的是由一种设备和方法来完成,这种设备和方法确定中央处理机根据中央处理机活动电平是否可以休息及根据这个决定是否驱动硬件选择器。如果中央处理机可以休息或嗜眠,则硬件选择器在一个嗜眠时钟电平上施加振荡;如果中央处理机将是活动状态,则硬件选择器应用高速时钟电平施加振荡。
本发明检查中央处理机的活动状态,及操作者和正在工作的任何应用软件程序的活动状态。这种活动状态的抽样工作是实时进行的,调节计算机的执行电平从而控制功率储存和计算机功率。这些调节都是在中央处理机工作周期内完成的不会影响用户对功能的判别。
因而,当使用操作系统/BIOS的第三者软件的操作者不使用该计算机时,本发明将达到对中央处理机快速关掉电源或慢速切断直到需要时为止,从而减少功耗,当需要时可立即恢复整个中央处理机工作,而不影响预定的功能。当等待计算机回到准备状态时,从减速模式返回到全工作状态,用户不必请求,计算机操作中也没有任何延迟。
对精通这方面的技术人员来说,本发明的这些和其它特征和优点通过以下最佳实施例,结合附图将是清楚的。
图1是说明本发明最佳实施例自调方面的程序图;
图2a-2d是描述本发明所应用的活动功率储存监示器的程序图;
图3是表示本发明所用的硬件的活动功率储存的简化示意图;
图4是用于本发明的一个实施例的嗜眠的硬件的示意图;
图5是用本发明另一个实施例的嗜眠的硬件的示意图。
如果检定任何给出系统中计算机活动的周期,则中央处理机及有关的部件有一个利用百分率。如果用户由键盘输入数据,根据中央处理机的循环各个击键间的时间是相当长的。计算机能在这个期间可完成许多事情,如打印报告。甚至在打印报告期间此时间对如像时钟/日历显示的背景修改的各种附加工作依然是可用的。既使如此,当中央处理机不用时,几乎经常是有空余时间。如果在这个空余时间计算机关掉电源和低速停止,那么就可获得实时功耗,这样的实时功率储存延长电池工作寿命。
根据本发明最佳实施例,为了储存MS-DOS下功率及其它操作系统如OS/2    XENIX及苹果操作系统用的那些功率,要求硬件和软件结合。应该注意,由于本发明将操作于任何系统中,当在系统接着系统的基础上执行可稍有变化时,本发明的范围就不限于在MS/DOS下工作的各计算机系统。根据本发明的最佳实施例,缓慢停止或中止计算机系统各部件工作会减少功耗,虽然所节省的功率量可不相同。因此,根据本发明中止时钟(这儿某些中央处理机可能没有中止它们的时钟)刚可比缓慢中止时钟减少更多的功耗。一般说来,每秒工作(或指令)的次数字可与处理器时钟大体上成比例。
指令数/秒-指令数/周期×周期数/秒
进行简化,同样指令可重复执行,所以指令数/秒为常数;关系式表示如下:
Fq=K1×Cik
在此,Fq是指令数/秒,K是相等于指令数/周期的常数及Cik等于周期数/秒,于是大体上说,执行的速度随着中央处理机时钟的频率而增加。
在任何给定时刻上所用的功率量也是与中央处理机的时钟频率和执行速度有关,一般说来,这个关系式表示如下:
P=K2+(K3×Cik)
在此,P是功率(瓦),K2是常数(瓦),K3是常数及表示瓦-秒/周期数,及Cik等于中央处理机量时钟的周期数/秒,于是也能说,在任何一定时间上所损耗的功率量随着中央处理机时钟频率提高而增加。假定,一定时间周期T分成N个间隔,结果功率P在每个间隔期是常数,然后在T期间所消耗的能量E由下式给出。
E=P1△T1+P2△T2……+PN△TN
进一步假定,中央处理机时钟“Cik”仅有二个状态,是“通”或“关”。为了讨论起见,“通”状态表示中央处理机时钟处于最大频率、而“关”状态表示中央处理机能工作的最小时钟频率。(对中央处理机这可能是零,致使时钟中止),在中央处理机时钟经常是“通”的条件下,在先前方程式中每个P是相等的及总能量为:
E(mox)=P(on)×(△T1+△T2+……+△TN)=P(on)×T
这表示计算机不使用功率储存措施的最大功耗,如果中央处理机时钟在间隔的部分期间是“关”,则每个间隔可能有2个功率级。P(on)表示时钟处于“通”状态时所消耗的功率而P(off)表示时钟处于“关”状态时所用的功率。如果将时钟处“通”状态的所有的时间间隔“T(on)”量及“关”间隔“T(off)”相加则有下式:
T=T(on)+T(off)
现在在T周期间所用的能量能写成:
E=[P(on)×T(on)]+P(off)×T(off)]
在这些条件下,总的所消耗的能量可以靠增加时间间隔T(off)而减少。因此用控制时间的周期,使时钟处于“关”状态,所用的能量就可减少,如果在周期T期间T(off)周期被分成许多间隔,则由于每个间隔的宽度到达零,能量消耗处于最大。相反,随T(off)间隔的宽度增加消耗能量就降低。
如果安排“关”间隔与中央处理机不活动的周期相一致,则用户在执行时不能发觉功能上任何降级而总的能量消耗从E(max)状态降低。为了使T(off)间隔与中央处理机不活动的周期一致,中央处理机活动电平用来确定闭合回路中T(off)间隔的宽度。图1描绘这种闭合回路。在步骤10确定中央处理机的活动电平。如果这个电平在一个刚才确定的范围上升高,则本发明减少T(off)间隔(步骤20)及重返再次确定中央处理机的活动电平。如果另一方面这个活动电平在一个刚才确定的范围上下降,则本发明增加了T(off)间隔(步骤30)及进行再次确定中央处理机的活动电平,于是T(off)间隔不断地被调整到与系统活动电平相匹配。
在任何操作系统中,存在着二个键逻辑点在操作系统内部有一个“空闲”,或“空作”回路及一个操作系统请求通道,一般可用于应用软件所必需的服务。由于设置具有这些逻辑点的逻辑联机就能判断由应用软件作出的该类活动请求,功率储存能被激活及片周期被测定。片周期是由活动电平计算的超过时间的T(on)/T(off)数。可用一个假设来确定中央处理机活动电平:需要使用的软件程序一般需要附加服务及在各服务请求间的时间周期能用来确定计算机上操作运行的任何应用软件的活动电平及根据本发明为功率储存提供片数。
一旦在功率储存片(T(off))期间中断中央处理机,则中央处理机装置将在立即引导到中断软件之前,储备了中断程序的状态,当然,由于功率储存软件这片期间工作,控制将回到活动功率储存回路(监示器40)该回路简单地监示中央处理机的时钟以便确定功率储存模式的引出条件,在此;由T(off)引到T(on)状态。下一个功率储存状态的间隔根据结合图1的上面讨论由监示器的活动电平来调节,某些实施能由硬件逻辑自动从T(off)引出,从而迫使在功率储存回路自动处引出状态及执行一个间隔T(on)。
现在,进一步看图2a-2d。图2a-2d叙述本发明的有源功率储存监示器40,中央处理机通过储存在中央处理机只读存储器中程序装有监示器,或是通过由储存在随机存储器中程序的外部装置装有监示器40,一旦中央处理机装有监示器40,那么该监示器连续监示预置系统中断的预置器INIT50,用户配置的建立,和系统/应用特种预置。“空闲”支路60(进一步建立于图2b中的功能),用由硬件或软件中断来执行,以达到“空闲”或“空作”中央处理机进入“空闲”或“空作”回路(即计划的无活动),产生这种类型的中断。在下面有关图2d中更充分说明的程序图的“活动”支路70,由于一个操作系统或输入/输出服务请求,由应用程序或内部操作系统功能执行,通过软件或硬件中断来执行或由程序进行的输入/输出使用请求可能是例如磁盘输入/输出,读出打印,负载等。不管所选的支路,控制在回转支路80上经最后返回到中央处理机的操作系统。图2a所示的初置支路50仅只有它经过程序加载入只读存储器而执行,或只有每当由外部装置加载并储存在随机存储器中电源建立期间而被执行,一旦充分地执行活动功率监示器40的这个支路,不论何时控制由操作系统置于功率储存模式而获得时,空闲60或活动70支路均取决于中央处理机活动类型而加以选择:在计划的不活动期间功率储存用空闲支路60及在中央处理机活动期功率储存用活动支路70。
更仔细地观察预置支路50,总之系统中断和各种可变量都是预置的,例行程序连续至步骤90,从而调节功率电平与可缺席电平相等,在操作系统中,当用户输入为功率电平的控制时,在步骤100上程序核对用户是否已选好电平。如果用户电平小于0或大于最大电平,则系统使用缺席电平,否则,这连续进行至步骤110上,在此,修正电源电平以便相等于用户电平。
根据本发明的最佳实施例系统在步骤120调节可变空闲滴嗒到零 及可变活动滴嗒到零。在MS/DOS实施中,空闲滴嗒涉及到“空作”回路中所发现的中断数。活动滴嗒涉及到逐次确定中央处理机活动电平的活动中断所产生的中断数。滴嗒数表示作下一步中断的Delta时间。空闲滴嗒是从一次滴嗒到另一个滴嗒(切断)的恒定Delta时间,除非由软件中断改写掉。一个软件中断可在中断间重编Delta时间的程序。
在调节各种可变量到零之后,例行程序继续到准备程序130上,这时,任何应用程序特定配置的精调依照系统-特性详细说明进行处理及预置该系统。下一步该例行程序对中断的输入/输出(步骤140)配上指令送到硬件,表明该硬件能在下一步中断时采用控制。然后预置支路50引到操作系统的返回程序80上,或诸如原先称为活动功率监示器。现在考虑活动功率监示器40的“空闲”支路60,在图2上更充分说明。根据中央处理机的一个预定的无活动,监示器40(在这幅图中没有特别画出)。
首先确定活动中断目前是否有空,再检查一下是否允许进入“空闲”支路60,如果忙碌A等于忙碌特征位(步骤150),忙碌特征位是一个再记入特征位,则中央处理机是忙碌的及现在不能被嗜眠。因而;监示器40立即进入程序I160及引出该例行程序。返回程序I160是一个在进入监示器40之前储存的正常处理用的原先操作系统“空闲”矢量中断的间接矢量(即这引起中断返回到最后键接的矢量)。如果;忙碌A中断特征位不忙,则监示器40检查一下忙碌空闲中断特征位,忙碌I是否与忙碌特征位(步骤170)相等,如果相等,这就表示系统已处于监示器40的空闲支路60状态及该系统自身不应中断。如果忙碌I=忙碌特征位则系统在返回程序I间接矢量160上引出程序。如果已调节的不是忙碌A再进入特征位;也不是忙碌I再进入特征位,那么例行程序在步骤180上调节忙碌I特征位作再进入保护(忙碌I=忙碌特征位)。在步骤140上空闲滴嗒的增量为1。空 闲滴嗒是在T(off)间隔之前的T(on)数,并由“空闲”中断,调置中断和中央处理机活动电平来确定。空闲滴嗒增量为1从而允许事故平滑,因此允许让临界输入/输出活动控制得以平滑。在步骤200上监示器40检查看空闲滴嗒是否相等于“空闲”最大滴嗒数。空闲最大滴嗒数是初置支路50的步骤130中初置的常数之一并为系统保持稳定,及负责活动电平的自调,如果空闲滴嗒不等于空闲最大滴嗒数,及忙碌I特征位在步骤210上被清洗及引出进入到返回程序I间接矢量160的回路,如果空闲滴嗒等于空闲最大滴嗒数,则空闲滴嗒被调到与空闲-开始-滴嗒相等(步骤220)。空闲-开始-滴嗒是一个常数,该常数或为零或不可为零(取决于特定的中央处理机能否使它的时间停止)。这步骤确定了如何经常执行嗜眠功能其余部分的自调。因为调节“空闲”-开始-滴嗒等于空闲最大滴嗒数减去1,所以获得了一个连续的T(off)间隔。在步骤230上检查该功率电平。如果该电平等于零、则监示器清洗忙碌I特征位(步骤210),在返回程序I160上引出程序,及将控制返回至操作系统,它就可连续在进入到活动功率监示器40之前的最初程序。如果功率电平在步骤240上不等于零,则例行程序确定一个中断表征码是否适当,中断表征码是由系统/应用软件调节及确定各中断是否能用于监示器40。如果各中断不可用,则忙碌I再记入特征位被清洗及控制返回到操作系统以便继续在控制进入监示器40之前要操作的程序,操作程序及应用软件能调节T(on)间隔以便通过调节中断表征码等于“不可用”而产生连续的T(on)状态。假定中断是“可用的”,监示器40进行到保存功率子程序250,该程序250在由硬件状态建立的一个T(off)周期期间充分执行的(例如:在本发明的最佳实施例中,最长间隔可能为18毫秒,该18毫秒间隔在从实时时钟输出的二个滴嗒记号间或中断间的最长时间),在保存功率子程序250期间,中央处理机时钟减速直到嗜眠时钟 电平。一旦临界的输入/输出操作强行转移T(on)间隔,则空闲支路60中断趋向于保持准备附加临界输入/输出请求,当中央处理机具有临界输入/输出而变成忙碌时,则少量T(off)间隔是可用的,反之当临界输入/输出请求减少及各请求间的间隔增加,则更多T(off)间隔可用。空闲支路60是根据活动中断反馈而进行自校的系统,及当活动电平转慢时,空闲支路60有助于提供T(off)间隔。
监示器一完成保存功率子程序250,如图2c所示,下面更详细说明,忙碌I再进入特征位就被清洗(步骤210)及控制在返回程序I160上到监示器40最初请求的任何操作系统。
现在考虑图2c,该图是一说明保存功率子程序250的操作流程,监示器40确定了输入/输出硬件高速时钟在步骤260处所处的状态。它调节现行时钟速率等于相关的高速时钟及保存了这个值,作为带有多个电平高速时钟的中央处理机之用,因此如果一个专用的中央处理机有12兆赫和6兆赫高速时钟,则监示器40在监示器40减少功率之前必需确定中央处理机处在那个高速时钟,以便当中央处理机唤醒时,监示器40可以在适当的高速时钟上恢复中央处理机。在步骤270上保存时钟速率被调到与所确定的现行时钟速率相等。当中央处理机仅有一个高速时钟时不使用保存时钟速率270,现在监示器连续到嗜眠时钟280,这时一个脉冲被送到硬件选择器(如图3所示)以便把中央处理机时钟处于嗜眠状态(即降低或停止它的时钟频率)。输入/输出部分硬件嗜眠时钟的振荡比通常所用的中央处理机时钟的要低得多。
在这一点二个事件的任何一个都能发生。一个系统/应用中断可能发生或一个实时时钟中断可能发生。如果一个系统/应用中断290发生,监示示器40进行到中断程序300,从而尽可能快的处理该中断,在步骤310中配备中断输入/输出,及返回来确定是否有一个中断(步骤320)。由于在这种情况中有一个中断,使用保存时钟速率(步 骤330)确定中央处理机回到那个高速时钟及保存功率子程序250在返回340上引出。如果没有接收到一个系统/应用中断则保存功率子程序250将继续等待,直到出现一个“实时”时钟中断(步骤320)为止。一旦出现这种中断保存功率子程序250以储存的保存时钟速率重建中央处理机。如果嗜眠时钟速率没有停止,则换言之,这嗜眠时钟速率不是零,控制以慢速通过,及保存功率子程序250将几次执行中断回路300。如果当嗜眠时钟速率是零时,控制通过,换句话说,没有时钟,一旦当中央处理机时钟回到保存时钟速率330和引出(步骤340)之前,该保存功率子程序250将执行中断回路320。
现在考虑图2d,该图示出经一操作系统使用请求中断由一应用/系统活动请求起动的活动支路70的程序图。活动支路70随再记入保护装置一道开始。不管忙碌I是否已被置于忙碌特征位,监示器40在步骤350上确定。如果它有,意味着该系统已处于空闲支路60,则不能被中断。如果忙碌I=忙碌特征位,则监示器40引出到返回程序I160,在操作系统执行请求服务后,它通过中断矢量,对旧的活动矢量中断来说,是一个间接矢量,可作正常处理。
如果但是,忙碌I特征位不等于忙碌特征位,则表示空闲支路60不通,则监示器在步骤360上确定忙碌A特征位是否已被调节到与忙碌特征位相等。如果相等,控制将在这点上返回到该系统,这是因为活动支路70已正在使用同时不可能被中断。如果忙碌A特征位还没调节,换句话说,忙碌A不等于忙碌特征位,则监示器40在步骤370上调节忙碌A等于忙碌特征位,以便在活动支路70执行期间不被中断。在步骤380上确定了功率电平。如果功率电平等于零,则该监示器40在请洗忙碌A再进入特征位(步骤390)后引出活动支路70。然而如果功率电平不等于零,则输入/输出硬件的“现行”时钟速率下一步不确定。根据图2c的步骤270是正确的,如果有一给定的中央处理机用 的多个电平高速时钟那么图2d的步骤400使用了该现行时钟速率。换句话说,现行时钟速率经常等于该中央处理机高速叶钟。在现行时钟速率被确定(步骤400)后,在步骤410上空闲滴嗒调到与为原先的确定的现行时钟,速率所建立的常数启动滴嗒数相等。T(off)间隔根据目前活动的高速时钟来建立。
监示器40下一步确定一个请求已被提出。一个请求是靠运行在计算机上的应用软件的一个输入,满足特别类型使用所需。在步骤420上,监示器40确定该请求是否是一个临界输入/输出。如果该请求是一个临界输入/输出,则它将不断地迫使T(on)加长直到T(on)大于T(off)为止,及在清洗忙碌A再进入特征位(步骤390)后监示器40将引出活动支路70。如果,另一方面,请求不是一个临界输入/输出,则活动滴嗒在步骤430上增加1。然后在步骤440上确定活动滴嗒现在是否等于活动最大滴嗒数。步骤440允许平滑来自临界输入/输出,及使系统在活动滴嗒T(on)间隔期间由另一个临界输入/输出来做准备。假定活动滴嗒不等于活动最大滴嗒数,则活动支路70在清洗忙碌A再进入特征位(步骤390)后被引出,如果;另一方面,该活动滴嗒等于恒定的活动最大滴嗒则在步骤450上活动滴嗒被置于由步骤380上所确定的专门功率电平用所建立的该恒定电平最大滴嗒数。
现在监示器40确定了一个中断表征码是否存在(步骤460)。中断表征码是由系统/应用软件设置。将表征码置于不可用状态就会产生一个连续T(on)状态。如果中断表征码等于不可用,则在这个时间上没有中断可用同时监示器在清洗忙碌A再进入特征位(步骤390)以后引出活动支路70。如果一个中断是可用,则监示器40在步骤470上确定步骤430上标明的请求是否是作慢速输入/输出中断。慢速输入/输出请求可以有延迟直到该输入/输出成“准备”状态为止。在该“作准备”操作期间,可建立一个连续的T(off)并增加执行以储存功 率。于是,如果请求不是一个慢速输入/输出,则在清洗该忙碌A再进入特征位(步骤390)以后引出活动支路70。如果,请求是一个慢速输入/输出中断,及在输入/输出变成“准备”状态之前时间还有,则监示器在步骤480上确定输入/输出请求是否完整(即是不是输入/输出已准备好?)。如果该输入/输出没有准备好,则监示器40迫使T(off)加长,从而迫使该中央处理机等待或嗜眠直到慢速输入/输出准备为止。在这点上就有时间保存功率及活动支路70进入到有关图2c所描绘的各保存功率子程序250。如果输入/输出请求是完整的,则控制在清洗忙碌A再进入特征位(步骤390)之后接着被返回到操作系统以便监示器40引出活动支路70。
自调是在连续反馈回路的控制系统之内固有的。本发明的软件能检查什么时候中央处理机活动处于低状态,从此什么时候本发明的功率储存范围可以活动,一旦功率储存监示器是活动状态,则间隔内就能获得即刻回到全速中央处理机时钟操作以便不使计算机性能降级。为了获得这个即刻返回到全速中央处理机时钟操作,本发明的最佳实施例使用一些有关硬件。
现在看图3,图3示出本发明作活动功率储存用的有关硬件的简化方块图。当监示器40(没画出)确定了中央处理机准备嗜眠时,它就写入一个输入/输出端口(未画出),该输入/输出端口在嗜眠线上产生一个脉冲。在嗜眠线上的这个脉冲的上沿使触发电路500计时一个Q为高、Q-为低的状态。这产生了和/或逻辑(和门510、520;或门530)从而从嗜眠时钟振荡器540选择行进在嗜眠时钟线的脉冲并传送到中央处理机时钟并由该时钟使用。嗜眠时钟振荡器540时钟在正常中央处理机活动期间比中央处理机时钟更慢。来自触发器500的高Q和来自嗜眠时钟振荡器540相与并和由触发器500的低Q-和沿着高速时钟线产生的脉冲相与的结果进行相或,以产生中央处理机时钟。当输入/输出 出入口设定为嗜眠时钟时,中央处理机相等于嗜眠时钟振荡器540的值。如果,另一方面,中断发生,则一个中断值清洗了触发器500,从而迫使和/或选择器(包含510,520和530)选择高速时钟值及使中央处理机时钟值返回到来自高速时钟振荡器550的值。因此,在中央处理机上任何功率储存工作期间,在系统内部检出任何中断将在引导和处理中断之前恢复该中央处理机全时钟速率工作。
应该注意:不管中央处理机能否停机等等,每个任何给定系统用的中央处理机的外部所需的有关硬件根据所用的操作系统可以是不同的。尽管如此,本发明的范围不受可能的所需的系统专门改进限制,以便使本发明能在多种可用的便携式计算机系统中有效地储存功率。例如如图4和5所示下面讨论的二个实际实施方法。
当前许多VSL1设计允许时钟转换中央处理机速度。从一个零时钟或慢时钟到快速时钟逻辑的逻辑转换是与允许用户用键盘指令改变速度的逻辑中同样的。和这种转换逻辑一起工作的监示器40的和逻辑在检出任何中断上产生一个即刻返回到快速时钟。这个简单逻辑对必需硬件支持来中断中央处理机是关键,从而允许全速中断处理。
在MS-DOS情况下降低功耗的方法使用了MS-DOS空闲回路俘获以进入到“不工作”回路。空闲回路给应用软件和处空间或低速活动的状态的操作系统提供专门进入口。要求仔细检查,以便确定系统内任何给定点上活动电平。反馈回路是根据中断21H使用请求加上使用以便确定该活动电平。活动电平的预测是由中断21H请求来确定,本发明根据该预测建立使中央处理机嗜眠的片周期(慢速下降或停止)另一个附加特征允许用户根据中断21H的活动电平修正片周期。
现在看图4,图4描绘如Intel    80386(中央处理机不能使它的时钟中止)系统之用的一个实际嗜眠硬件实施的方块图。地址赋能总线 600和地址总线610提供中央处理机输入信号到多路分配器620。多路分配器620的输出沿SLEEPCS传送及提供或门630,640的输入。或门630,640的另处输入分别为输入/输出写入控制线和输入/输出读出控制线。除了非门650之外这些门的输出都被加到D触发器660以便对进出口解码。“INTR”是由输入/输出进入口(外部设备)到非门650中的中断输入,该非门650使逻辑硬件启回到高速时钟。然后触发器660的输出,与或门630输出一起馈送到三态缓冲器670从而使其回读进入口上的数据。所有上述硬件都是用读/写、输入/输出进出口(外部设备)来使用以便选择功率保存“嗜眠”操作。输出“慢”是与图2中“嗜眠”等同及被输入到以后讨论的触发器680。
嗜眠时钟振荡器690的输出由D触发器700,710分成为二个较慢时钟。在图4中所示的专门实施中,16兆赫嗜眠时钟振荡器690分成4兆赫和8兆赫时钟。转移器J1选择那个时钟是处于“嗜眠时钟”状态。
在此专门实施中,高速时钟振荡器720是一个32兆赫振荡器,不过这个专门速度不是本发明的要求。该32兆赫振荡器与电阻(实施中所示为33欧姆)串联,该电阻与二个并联电容(10微微法)串联。结果是这样的振荡器联接到D触发器730,740的时钟。
D触发器680,730,740是同步触发器;680,730在图2中简化的嗜眠硬件中未被画出。这些触发器用来确保只在时钟边沿上发生时钟转换。正如图4中所示,由于使用图2的触发器500、触发器740的输出不是激化或门750就是或门760,这取决于中央处理机是处嗜眠(加速)状态还是处于苏醒(变慢)状态。
或门750,760和和门770是与图2中与/或门选择器的功能等效。它们负责选择慢时钟(慢速时钟、也是周知的嗜眠时钟)或高速时钟 (在进入线上指定为32兆赫)。在这种实施中,慢速时钟是4兆赫,或8兆赫,这取决于转移器J及高速时钟是32兆赫。与门770(ATU时钟)的输出产生中央处理机的速率,及等效于图2中的中央处理机时钟。
现在考虑图5,图5描绘如Intel80286系统(中央处理机能使时钟停止)用的另一个实用的嗜眠硬件实施的方块图。
西部数字设备公司制FE3600ULSI芯片用来加速和一个专门外部可编程陈列逻辑(PAL)相转换以便控制中断选通,该中断选通可唤醒任何中断状态的中央处理机。根据本发明的软件功率储存监示了该中断的接收以及激活了中断后的下一个Pi△Ti间隔。
对中央处理机的任何请求将使系统返回到正常工作。对中央处理机的一个中断请求(“INTRQ”)使PAL(可编程陈列逻辑电路)在RESCPU线上发出一唤醒信号给FE3001(未画出),该FE3001依次赋能与中央处理机和DMA时钟,使系统回到正常状态。
386    SX的实施仅是在外部硬件和软件功率储存回路中不同。软件回路将调节外部硬件在引导中断前在中断状态时转换到高速时钟。一经返回到功率储存软件,则将检出高速时钟循环及硬件将立即恢复为全时钟操作状态。
OS/2的实施采用可编程的“空作”回种作为一种“线索”以优先的低速在准备操作中运行。一旦“线索”被激活,“空做”回路则中央处理机嗜眠或低速时钟的操作将被激活,直到中断发生,把中央处理机回置到最初时钟速率。
仅管各种中断方法已被用来唤醒在本发明的最佳实施例中的中央处理机,但是应认为、系统内或加到系统上的任何周期性活动都能用作同样的功能。
当本发明的最佳实施例中的几种实施方法已被图示和说明时,各 种修改和替换实施便对在本技术领域中熟练人员来说将会发生的。因此,本文设想本发明仅限于附录的权利要求范围内。

Claims (14)

1、一种至少具有一台中央处理机,并由操作系统控制的便携式计算机的实时的功率存储方法,其特征在于下列几个步骤:
(a)接受上述操作系统的控制;
(b)确定上述的至少有一个中央处理机是否能处于嗜眠状态;
(c)确定上述的至少为一个的每个中央处理机用的现行时钟速率;
(d)保存等于上述已确定的现行时钟速率的一个值;
(e)指示一个硬件选择器使上述的至少为一个的中央处理机嗜眠;
(f)确定是否发生中断;
(g)如果中断没有发生,重复(f)步骤,其中上述中央处理机处于“嗜眠”,直至中断发生;
(h)如果已发生一个中断;则指示上述硬件选择器唤醒上述至少一个中央处理机;
(i)保持上述的保存的值等于上述已确定的现行时钟速率;
(j)调节上述现行时钟速率等于上述已保持的值;及
(k)使控制返回到上述操作系统。
2、根据权利要求1所述的实时的功率储存方法,其特征在于指示一个硬件选择器嗜眠上述至少一个中央处理机的上述步骤(e)进一步包含以下几个步骤:
(l)用一嗜眠中央处理机指令经过到上述硬件选择器的通信线,加脉冲给上述硬件选择器;
(m)根据上述嗜眠中央处理机指令由上述硬件选择器选择一个嗜眠时钟;
(n)脉冲从上述嗜眠时钟通到上述中央处理机从而在此嗜眠上述中央处理机。
3、根据权利要求1或2所述的实时的功率储存方法,其特征在于指示上述硬件选择器唤醒上述至少一个中央处理机的上述步骤(h)进一步包括以下几个步骤:
(o)用一唤醒中央处理机指令经过到达上述硬件选择器的通信线加脉冲给上述硬件选择器;
(p)根据上述唤醒中央处理机指令由上述硬件选择器选择一高速时钟;及(q)脉冲从上述高速时钟通到上述中央处理机从而在此唤醒上述中央处理机。
4、根据权利要求1或2或3所述的实时的功率储存方法,其特征在于确定上述至少一个中央处理装置是否是能嗜眠的上述步骤(b)进一步包括以下几个步骤:
(r)检查一下上述中央处理机是否已嗜眠;
(s)如果没有嗜眠,确定在中央处理机嗜眠前是否有几个中断唤醒上述中央处理机;及
(t)如果没有中断控制返回到上述操作系统。
5、根据权利要求1或2或3所述的实时的功率储存方法,其特征在于确定上述至少一个中央处理机是否能嗜眠的步骤(b)进一步包括以下几个步骤:
(r)检查看上述中央处理机是否已接收到一个请求;
(s)如果上述中央处理机已接收一个请求,则确定上述请求是否是一个临界输入/输出;
(t)如果上述请求是一个临界输入/输出,则控制返回到上述操作系统;
(u)如果上述请求不是一个临界输入/输出,则确定上述中央处理机嗜眠前是否有任何中断唤醒中央处理机;
(v)如果没有中断,则控制返回到上述操作系统;
(w)确定上述请求是否来自一个慢速输入/输出;及
(x)如果上述请求不是来自一个慢速输入/输出则控制返回到上述操作系统。
6、根据权利要求1所述的实时的功率储存方法,其特征在于指示一硬件选择器嗜眠上述至少一个中央处理机的上述步骤(e)进一步包括以下几个步骤:
(l)确定中央处理机活动中是否已增加;及
(m)根据上述步骤(l)的确定,调节中央处理机休息间隔。
7、根据权利要求1所述的实时的功率储存方法,其特征在于在上述系统之内上述中断可以是任何周期性活动。
8、一种具有一台中央处理机的计算机的功率储存装置,它包括:一个中央处理机时钟;
其特征在于,它还包括:
一个中央处理机活动检出器;
一个提供高速脉冲的第一振荡器;
一个提供低速脉冲的第二振荡器;
一个在上述第一振荡器和上述第二振荡器间作选择用的硬件选择器,其中,如果选择第一振荡器,采用上述硬件选择器接收来自第一振荡器的上述高速脉冲,或,如果选择第二振荡器,上述硬件选择器接收来自上述第二振荡器的上述低速脉冲;其中进一步采用上述硬件选择器把合适的脉冲从上述所选的振荡器传递到上述中央处理机时钟;
采用一个接收来自上述中央处理机活动检出器检出到的中央处理机活动,进一步用来发生一个脉冲给上述硬件选择器,并由此规定上述硬件选择器应选择那个振荡的中央处理机嗜眠控制器。
9、根据权利要求8的功率储存装置,其特征在于:上述中央处理机活动检出器和上述中央处理机嗜眠控制器保存在上述中央处理机内。
10、根据权利要求8所述的功率储存装置,其特征在于上述中央处理机活动检出器和上述中央处理机嗜眠控制器保存在上述中央处理机外。
11、根据权利要求8所述的功率储存装置,其特征在于:上述中央处理机嗜眠控制器保存在上述中央处理机外部,而上述处理机活动检出器保存在上述中央处理机内。
12、根据权利要求8所述的功率储存装置,其特征在于:上述中央处理机嗜眠控制器保存在上述中央处理机内,而上述中央处理机活动检出器保存在上述中央处理机外部。
13、根据权利要求8所述的功率储存装置,其特征在于:上述中央处理机活动检出器进一步包括:
一个检出由上述中央处理机接收到的临界输入/输出中断的速率用的检出器;
一个确定上述已检出的速率是否已增加的比较器;
一个根据上述比较器的结果指出中央处理机现行活动电平的指示器;及
一个将上述中央处理机现行活动电平传到上述中央处理机嗜眠控制器的指示器。
14、根据权利要求8所述的功率储存装置,其特征在于:上述中央处理机嗜眠控制器进一步包括:
一个从上述中央处理机活动检出器接收一个已检出的中央处理机现行活动电平的接收器;
一个根据上述已接收的中央处理机现行活动电平而工作的,并当上述已检出的中央处理机现行活动电平已降低时加长中央处理机休息周期的或当上述的已检出的中央处理机现行活动电平增加时缩短上述中央处理机休息周期用的调整器;
一个确定上述中央处理机的现行活动速率的,当上述中央处理机嗜眠时,保存一个等于上述现行时钟速率的值,以及当上述处理机要唤醒时保持上述已储存的时钟速率保持器;及
一个产生一个脉冲指定上述硬件选择器应选择那个振荡器的脉冲发生器。
CN90108771A 1989-10-30 1990-10-26 便携式计算机实时的功率储存 Expired - Fee Related CN1024226C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/429,270 US5218704A (en) 1989-10-30 1989-10-30 Real-time power conservation for portable computers
US429,270 1989-10-30

Publications (2)

Publication Number Publication Date
CN1054496A CN1054496A (zh) 1991-09-11
CN1024226C true CN1024226C (zh) 1994-04-13

Family

ID=23702544

Family Applications (1)

Application Number Title Priority Date Filing Date
CN90108771A Expired - Fee Related CN1024226C (zh) 1989-10-30 1990-10-26 便携式计算机实时的功率储存

Country Status (6)

Country Link
US (14) US5218704A (zh)
EP (1) EP0426410B1 (zh)
JP (1) JPH03210617A (zh)
KR (1) KR100227297B1 (zh)
CN (1) CN1024226C (zh)
DE (1) DE69027510T2 (zh)

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991000566A1 (en) * 1989-06-30 1991-01-10 Poqet Computer Corporation Computer power management system
US7194646B1 (en) * 1989-10-30 2007-03-20 Texas Instruments Incorporated Real-time thermal management for computers
US5218704A (en) 1989-10-30 1993-06-08 Texas Instruments Real-time power conservation for portable computers
US6158012A (en) 1989-10-30 2000-12-05 Texas Instruments Incorporated Real-time power conservation and thermal management for computers
US6848054B1 (en) * 1989-10-30 2005-01-25 Texas Instruments Incorporated Real-time computer thermal management and power conservation
JPH03231320A (ja) * 1990-02-06 1991-10-15 Mitsubishi Electric Corp マイクロコンピュータシステム
US5355501A (en) * 1990-03-09 1994-10-11 Novell, Inc. Idle detection system
US6782483B2 (en) * 1990-03-23 2004-08-24 Matsushita Electric Industrial Co., Ltd. Data processing apparatus
JP2762670B2 (ja) * 1990-03-30 1998-06-04 松下電器産業株式会社 データ処理装置
US5355503A (en) * 1990-05-31 1994-10-11 National Semiconductor Corporation Event driven scanning of data input equipment using multi-input wake-up techniques
US5396635A (en) * 1990-06-01 1995-03-07 Vadem Corporation Power conservation apparatus having multiple power reduction levels dependent upon the activity of the computer system
US5560017A (en) * 1990-11-09 1996-09-24 Wang Laboratories, Inc. System with clock frequency controller responsive to interrupt independent of software routine and software loop repeatedly executing instruction to slow down system clock
US5430881A (en) * 1990-12-28 1995-07-04 Dia Semicon Systems Incorporated Supervisory control method and power saving control unit for computer system
JPH04236682A (ja) * 1991-01-18 1992-08-25 Mitsubishi Electric Corp マイクロコンピュータシステム
US5566340A (en) * 1991-02-14 1996-10-15 Dell Usa L.P. Portable computer system with adaptive power control parameters
US5504907A (en) * 1991-02-14 1996-04-02 Dell Usa, L.P. Power management system with adaptive control parameters for portable computer
KR950005225B1 (ko) * 1991-03-26 1995-05-22 가부시끼가이샤 히다찌세이사꾸쇼 데이타 처리장치, 전원 콘트롤러 및 디스플레이 장치
US5432946A (en) * 1991-04-11 1995-07-11 International Business Machines Corp. LAN server personal computer with unattended activation capability
US5551033A (en) 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
US5652890A (en) * 1991-05-17 1997-07-29 Vantus Technologies, Inc. Interrupt for a protected mode microprocessor which facilitates transparent entry to and exit from suspend mode
US5394527A (en) * 1991-05-17 1995-02-28 Zenith Data Systems Corporation Method and apparatus facilitating use of a hard disk drive in a computer system having suspend/resume capability
US5414861A (en) * 1991-09-11 1995-05-09 Fujitsu Limited Data protection system using different levels of reserve power to maintain data in volatile memories for any period of time
WO1993006545A1 (en) * 1991-09-13 1993-04-01 Wang Laboratories, Inc. Power savings with ms-dos idle loop
WO1993012480A1 (en) 1991-12-17 1993-06-24 Compaq Computer Corporation Apparatus for reducing computer system power consumption
US5369771A (en) * 1991-12-23 1994-11-29 Dell U.S.A., L.P. Computer with transparent power-saving manipulation of CPU clock
US6343363B1 (en) * 1994-09-22 2002-01-29 National Semiconductor Corporation Method of invoking a low power mode in a computer system using a halt instruction
US5452401A (en) * 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
US6193422B1 (en) * 1992-04-03 2001-02-27 Nec Corporation Implementation of idle mode in a suspend/resume microprocessor system
US5469553A (en) * 1992-04-16 1995-11-21 Quantum Corporation Event driven power reducing software state machine
US5537660A (en) * 1992-04-17 1996-07-16 Intel Corporation Microcontroller having selectable bus timing modes based on primary and secondary clocks for controlling the exchange of data with memory
DE69320417T3 (de) * 1992-06-12 2004-05-19 Texas Instruments Inc., Dallas Verfahren und Gerät zur Änderung der Taktfrequenz eines Prozessors
US5404459A (en) * 1992-07-21 1995-04-04 Advanced Micro Devices Serial interface module and method in which the clock is only activated to send a predetermined number of data bits
US5581297A (en) * 1992-07-24 1996-12-03 Intelligent Instruments Corporation Low power video security monitoring system
US5613135A (en) * 1992-09-17 1997-03-18 Kabushiki Kaisha Toshiba Portable computer having dedicated register group and peripheral controller bus between system bus and peripheral controller
US5416726A (en) * 1992-10-06 1995-05-16 Microsoft Corporation Method and system for placing a computer in a reduced power state
US5339445A (en) * 1992-11-16 1994-08-16 Harris Corporation Method of autonomously reducing power consumption in a computer sytem by compiling a history of power consumption
US5485623A (en) * 1993-03-10 1996-01-16 Hitachi, Ltd. Information processor having high speed and safety resume system
US5337285A (en) * 1993-05-21 1994-08-09 Rambus, Inc. Method and apparatus for power control in devices
US5446906A (en) * 1993-06-30 1995-08-29 Intel Corporation Method and apparatus for suspending and resuming a keyboard controller
US7216064B1 (en) 1993-09-21 2007-05-08 Intel Corporation Method and apparatus for programmable thermal sensor for an integrated circuit
US5542035A (en) * 1993-10-27 1996-07-30 Elonex Technologies Timer-controlled computer system shutdown and startup
US5467042A (en) * 1993-11-08 1995-11-14 Cirrus Logic, Inc. Low power clocking apparatus and method
US6061803A (en) * 1993-11-15 2000-05-09 International Microcircuits, Inc. Variable frequency clock for an electronic system and method therefor
US5471608A (en) * 1993-12-09 1995-11-28 Pitney Bowes Inc. Dynamically programmable timer-counter having enable mode for timer data load and monitoring circuit to allow enable mode only upon time-out
US6865684B2 (en) * 1993-12-13 2005-03-08 Hewlett-Packard Development Company, L.P. Utilization-based power management of a clocked device
US5630008A (en) * 1993-12-28 1997-05-13 Mitsumi Electric Co., Ltd. Control circuit for driving motor with reduced power consumption and disk unit having the control circuit
US5706407A (en) * 1993-12-28 1998-01-06 Kabushiki Kaisha Toshiba System for reallocation of memory banks in memory sized order
US5504910A (en) * 1994-02-02 1996-04-02 Advanced Micro Devices, Inc. Power management unit including software configurable state register and time-out counters for protecting against misbehaved software
US5511203A (en) * 1994-02-02 1996-04-23 Advanced Micro Devices Power management system distinguishing between primary and secondary system activity
KR0130621B1 (ko) * 1994-04-27 1998-04-09 김광호 전자사진 현상 방식 프린터의 절전 프린팅 방법
EP0683451B1 (en) * 1994-05-09 2004-02-25 Canon Kabushiki Kaisha Power supply control method in multi-task environment
US7167993B1 (en) 1994-06-20 2007-01-23 Thomas C Douglass Thermal and power management for computer systems
US5752011A (en) * 1994-06-20 1998-05-12 Thomas; C. Douglas Method and system for controlling a processor's clock frequency in accordance with the processor's temperature
US5675810A (en) * 1994-09-07 1997-10-07 Compaq Computer Corporation Reducing power usage in a personal computer
US5511204A (en) * 1994-09-07 1996-04-23 International Business Machines Corporation Performing system tasks at power-off using system management interrupt
US5603038A (en) * 1994-09-07 1997-02-11 International Business Machines Corporation Automatic restoration of user options after power loss
US6311287B1 (en) * 1994-10-11 2001-10-30 Compaq Computer Corporation Variable frequency clock control for microprocessor-based computer systems
US5590342A (en) * 1994-11-29 1996-12-31 Intel Corporation Method and apparatus for reducing power consumption in a computer system using virtual device drivers
US5754436A (en) * 1994-12-22 1998-05-19 Texas Instruments Incorporated Adaptive power management processes, circuits and systems
US5771390A (en) * 1995-01-05 1998-06-23 Dell Usa, L.P. System and method for cascading from a power managed suspend state to a suspend-to-disk state in a computer system
US6192479B1 (en) 1995-01-19 2001-02-20 Texas Instruments Incorporated Data processing with progressive, adaptive, CPU-driven power management
US5710933A (en) * 1995-03-31 1998-01-20 International Business Machines Corporation System resource enable apparatus
JPH08314587A (ja) * 1995-05-15 1996-11-29 Nec Corp 省電力電源回路
US5926404A (en) * 1995-05-23 1999-07-20 Dell Usa, L.P. Computer system with unattended operation power-saving suspend mode
KR19990022626A (ko) 1995-06-07 1999-03-25 야스카와 히데아키 전력 절약 모드를 갖는 비디오 디스플레이 제어기 장착 컴퓨터시스템
US5752044A (en) * 1995-06-07 1998-05-12 International Business Machines Corporation Computer system having multi-level suspend timers to suspend from operation in attended and unattended modes
US5901322A (en) * 1995-06-22 1999-05-04 National Semiconductor Corporation Method and apparatus for dynamic control of clocks in a multiple clock processor, particularly for a data cache
US5727208A (en) * 1995-07-03 1998-03-10 Dell U.S.A. L.P. Method and apparatus for configuration of processor operating parameters
US6282662B1 (en) * 1995-08-04 2001-08-28 Dell Usa, L.P. Power management override for portable computers
US5983339A (en) * 1995-08-21 1999-11-09 International Business Machines Corporation Power down system and method for pipelined logic functions
US5797019A (en) * 1995-10-02 1998-08-18 International Business Machines Corporation Method and system for performance monitoring time lengths of disabled interrupts in a processing system
US5691920A (en) * 1995-10-02 1997-11-25 International Business Machines Corporation Method and system for performance monitoring of dispatch unit efficiency in a processing system
US5752062A (en) * 1995-10-02 1998-05-12 International Business Machines Corporation Method and system for performance monitoring through monitoring an order of processor events during execution in a processing system
US5748855A (en) * 1995-10-02 1998-05-05 Iinternational Business Machines Corporation Method and system for performance monitoring of misaligned memory accesses in a processing system
US5949971A (en) * 1995-10-02 1999-09-07 International Business Machines Corporation Method and system for performance monitoring through identification of frequency and length of time of execution of serialization instructions in a processing system
US5751945A (en) * 1995-10-02 1998-05-12 International Business Machines Corporation Method and system for performance monitoring stalls to identify pipeline bottlenecks and stalls in a processing system
US5729726A (en) * 1995-10-02 1998-03-17 International Business Machines Corporation Method and system for performance monitoring efficiency of branch unit operation in a processing system
KR0167648B1 (ko) * 1995-10-10 1999-01-15 김광호 일체형 컴퓨터의 전원 공급 제어 장치 및 그 방법
US5867718A (en) * 1995-11-29 1999-02-02 National Semiconductor Corporation Method and apparatus for waking up a computer system via a parallel port
US7822996B2 (en) * 1995-12-07 2010-10-26 Texas Instruments Incorporated Method for implementing thermal management in a processor and/or apparatus and/or system employing the same
US5758133A (en) * 1995-12-28 1998-05-26 Vlsi Technology, Inc. System and method for altering bus speed based on bus utilization
CN1192298C (zh) * 1996-01-17 2005-03-09 德克萨斯仪器股份有限公司 按cpu活动调节cpu时钟频率而实时管理cpu温度和节电的方法和系统
EP1416355B1 (en) * 1996-01-17 2014-08-13 Texas Instruments Incorporated Improvements in or relating to central processing units
US5754867A (en) * 1996-03-20 1998-05-19 Vlsi Technology, Inc. Method for optimizing performance versus power consumption using external/internal clock frequency ratios
US6065138A (en) * 1996-03-29 2000-05-16 Magnitude Llc Computer activity monitoring system
US5983355A (en) * 1996-05-20 1999-11-09 National Semiconductor Corporation Power conservation method and apparatus activated by detecting specific fixed interrupt signals indicative of system inactivity and excluding prefetched signals
US5887179A (en) * 1996-06-11 1999-03-23 Motorola, Inc. System power saving means and method
JP3617877B2 (ja) * 1996-07-31 2005-02-09 株式会社東芝 コンピュータシステムおよびその自動起動方法
US5903746A (en) * 1996-11-04 1999-05-11 Texas Instruments Incorporated Apparatus and method for automatically sequencing clocks in a data processing system when entering or leaving a low power state
US5790609A (en) * 1996-11-04 1998-08-04 Texas Instruments Incorporated Apparatus for cleanly switching between various clock sources in a data processing system
US6300946B1 (en) * 1997-01-29 2001-10-09 Palm, Inc. Method and apparatus for interacting with a portable computer
US6601111B1 (en) 1997-01-29 2003-07-29 Palmsource, Inc. Method and apparatus for unified external and interprocess communication
US5900875A (en) * 1997-01-29 1999-05-04 3Com Corporation Method and apparatus for interacting with a portable computer system
US5987614A (en) * 1997-06-17 1999-11-16 Vadem Distributed power management system and method for computer
US6115823A (en) * 1997-06-17 2000-09-05 Amphus, Inc. System and method for task performance based dynamic distributed power management in a computer system and design method therefor
US6188830B1 (en) 1997-07-14 2001-02-13 Sony Corporation Audiovisual effects processing method and apparatus for instantaneous storage-based playback of audio data in synchronization with video data
EP0902353B1 (fr) * 1997-09-12 2003-06-11 EM Microelectronic-Marin SA Moyens pour réveiller un système fonctionnant en mode "sleep"
US6954804B2 (en) * 1998-03-26 2005-10-11 Micro, Inc. Controller for portable electronic devices
US6895448B2 (en) 1998-03-26 2005-05-17 O2 Micro, Inc. Low-power audio CD player for portable computers
US6675233B1 (en) * 1998-03-26 2004-01-06 O2 Micro International Limited Audio controller for portable electronic devices
US6243785B1 (en) * 1998-05-20 2001-06-05 3Com Corporation Hardware assisted polling for software drivers
US6345363B1 (en) 1998-06-23 2002-02-05 National Semiconductor Corporation Microprocessor core power reduction by not reloading existing operands
WO2000010072A1 (de) * 1998-08-14 2000-02-24 Siemens Aktiengesellschaft Verfahren und anordnung zur taktversorgung prozessorgesteuerter geräte
US6378081B1 (en) * 1998-10-01 2002-04-23 Gateway, Inc. Power conservation without performance reduction in a power-managed system
FI117523B (fi) 1998-10-07 2006-11-15 Nokia Corp Menetelmä tehonkulutuksen säätämiseksi
US6088806A (en) * 1998-10-20 2000-07-11 Seiko Epson Corporation Apparatus and method with improved power-down mode
US6347377B2 (en) * 1998-11-04 2002-02-12 Phoenix Technologies Ltd. Method and apparatus for providing intelligent power management
US6324651B2 (en) 1998-11-12 2001-11-27 International Business Machines Corporation Method and apparatus for saving device state while a computer system is in sleep mode
KR100304196B1 (ko) * 1998-11-18 2001-12-17 윤종용 정지모드해제오류검출기능및정상모드로의복구기능을갖는마이크로컨트롤러
US6298448B1 (en) 1998-12-21 2001-10-02 Siemens Information And Communication Networks, Inc. Apparatus and method for automatic CPU speed control based on application-specific criteria
JP3526009B2 (ja) 1999-02-09 2004-05-10 インターナショナル・ビジネス・マシーンズ・コーポレーション コンピュータ・システムにおける電力管理装置および電力管理方法
US6523157B1 (en) 1999-04-30 2003-02-18 Matsushita Electric Industrial Co., Ltd. Method for designing integrated circuit device and database for design of integrated circuit device
US6374169B1 (en) * 1999-09-23 2002-04-16 Caterpillar Inc. Apparatus and method for conserving power on an earth moving machine having a mobile communicator
US6675304B1 (en) * 1999-11-29 2004-01-06 Intel Corporation System for transitioning a processor from a higher to a lower activity state by switching in and out of an impedance on the voltage regulator
US7100061B2 (en) 2000-01-18 2006-08-29 Transmeta Corporation Adaptive power control
JP3538358B2 (ja) * 2000-02-17 2004-06-14 三菱電機株式会社 プログラマブル・コントローラ
US6665802B1 (en) 2000-02-29 2003-12-16 Infineon Technologies North America Corp. Power management and control for a microcontroller
US6574739B1 (en) * 2000-04-14 2003-06-03 Compal Electronics, Inc. Dynamic power saving by monitoring CPU utilization
KR100361340B1 (ko) * 2000-05-15 2002-12-05 엘지전자 주식회사 씨피유 클럭 제어 방법
US6603223B2 (en) * 2000-05-24 2003-08-05 Seiko Instruments Inc. Electronic apparatus having dual-mode load circuit
US7849463B2 (en) 2000-06-02 2010-12-07 Microsoft Corporation Dynamically variable idle time thread scheduling
US7137117B2 (en) * 2000-06-02 2006-11-14 Microsoft Corporation Dynamically variable idle time thread scheduling
JP2001350739A (ja) * 2000-06-07 2001-12-21 Mitsubishi Electric Corp マイクロコンピュータ
US6968469B1 (en) 2000-06-16 2005-11-22 Transmeta Corporation System and method for preserving internal processor context when the processor is powered down and restoring the internal processor context when processor is restored
KR100613201B1 (ko) * 2000-08-28 2006-08-18 마이크로코넥트 엘엘씨 씨피유 사용량 측정 방법
US6608476B1 (en) * 2000-09-26 2003-08-19 Sun Microsystems, Inc. Method and apparatus for reducing power consumption
US7822967B2 (en) * 2000-09-27 2010-10-26 Huron Ip Llc Apparatus, architecture, and method for integrated modular server system providing dynamically power-managed and work-load managed network devices
USRE40866E1 (en) 2000-09-27 2009-08-04 Huron Ip Llc System, method, and architecture for dynamic server power management and dynamic workload management for multiserver environment
US7228441B2 (en) 2000-09-27 2007-06-05 Huron Ip Llc Multi-server and multi-CPU power management system and method
US7032119B2 (en) * 2000-09-27 2006-04-18 Amphus, Inc. Dynamic power and workload management for multi-server system
US7058826B2 (en) 2000-09-27 2006-06-06 Amphus, Inc. System, architecture, and method for logical server and other network devices in a dynamically configurable multi-server network environment
US20070245165A1 (en) * 2000-09-27 2007-10-18 Amphus, Inc. System and method for activity or event based dynamic energy conserving server reconfiguration
US6910139B2 (en) * 2000-10-02 2005-06-21 Fujitsu Limited Software processing apparatus with a switching processing unit for displaying animation images in an environment operating base on type of power supply
US7260731B1 (en) * 2000-10-23 2007-08-21 Transmeta Corporation Saving power when in or transitioning to a static mode of a processor
US6735707B1 (en) * 2000-10-27 2004-05-11 Sun Microsystems, Inc. Hardware architecture for a multi-mode power management system using a constant time reference for operating system support
US7522965B2 (en) 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7522964B2 (en) 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7890741B2 (en) * 2000-12-01 2011-02-15 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7526349B2 (en) * 2000-12-01 2009-04-28 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7522966B2 (en) * 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US6694451B2 (en) 2000-12-07 2004-02-17 Hewlett-Packard Development Company, L.P. Method for redundant suspend to RAM
US6694442B2 (en) * 2000-12-18 2004-02-17 Asustek Computer Inc. Method for saving power in a computer by idling system controller and reducing frequency of host clock signal used by system controller
US7164885B2 (en) * 2000-12-18 2007-01-16 Telefonaktiebolaget L M Ericsson (Publ) Method and apparatus for selective service access
US6671658B2 (en) * 2000-12-23 2003-12-30 Hewlett-Packard Development Company, L.P Method for service level estimation in an operating computer system
US6802018B2 (en) * 2000-12-27 2004-10-05 Intel Corporation Method and apparatus to directly access a peripheral device when central processor operations are suspended
US6802015B2 (en) * 2000-12-29 2004-10-05 Hewlett-Packard Development Company, L.P. Method for accelerating the speed of a CPU using a system command having an operation not associated with changing the speed of the CPU
US6829713B2 (en) * 2000-12-30 2004-12-07 Intel Corporation CPU power management based on utilization with lowest performance mode at the mid-utilization range
US7596709B2 (en) * 2000-12-30 2009-09-29 Intel Corporation CPU power management based on utilization with lowest performance mode at the mid-utilization range
US6851068B2 (en) * 2001-01-17 2005-02-01 Sun Microsystems, Inc. System for remotely controlling power cycling of a peripheral expansion subsystem by a host
US6715089B2 (en) * 2001-01-22 2004-03-30 Ati International Srl Reducing power consumption by estimating engine load and reducing engine clock speed
US7301987B2 (en) * 2001-02-08 2007-11-27 Intel Corporation Background processing and searching for a communication channel
US6823224B2 (en) * 2001-02-21 2004-11-23 Freescale Semiconductor, Inc. Data processing system having an on-chip background debug system and method therefor
US20020138778A1 (en) * 2001-03-22 2002-09-26 Cole James R. Controlling CPU core voltage to reduce power consumption
US20030196126A1 (en) * 2002-04-11 2003-10-16 Fung Henry T. System, method, and architecture for dynamic server power management and dynamic workload management for multi-server environment
US6720673B2 (en) * 2001-04-11 2004-04-13 International Business Machines Corporation Voltage island fencing
TW544797B (en) * 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP3687740B2 (ja) * 2001-04-18 2005-08-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 電源供給システム、コンピュータ装置、および最大電力制御方法
JP3612033B2 (ja) * 2001-04-20 2005-01-19 パナソニック コミュニケーションズ株式会社 ホーム・ゲートウェイ装置
US7254721B1 (en) * 2001-05-01 2007-08-07 Advanced Micro Devices, Inc. System and method for controlling an intergrated circuit to enter a predetermined performance state by skipping all intermediate states based on the determined utilization of the intergrated circuit
US20060248360A1 (en) * 2001-05-18 2006-11-02 Fung Henry T Multi-server and multi-CPU power management system and method
US7000138B1 (en) * 2001-06-07 2006-02-14 Cirrus Logic, Inc Circuits and methods for power management in a processor-based system and systems using the same
US6622253B2 (en) 2001-08-02 2003-09-16 Scientific-Atlanta, Inc. Controlling processor clock rate based on thread priority
US6661410B2 (en) * 2001-09-07 2003-12-09 Microsoft Corporation Capacitive sensing and data input device power management
JP4870292B2 (ja) * 2001-09-27 2012-02-08 ラピスセミコンダクタ株式会社 割り込み処理可能な情報処理装置
US20030065497A1 (en) * 2001-09-28 2003-04-03 Rhoads Monte J. Power management system to select a power state for a network computer system based on load
US7111178B2 (en) * 2001-09-28 2006-09-19 Intel Corporation Method and apparatus for adjusting the voltage and frequency to minimize power dissipation in a multiprocessor system
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US6693477B2 (en) 2001-10-22 2004-02-17 Research In Motion Limited Clock circuit for a microprocessor
US6785831B2 (en) * 2001-12-06 2004-08-31 Matsushita Electric Industrial Co., Ltd. Power consumption management apparatus
US7114086B2 (en) * 2002-01-04 2006-09-26 Ati Technologies, Inc. System for reduced power consumption by monitoring instruction buffer and method thereof
US6703599B1 (en) * 2002-01-30 2004-03-09 Microsoft Corporation Proximity sensor with adaptive threshold
US7349995B2 (en) * 2002-03-07 2008-03-25 Intel Corporation Computing device with scalable logic block to respond to data transfer requests
US7920897B2 (en) * 2002-03-14 2011-04-05 Intel Corporation Interference suppression in computer radio modems
US7670224B2 (en) * 2002-04-03 2010-03-02 Igt Gaming apparatus with power saving feature
US7290246B2 (en) * 2002-04-04 2007-10-30 Texas Instruments Incorporated Power profiling system and method for correlating runtime information
US7336090B1 (en) * 2002-04-16 2008-02-26 Transmeta Corporation Frequency specific closed loop feedback control of integrated circuits
US7941675B2 (en) * 2002-12-31 2011-05-10 Burr James B Adaptive power control
US7180322B1 (en) 2002-04-16 2007-02-20 Transmeta Corporation Closed loop feedback control of integrated circuits
US6924667B2 (en) 2002-07-19 2005-08-02 O2Micro International Limited Level shifting and level-shifting amplifier circuits
US6954867B2 (en) 2002-07-26 2005-10-11 Microsoft Corporation Capacitive sensing employing a repeatable offset charge
KR100471181B1 (ko) * 2002-08-20 2005-03-10 삼성전자주식회사 소모 전력에 따라 동작 성능을 최적화할 수 있는 집적회로 장치
US6748299B1 (en) 2002-09-17 2004-06-08 Ricoh Company, Ltd. Approach for managing power consumption in buildings
US7209805B2 (en) * 2002-09-17 2007-04-24 Ricoh Company Ltd. Approach for managing power consumption of network devices
DE10251806A1 (de) * 2002-11-07 2004-05-19 Philips Intellectual Property & Standards Gmbh Schaltungsanordnung für einen Mikrocontroller und Verfahren zum Betreiben eines Fernsteuerungsempfängers
US7321942B2 (en) * 2002-11-12 2008-01-22 Arm Limited Performance counter for adding variable work increment value that is dependent upon clock frequency
US7131015B2 (en) 2002-11-12 2006-10-31 Arm Limited Performance level selection in a data processing system using a plurality of performance request calculating algorithms
GB2395309A (en) * 2002-11-12 2004-05-19 Advanced Risc Mach Ltd Performance level selection in a data processing system
US7886164B1 (en) 2002-11-14 2011-02-08 Nvidia Corporation Processor temperature adjustment system and method
US7882369B1 (en) 2002-11-14 2011-02-01 Nvidia Corporation Processor performance adjustment system and method
US7798033B2 (en) * 2002-11-14 2010-09-21 Ims Gear Gmbh Power-assisted steering having a gear mechanism
US7849332B1 (en) 2002-11-14 2010-12-07 Nvidia Corporation Processor voltage adjustment system and method
US7043649B2 (en) * 2002-11-20 2006-05-09 Portalplayer, Inc. System clock power management for chips with multiple processing modules
US7953990B2 (en) * 2002-12-31 2011-05-31 Stewart Thomas E Adaptive power control based on post package characterization of integrated circuits
US7642835B1 (en) 2003-11-12 2010-01-05 Robert Fu System for substrate potential regulation during power-up in integrated circuits
US7228242B2 (en) * 2002-12-31 2007-06-05 Transmeta Corporation Adaptive power control based on pre package characterization of integrated circuits
US7949864B1 (en) 2002-12-31 2011-05-24 Vjekoslav Svilan Balanced adaptive body bias control
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7786756B1 (en) 2002-12-31 2010-08-31 Vjekoslav Svilan Method and system for latchup suppression
GB2397142B (en) * 2003-01-13 2006-01-04 Advanced Risc Mach Ltd Data processing performance control
KR20050085962A (ko) * 2003-01-13 2005-08-29 에이알엠 리미티드 데이터 처리성능 제어
KR100510861B1 (ko) * 2003-01-18 2005-08-31 디지피아(주) 직교 주파수 분할 다중 전송 시스템에서의 훈련 신호 결정방법 및 그 훈련 신호를 이용한 직교 주파수 분할 다중수신기와 수신 방법
US7152170B2 (en) * 2003-02-20 2006-12-19 Samsung Electronics Co., Ltd. Simultaneous multi-threading processor circuits and computer program products configured to operate at different performance levels based on a number of operating threads and methods of operating
TWI261198B (en) * 2003-02-20 2006-09-01 Samsung Electronics Co Ltd Simultaneous multi-threading processor circuits and computer program products configured to operate at different performance levels based on a number of operating threads and methods of operating
US7240223B2 (en) * 2003-05-07 2007-07-03 Apple Inc. Method and apparatus for dynamic power management in a processor system
CN1311316C (zh) * 2003-06-16 2007-04-18 纬创资通股份有限公司 控制电脑系统的操作模式的方法和装置
TWI242133B (en) * 2003-12-02 2005-10-21 Via Tech Inc Interrupt signal control method
US7649402B1 (en) 2003-12-23 2010-01-19 Tien-Min Chen Feedback-controlled body-bias voltage source
US7012461B1 (en) 2003-12-23 2006-03-14 Transmeta Corporation Stabilization component for a substrate potential regulation circuit
US7129771B1 (en) 2003-12-23 2006-10-31 Transmeta Corporation Servo loop for well bias voltage source
US7692477B1 (en) 2003-12-23 2010-04-06 Tien-Min Chen Precise control component for a substrate potential regulation circuit
US7859062B1 (en) 2004-02-02 2010-12-28 Koniaris Kleanthes G Systems and methods for integrated circuits comprising multiple body biasing domains
US7816742B1 (en) 2004-09-30 2010-10-19 Koniaris Kleanthes G Systems and methods for integrated circuits comprising multiple body biasing domains
US7616663B1 (en) * 2004-03-04 2009-11-10 Verizon Corporate Services Group, Inc. Method and apparatus for information dissemination
US7038506B2 (en) * 2004-03-23 2006-05-02 Stmicroelectronics Pvt. Ltd. Automatic selection of an on-chip ancillary internal clock generator upon resetting a digital system
US7698575B2 (en) * 2004-03-30 2010-04-13 Intel Corporation Managing power consumption by requesting an adjustment to an operating point of a processor
US7562233B1 (en) * 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7774625B1 (en) 2004-06-22 2010-08-10 Eric Chien-Li Sheng Adaptive voltage control by accessing information stored within and specific to a microprocessor
US7343502B2 (en) * 2004-07-26 2008-03-11 Intel Corporation Method and apparatus for dynamic DLL powerdown and memory self-refresh
EP1626328A1 (en) * 2004-08-13 2006-02-15 Dialog Semiconductor GmbH Power saving during idle loop
US7761874B2 (en) * 2004-08-13 2010-07-20 Intel Corporation Managing processing system power and performance based on utilization trends
US7388248B2 (en) * 2004-09-01 2008-06-17 Micron Technology, Inc. Dielectric relaxation memory
US7249269B1 (en) 2004-09-10 2007-07-24 Ricoh Company, Ltd. Method of pre-activating network devices based upon previous usage data
WO2006050747A1 (en) * 2004-11-10 2006-05-18 Freescale Semiconductor, Inc. Apparatus and method for controlling voltage and frequency using multiple reference circuits
US20060179172A1 (en) * 2005-01-28 2006-08-10 Texas Instruments Incorporated Method and system for reducing power consumption of a direct memory access controller
US7739531B1 (en) 2005-03-04 2010-06-15 Nvidia Corporation Dynamic voltage scaling
US20060203411A1 (en) * 2005-03-08 2006-09-14 Fourie Julius W Multi-Purpose Interrupter for Cathodic Protection Systems
JP2006338204A (ja) * 2005-05-31 2006-12-14 Toshiba Corp 情報処理装置、および省電力制御方法
KR100653065B1 (ko) * 2005-07-21 2006-12-01 삼성전자주식회사 전자기기 시스템 및 그 제어방법
US7421600B2 (en) * 2005-07-29 2008-09-02 Silicon Integrated Systems Corp. Power saving method
US7386647B2 (en) * 2005-10-14 2008-06-10 Dell Products L.P. System and method for processing an interrupt in a processor supporting multithread execution
JP2007156926A (ja) * 2005-12-06 2007-06-21 Matsushita Electric Ind Co Ltd 割込制御装置
US7698490B2 (en) * 2005-12-21 2010-04-13 Nvidia Corporation Passive USB power configuration switching
US7689838B2 (en) * 2005-12-22 2010-03-30 Intel Corporation Method and apparatus for providing for detecting processor state transitions
JP4771828B2 (ja) * 2006-02-24 2011-09-14 富士通セミコンダクター株式会社 電源装置の制御回路、電源装置及びその制御方法
US20070204268A1 (en) * 2006-02-27 2007-08-30 Red. Hat, Inc. Methods and systems for scheduling processes in a multi-core processor environment
US7490256B2 (en) * 2006-04-04 2009-02-10 Microsoft Corporation Identifying a target processor idle state
US20070266385A1 (en) * 2006-05-11 2007-11-15 Arm Limited Performance level setting in a data processing system
US7414550B1 (en) 2006-06-30 2008-08-19 Nvidia Corporation Methods and systems for sample rate conversion and sample clock synchronization
GB2445167A (en) * 2006-12-29 2008-07-02 Advanced Risc Mach Ltd Managing performance of a processor
US7504895B2 (en) * 2007-04-10 2009-03-17 Texas Instruments Incorporated Multi-phase interleaved oscillator
US9134782B2 (en) 2007-05-07 2015-09-15 Nvidia Corporation Maintaining optimum voltage supply to match performance of an integrated circuit
US8725488B2 (en) * 2007-07-26 2014-05-13 Qualcomm Incorporated Method and apparatus for adaptive voltage scaling based on instruction usage
US9209792B1 (en) 2007-08-15 2015-12-08 Nvidia Corporation Clock selection system and method
US8156353B2 (en) * 2007-09-17 2012-04-10 Intel Corporation Techniques for communications power management based on system states
US8661167B2 (en) * 2007-09-17 2014-02-25 Intel Corporation DMA (direct memory access) coalescing
US7962679B2 (en) * 2007-09-28 2011-06-14 Intel Corporation Interrupt balancing for multi-core and power
JP5226010B2 (ja) * 2007-12-10 2013-07-03 パナソニック株式会社 共有キャッシュ制御装置、共有キャッシュ制御方法及び集積回路
US9088176B2 (en) * 2007-12-17 2015-07-21 Nvidia Corporation Power management efficiency using DC-DC and linear regulators in conjunction
US8327173B2 (en) * 2007-12-17 2012-12-04 Nvidia Corporation Integrated circuit device core power down independent of peripheral device operation
US20090164818A1 (en) * 2007-12-19 2009-06-25 Kwa Seh W Activity window notification protocol
US9411390B2 (en) 2008-02-11 2016-08-09 Nvidia Corporation Integrated circuit device having power domains and partitions based on use case power optimization
US8370663B2 (en) * 2008-02-11 2013-02-05 Nvidia Corporation Power management with dynamic frequency adjustments
DE102008013293B4 (de) 2008-03-07 2010-11-04 Udo Elliger Dämpferhebel für eine Dämpferanordnung für Flügel sowie Dämpferanordnung
US9423846B2 (en) 2008-04-10 2016-08-23 Nvidia Corporation Powered ring to maintain IO state independent of the core of an integrated circuit device
US8762759B2 (en) * 2008-04-10 2014-06-24 Nvidia Corporation Responding to interrupts while in a reduced power state
US20090327656A1 (en) * 2008-05-16 2009-12-31 Dan Baum Efficiency-based determination of operational characteristics
US8086882B2 (en) * 2008-06-29 2011-12-27 Microsoft Corporation Energy measurement techniques for computing systems
US20100017583A1 (en) * 2008-07-15 2010-01-21 International Business Machines Corporation Call Stack Sampling for a Multi-Processor System
US9418005B2 (en) 2008-07-15 2016-08-16 International Business Machines Corporation Managing garbage collection in a data processing system
US8386807B2 (en) * 2008-09-30 2013-02-26 Intel Corporation Power management for processing unit
JP2010097277A (ja) * 2008-10-14 2010-04-30 Toshiba Corp 情報処理装置
US8336762B1 (en) 2008-11-17 2012-12-25 Greenwise Bankcard LLC Payment transaction processing
US8181049B2 (en) * 2009-01-16 2012-05-15 Freescale Semiconductor, Inc. Method for controlling a frequency of a clock signal to control power consumption and a device having power consumption capabilities
TW201034403A (en) * 2009-03-12 2010-09-16 Ind Tech Res Inst Computer program product and recording medium for determining method of switching sleep mode
US20100250986A1 (en) * 2009-03-27 2010-09-30 Motorola, Inc. Method and Device for Improving Battery Life of a Mobile Computing Device
US8121958B2 (en) 2009-06-08 2012-02-21 Ricoh Company, Ltd. Approach for determining alternative printing device arrangements
US8488951B2 (en) * 2009-07-09 2013-07-16 Nvidia Corporation Multimedia framework to provide ultra-low power multimedia playback
US8688826B2 (en) * 2009-11-30 2014-04-01 Motorola Mobility Llc Mobile computing device and method with intelligent pushing management
US9256265B2 (en) 2009-12-30 2016-02-09 Nvidia Corporation Method and system for artificially and dynamically limiting the framerate of a graphics processing unit
US9830889B2 (en) 2009-12-31 2017-11-28 Nvidia Corporation Methods and system for artifically and dynamically limiting the display resolution of an application
US9176783B2 (en) 2010-05-24 2015-11-03 International Business Machines Corporation Idle transitions sampling with execution context
US8839006B2 (en) 2010-05-28 2014-09-16 Nvidia Corporation Power consumption reduction systems and methods
US8843684B2 (en) 2010-06-11 2014-09-23 International Business Machines Corporation Performing call stack sampling by setting affinity of target thread to a current process to prevent target thread migration
US8799872B2 (en) 2010-06-27 2014-08-05 International Business Machines Corporation Sampling with sample pacing
US20120042313A1 (en) * 2010-08-13 2012-02-16 Weng-Hang Tam System having tunable performance, and associated method
US8799904B2 (en) 2011-01-21 2014-08-05 International Business Machines Corporation Scalable system call stack sampling
US8862924B2 (en) 2011-11-15 2014-10-14 Advanced Micro Devices, Inc. Processor with power control via instruction issuance
US8775838B2 (en) * 2012-02-01 2014-07-08 Texas Instruments Incorporated Limiting the number of unexpected wakeups in a computer system implementing a power-saving preemptive wakeup method from historical data
US9275690B2 (en) 2012-05-30 2016-03-01 Tahoe Rf Semiconductor, Inc. Power management in an electronic system through reducing energy usage of a battery and/or controlling an output power of an amplifier thereof
US9509351B2 (en) 2012-07-27 2016-11-29 Tahoe Rf Semiconductor, Inc. Simultaneous accommodation of a low power signal and an interfering signal in a radio frequency (RF) receiver
US9395799B2 (en) 2012-08-09 2016-07-19 Nvidia Corporation Power management techniques for USB interfaces
US9471395B2 (en) 2012-08-23 2016-10-18 Nvidia Corporation Processor cluster migration techniques
US8947137B2 (en) 2012-09-05 2015-02-03 Nvidia Corporation Core voltage reset systems and methods with wide noise margin
US9575542B2 (en) * 2013-01-31 2017-02-21 Hewlett Packard Enterprise Development Lp Computer power management
US9837714B2 (en) 2013-03-15 2017-12-05 Integrated Device Technology, Inc. Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through a circular configuration thereof
US9716315B2 (en) 2013-03-15 2017-07-25 Gigpeak, Inc. Automatic high-resolution adaptive beam-steering
US9184498B2 (en) 2013-03-15 2015-11-10 Gigoptix, Inc. Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through fine control of a tunable frequency of a tank circuit of a VCO thereof
US9780449B2 (en) 2013-03-15 2017-10-03 Integrated Device Technology, Inc. Phase shift based improved reference input frequency signal injection into a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation to reduce a phase-steering requirement during beamforming
US9666942B2 (en) 2013-03-15 2017-05-30 Gigpeak, Inc. Adaptive transmit array for beam-steering
US9722310B2 (en) 2013-03-15 2017-08-01 Gigpeak, Inc. Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through frequency multiplication
US9531070B2 (en) 2013-03-15 2016-12-27 Christopher T. Schiller Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through accommodating differential coupling between VCOs thereof
US9395784B2 (en) * 2013-04-25 2016-07-19 Intel Corporation Independently controlling frequency of plurality of power domains in a processor system
US9354943B2 (en) 2014-03-19 2016-05-31 International Business Machines Corporation Power management for multi-core processing systems
US9760158B2 (en) * 2014-06-06 2017-09-12 Intel Corporation Forcing a processor into a low power state
CN104490478A (zh) 2015-01-09 2015-04-08 王小楠 医用射线定位薄膜及定位便捷的病变处拍照方法
US9921639B2 (en) 2015-06-25 2018-03-20 International Business Machines Corporation Clustering execution in a processing system to increase power savings
US9575554B1 (en) 2015-12-15 2017-02-21 International Business Machines Corporation Dynamic time sliced sensor sampling for reduced power consumption
CN105718320B (zh) * 2016-01-18 2020-11-06 华为技术有限公司 一种时钟任务处理方法、装置及设备
US10499337B1 (en) 2017-04-10 2019-12-03 Alarm.Com Incorporated Tracking device battery conservation
US10664424B2 (en) 2017-11-02 2020-05-26 Texas Instruments Incorporated Digital bus activity monitor
US10761584B2 (en) 2018-03-16 2020-09-01 Vigyanlabs Innovations Private Limited System and method to enable prediction-based power management
JP6569185B1 (ja) * 2018-06-14 2019-09-04 レノボ・シンガポール・プライベート・リミテッド 情報処理装置、情報処理装置の制御方法およびプログラム
US10795850B2 (en) 2019-02-26 2020-10-06 Texas Instruments Incorporated Methods and apparatus to transition devices between operational states

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3453601A (en) * 1966-10-18 1969-07-01 Philco Ford Corp Two speed arithmetic calculator
US3623017A (en) * 1969-10-22 1971-11-23 Sperry Rand Corp Dual clocking arrangement for a digital computer
NL7207216A (zh) * 1972-05-27 1973-11-29
US3922526A (en) * 1973-02-02 1975-11-25 Texas Instruments Inc Driver means for lsi calculator to reduce power consumption
US3941989A (en) * 1974-12-13 1976-03-02 Mos Technology, Inc. Reducing power consumption in calculators
US3922528A (en) * 1975-03-10 1975-11-25 Rama Corp Thermostat heater
DE2615306C2 (de) * 1976-04-08 1982-06-03 Vereinigte Flugtechnische Werke Gmbh, 2800 Bremen Meßdatenerfassungs- und Verarbeitungsanlage
JPS533120A (en) * 1976-06-30 1978-01-12 Canon Inc Control circuit
US4137583A (en) * 1976-09-30 1979-02-06 Baldwin/Green Inc. Gymnastic mat
GB1561961A (en) * 1977-04-20 1980-03-05 Int Computers Ltd Data processing units
US4408665A (en) * 1977-05-03 1983-10-11 Equity Oil Company In situ recovery of oil and gas from water-flooded oil shale formations
JPS54144152A (en) * 1978-04-28 1979-11-10 Sharp Corp Integrated circuit device
US4279020A (en) * 1978-08-18 1981-07-14 Bell Telephone Laboratories, Incorporated Power supply circuit for a data processor
US4381552A (en) * 1978-12-08 1983-04-26 Motorola Inc. Stanby mode controller utilizing microprocessor
US4254475A (en) * 1979-03-12 1981-03-03 Raytheon Company Microprocessor having dual frequency clock
US4361873A (en) * 1979-06-11 1982-11-30 Texas Instruments Incorporated Calculator with constant memory
US4748559A (en) * 1979-08-09 1988-05-31 Motorola, Inc. Apparatus for reducing power consumed by a static microprocessor
US4758945A (en) * 1979-08-09 1988-07-19 Motorola, Inc. Method for reducing power consumed by a static microprocessor
US4287577A (en) * 1979-09-27 1981-09-01 Communications Satellite Corporation Interleaved TDMA terrestrial interface buffer
US4316247A (en) * 1979-10-30 1982-02-16 Texas Instruments, Inc. Low power consumption data processing system
US4293927A (en) * 1979-12-12 1981-10-06 Casio Computer Co., Ltd. Power consumption control system for electronic digital data processing devices
US4317180A (en) * 1979-12-26 1982-02-23 Texas Instruments Incorporated Clocked logic low power standby mode
US4409665A (en) * 1979-12-26 1983-10-11 Texas Instruments Incorporated Turn-off-processor between keystrokes
US4317181A (en) * 1979-12-26 1982-02-23 Texas Instruments Incorporated Four mode microcomputer power save operation
JPS6017130B2 (ja) 1980-06-06 1985-05-01 日本電気株式会社 アドレス制御装置
US4381873A (en) * 1980-08-12 1983-05-03 Occidental Research Corp. In situ roasting and leaching of sulfide minerals
JPS5775335A (en) * 1980-10-27 1982-05-11 Hitachi Ltd Data processor
US4409865A (en) * 1981-01-15 1983-10-18 Unex Corporation Continuous ratchet drive
JPS5865950A (ja) * 1981-10-14 1983-04-19 Nippon Denso Co Ltd 内燃機関の制御方法
JPS58127262A (ja) * 1982-01-25 1983-07-29 Toshiba Corp マイクロコンピユ−タ
US4590583A (en) * 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4615008A (en) * 1982-12-22 1986-09-30 United Technologies Corporation Pulse record data capture for electrostatic engine diagnostics
JPS59135569A (ja) * 1983-01-24 1984-08-03 Sharp Corp マルチプロセツサの制御方式
JPS59200326A (ja) * 1983-04-26 1984-11-13 Nec Corp データ処理装置
JPS59200327A (ja) * 1983-04-26 1984-11-13 Nec Corp 周辺装置の制御方式
JPS59231966A (ja) * 1983-06-14 1984-12-26 Tamura Electric Works Ltd 公衆電話機の処理制御方式
US4698748A (en) * 1983-10-07 1987-10-06 Essex Group, Inc. Power-conserving control system for turning-off the power and the clocking for data transactions upon certain system inactivity
US4780843A (en) * 1983-11-07 1988-10-25 Motorola, Inc. Wait mode power reduction system and method for data processor
US4893271A (en) * 1983-11-07 1990-01-09 Motorola, Inc. Synthesized clock microcomputer with power saving
US4819164A (en) * 1983-12-12 1989-04-04 Texas Instruments Incorporated Variable frequency microprocessor clock generator
AU560995B2 (en) * 1984-02-07 1987-04-30 Toshiba, Kabushiki Kaisha Process control apparatus
JPS60198618A (ja) * 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd ダイナミツク論理回路
US4670837A (en) * 1984-06-25 1987-06-02 American Telephone And Telegraph Company Electrical system having variable-frequency clock
US5179693A (en) * 1985-03-29 1993-01-12 Fujitsu Limited System for controlling operation of processor by adjusting duty cycle of performance control pulse based upon target performance value
US4641440A (en) * 1985-04-30 1987-02-10 Vladimir Agranov Map holder
US4821229A (en) * 1985-12-12 1989-04-11 Zenith Electronics Corporation Dual operating speed switchover arrangement for CPU
US4745559A (en) * 1985-12-27 1988-05-17 Reuters Limited Method and system for dynamically controlling the content of a local receiver data base from a transmitted data base in an information retrieval communication network
US5086387A (en) * 1986-01-17 1992-02-04 International Business Machines Corporation Multi-frequency clock generation with low state coincidence upon latching
US4851987A (en) * 1986-01-17 1989-07-25 International Business Machines Corporation System for reducing processor power consumption by stopping processor clock supply if a desired event does not occur
GB2194082A (en) * 1986-08-18 1988-02-24 Philips Nv Data processing apparatus with energy saving clocking device
US4870837A (en) * 1986-09-18 1989-10-03 Weins Janine J Device for maintaining the chill on a bottle of wine
SE464855B (sv) * 1986-09-29 1991-06-24 Asea Ab Foerfarande vid en industrirobot foer kalibrering av en sensor
JPS63163912A (ja) * 1986-12-26 1988-07-07 Toshiba Corp マイクロコンピユ−タシステム
US4814591A (en) * 1987-04-13 1989-03-21 Kabushiki Kaisha Toshiba Portable medium
US5027290A (en) * 1987-05-28 1991-06-25 Digital Equipment Corporation Computer workstation including video update arrangement
US4812418A (en) * 1987-11-27 1989-03-14 Motorola, Inc. Micron and submicron patterning without using a lithographic mask having submicron dimensions
US4924428A (en) * 1987-12-08 1990-05-08 Northern Telecom Limited Real time digital signal processor idle indicator
NL8801036A (nl) * 1988-04-21 1989-11-16 Stamicarbon Werkwijze voor de bereiding van cyclohexanol en/of cyclohexanon.
DK174975B1 (da) * 1988-05-06 2004-04-05 Toppan Printing Co Ltd Integreret kredsløbskort
US5025387A (en) * 1988-09-06 1991-06-18 Motorola, Inc. Power saving arrangement for a clocked digital circuit
US4856386A (en) * 1988-09-26 1989-08-15 Rodriguez Hector L Socket assembly for multiple size wrenching surfaces
DE68919638T2 (de) * 1988-10-14 1995-05-24 Ibm Rechner mit unterbrechungsgesteuerter Taktgeschwindigkeit und Verfahren für seinen Betrieb.
US4980836A (en) * 1988-10-14 1990-12-25 Compaq Computer Corporation Apparatus for reducing computer system power consumption
US5175845A (en) 1988-12-09 1992-12-29 Dallas Semiconductor Corp. Integrated circuit with watchdog timer and sleep control logic which places IC and watchdog timer into sleep mode
US5142684A (en) * 1989-06-23 1992-08-25 Hand Held Products, Inc. Power conservation in microprocessor controlled devices
WO1991000566A1 (en) * 1989-06-30 1991-01-10 Poqet Computer Corporation Computer power management system
US5021679A (en) * 1989-06-30 1991-06-04 Poqet Computer Corporation Power supply and oscillator for a computer system providing automatic selection of supply voltage and frequency
US5222239A (en) 1989-07-28 1993-06-22 Prof. Michael H. Davis Process and apparatus for reducing power usage microprocessor devices operating from stored energy sources
US5167024A (en) * 1989-09-08 1992-11-24 Apple Computer, Inc. Power management for a laptop computer with slow and sleep modes
US6158012A (en) * 1989-10-30 2000-12-05 Texas Instruments Incorporated Real-time power conservation and thermal management for computers
US5218704A (en) * 1989-10-30 1993-06-08 Texas Instruments Real-time power conservation for portable computers
US5201059A (en) * 1989-11-13 1993-04-06 Chips And Technologies, Inc. Method for reducing power consumption includes comparing variance in number of time microprocessor tried to react input in predefined period to predefined variance
US5396635A (en) * 1990-06-01 1995-03-07 Vadem Corporation Power conservation apparatus having multiple power reduction levels dependent upon the activity of the computer system
DE4026239A1 (de) * 1990-08-18 1992-02-20 Hoechst Ag Carboxylgruppenhaltige copolymerisate, ihre herstellung und ihre verwendung als verdicker
US5142884A (en) * 1991-02-01 1992-09-01 Mainstream Engineering Corporation Spacecraft adsorption thermal storage device using a vapor compression heat pump
US5201069A (en) 1991-10-18 1993-04-06 Motorola, Inc. Electroacoustic transducer mounting apparatus
WO1995025809A1 (en) * 1994-03-23 1995-09-28 Ohio University Compacted nucleic acids and their delivery to cells

Also Published As

Publication number Publication date
EP0426410A3 (en) 1992-04-08
US7549071B2 (en) 2009-06-16
US20020104033A1 (en) 2002-08-01
EP0426410B1 (en) 1996-06-19
US5930516A (en) 1999-07-27
EP0426410A2 (en) 1991-05-08
US7392416B2 (en) 2008-06-24
US20030131273A1 (en) 2003-07-10
US6732284B2 (en) 2004-05-04
US20050204178A1 (en) 2005-09-15
US20040225906A1 (en) 2004-11-11
US6006336A (en) 1999-12-21
US7028198B2 (en) 2006-04-11
US6633988B2 (en) 2003-10-14
US20040225908A1 (en) 2004-11-11
US6397340B2 (en) 2002-05-28
US20050204177A1 (en) 2005-09-15
JPH03210617A (ja) 1991-09-13
KR100227297B1 (ko) 1999-11-01
DE69027510D1 (de) 1996-07-25
US20050198543A1 (en) 2005-09-08
US6173409B1 (en) 2001-01-09
US6732283B2 (en) 2004-05-04
US9021283B2 (en) 2015-04-28
DE69027510T2 (de) 1997-01-23
US20010005892A1 (en) 2001-06-28
CN1054496A (zh) 1991-09-11
US20050204179A1 (en) 2005-09-15
KR910008590A (ko) 1991-05-31
US5218704A (en) 1993-06-08
US20030131272A1 (en) 2003-07-10
US7284139B2 (en) 2007-10-16

Similar Documents

Publication Publication Date Title
CN1024226C (zh) 便携式计算机实时的功率储存
CN1192298C (zh) 按cpu活动调节cpu时钟频率而实时管理cpu温度和节电的方法和系统
US20200159279A1 (en) Low power autonomous peripheral management
KR101029414B1 (ko) 프로세서 상태 천이를 검출하기 위해 제공되는 장치 및방법과 머신 액세스 가능 매체와 컴퓨팅 시스템
US6298448B1 (en) Apparatus and method for automatic CPU speed control based on application-specific criteria
CN1194280C (zh) 计算机系统及计算机可读取记录媒体
US5189314A (en) Variable chip-clocking mechanism
CN100527089C (zh) 信息处理装置中的功率控制装置
CN1256637C (zh) 中央处理单元调度方法和装置
EP1204016B1 (en) Power down protocol for integrated circuits
CN1981442B (zh) 用于对逐次逼近寄存器模拟数字转换器进行子时钟控制的方法和装置
CN1202457C (zh) 处理器控制电压的系统中提供决定开启电压的方法及装置
JPH08503566A (ja) コンピュータ装置の電力消費を自動的に減少させる方法
CN1063767A (zh) 电池供电计算机的电源管理系统
CN104699642A (zh) 信息处理装置、数据传送装置及数据传送装置的控制方法
US5784627A (en) Integrated timer for power management and watchdog functions
CN1478224A (zh) Java加速器环境中能力管理的系统和方法
EP0762286B1 (en) Measuring workload usage of a central processing unit.
AU659104B2 (en) System clock speed controller
CN109375543A (zh) Dvs电压管理装置、系统及方法、存储介质、计算机设备
CN111274667A (zh) 一种跨尺度材料计算软件集成计算系统及方法
CN1604101A (zh) 输出计划的控制变因管理的计算机系统、方法及储存媒体
CN100367150C (zh) 时脉调整装置及方法
CN100489736C (zh) 可携式电脑的作业系统读取电池状态的方法
CN105893118A (zh) 一种信息处理方法及电子设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C15 Extension of patent right duration from 15 to 20 years for appl. with date before 31.12.1992 and still valid on 11.12.2001 (patent law change 1993)
OR01 Other related matters
EE01 Entry into force of recordation of patent licensing contract

Assignee: Xiamen Matsushita Electronic Information Co., Ltd.

Assignor: Matsushita Electric Industrial Co., Ltd.

Contract fulfillment period: 2006.4.1 to 2016.3.31

Contract record no.: 2009990000027

Denomination of invention: Real-time power conservation for portable computers

Granted publication date: 19940116

License type: Fen Xuke

Record date: 20090113

LIC Patent licence contract for exploitation submitted for record

Free format text: SEPARATELY LICENSE; TIME LIMIT OF IMPLEMENTING CONTACT: 2006.4.1 TO 2016.3.31; CHANGE OF CONTRACT

Name of requester: XIAMEN PANASONIC ELECTRONIC INFORMATION CO., LTD.

Effective date: 20090113

EE01 Entry into force of recordation of patent licensing contract

Assignee: Xiamen Matsushita Electronic Information Co., Ltd.

Assignor: Matsushita Electric Industrial Co., Ltd.

Contract fulfillment period: 2006.4.1 to 2016.3.31

Contract record no.: 2009990000027

Denomination of invention: Real-time power conservation for portable computers

Granted publication date: 19940116

License type: Fen Xuke

Record date: 20090113

LIC Patent licence contract for exploitation submitted for record

Free format text: SEPARATELY LICENSE; TIME LIMIT OF IMPLEMENTING CONTACT: 2006.4.1 TO 2016.3.31; CHANGE OF CONTRACT

Name of requester: XIAMEN PANASONIC ELECTRONIC INFORMATION CO., LTD.

Effective date: 20090113

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 19940413

Termination date: 20091126