CN102221776B - 用于光学邻近效应校正的多变量求解器 - Google Patents

用于光学邻近效应校正的多变量求解器 Download PDF

Info

Publication number
CN102221776B
CN102221776B CN2011102002489A CN201110200248A CN102221776B CN 102221776 B CN102221776 B CN 102221776B CN 2011102002489 A CN2011102002489 A CN 2011102002489A CN 201110200248 A CN201110200248 A CN 201110200248A CN 102221776 B CN102221776 B CN 102221776B
Authority
CN
China
Prior art keywords
matrix
resist image
edge
layout
delta
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2011102002489A
Other languages
English (en)
Other versions
CN102221776A (zh
Inventor
威廉姆·S·翁
陈斌德
李江伟
西部达夫
陆颜文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102221776A publication Critical patent/CN102221776A/zh
Application granted granted Critical
Publication of CN102221776B publication Critical patent/CN102221776B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明公开了一种用于光学邻近效应校正的多变量求解器以及一种求解方法,其中本发明的方法遵循在掩模布局中的边缘片段的统一运动如何改变在所述布局中的控制点上的抗蚀剂图像值,以及如何同时确定针对在所述布局中的每个边缘片段的校正量。表示在掩模布局中的每个边缘片段的运动的统一效果的多求解器矩阵被用于同时确定针对在所述掩模布局中的每个边缘片段的校正量。

Description

用于光学邻近效应校正的多变量求解器
技术领域
本发明主要涉及用于光刻掩模的分辨率增强技术,更具体地涉及一种用于光学邻近效应校正的多变量求解器。
背景技术
在半导体工业中,微光刻(或简单光刻)是将电路图案印刷到半导体晶片上的工艺(例如,硅晶片或GaAs晶片)。目前,光学光刻是用于批量制造半导体器件和诸如平板显示器等其它装置的主导技术。这种光刻采用可见光到深紫外光谱范围的光对衬底上的光敏抗蚀剂进行曝光。在未来,可以采用极紫外(EUV)和软X射线。在曝光之后,所述抗蚀剂被显影,以产生浮雕图像。
在光学光刻中,首先采用电子束或激光束直接刻写工具对用作将被制造的器件结构的模板的光掩模(经常被称为掩模或掩模版)进行刻写。用于光学光刻的典型的光掩模由六到八英寸的玻璃(或石英)片制成,所述玻璃片的侧面上的一个表面涂覆有大约100nm厚的薄金属层(例如铬)。所述器件图案被蚀刻入所述金属层,因此允许光透射通过透明区。所述金属层没有被蚀刻掉的区域阻挡光的透射。以这种方式,可以将图案投影到半导体晶片上。
掩模包括一定的图案和特征,所述特征被用于在晶片上形成所需的电路图案。用于将掩模图像投影到所述晶片上的工具被称为“步进机”或“扫描器”(在下文中统称为“曝光工具”)。图1是常规的曝光工具的光学投影光刻系统10的图。系统10包括照射源12、照射光瞳滤波器14、透镜子系统16a-c、掩模18、投影光瞳滤波器20和晶片22,掩模18的空间图像被投影到晶片22上。照射源12可以是例如以紫外(UV)或深紫外(DUV)波长工作的激光源。照射源12的光束在照射到照射光瞳14上之前被扩展和扰频。照射光瞳14可能是简单的圆孔,或可能具有为离轴照射而特殊设计的形状。离轴照射可能包括例如环形照射(即照射光瞳14是具有所设计的内外半径的环)、四极照射(即照射光瞳14在光瞳平面的四个象限中具有四个开口)和诸如双极照射等其它照射方式。
在照射光瞳14之后,光通过照射光学系统(例如透镜子系统16a)并入射到掩模18上,所述掩模18包含将通过投影光学系统在晶片22上成像的电路图案。由于晶片22上的所需的图案尺寸变得越来越小,且所述图案的特征彼此越来越近,所以光刻工艺变得更有挑战性。投影光学系统(例如透镜子系统16b和16c以及投影光瞳滤波器20)将掩模18成像到晶片22上。投影光学系统的光瞳20限制掩模图案的最大空间频率,所述掩模图案可以通过所述投影光学系统。称为“数值孔径”或NA的数字经常表征光瞳20。
当抗蚀剂被所投影的图像曝光并之后被烘烤和显影时,所述抗蚀剂趋于经历复杂的化学和物理变化。最终的抗蚀剂图案通常由其临界尺寸(或CD)表征,所述临界尺寸通常被定义为在抗蚀剂-衬底界面处的抗蚀剂特征的宽度。虽然CD通常试图表示在给定的器件中被图案化的最小特征,但是在实际中,术语CD被用于描述任何抗蚀剂特征的线宽。
在大多数曝光工具中,光学系统以缩小系数,典型为4x或5x,缩小从掩模水平面到晶片水平面的图案尺寸。为此,在掩模水平面上的图案通常大于晶片水平面上的所需图案,这放松了在掩模水平面上所需的尺寸控制公差,并改善了掩模制造工艺的产量和可加工性。曝光工具的所述缩小系数造成涉及曝光工艺的“尺寸”的一定的混淆。在此,特征大小和尺寸涉及晶片水平面特征的大小和尺寸,而“最小特征尺寸”涉及在晶片水平面上的最小特征。
对于用于将器件正确地图案化的曝光工艺,在所述器件中的所有临界结构的CD必须被图案化以获得设计目标尺寸。由于实际上不可能获得每个目标CD而没有误差,所以所述器件以一定的对于CD误差的公差进行设计。在这种情况下,如果所有临界特征的CD在这些预定的公差内,则图案被考虑成可接受的。对于制造环境改变的曝光工艺,全CD分布必须落入在工艺条件范围上的公差限制内,所述工艺条件的范围表示在制造中期望出现的工艺变量的典型范围。
限制或降低图案转移过程的保真度的因素包括在掩模制造过程中、在投影光学系统中、在抗蚀剂处理过程中以及在投影光和形成于晶片上的薄膜堆层之间的相互作用的控制中的缺陷。然而,即使用良好的掩模、良好的光学系统、良好的抗蚀剂系统和良好的衬底反射率控制,图像保真度也难以维持,这是由于所成像的特征的尺寸小于用于曝光工具的光的波长。对于采用193nm照射源的曝光工艺,需要小到65nm的特征。在所述深子波长条件下,图案转移过程为高度非线性,且在晶片水平面上的最后图案的尺寸不仅是在掩模水平面的图案尺寸的很敏感的函数,而且是所述特征的局部环境的很敏感的函数,其中所述局部环境延伸到所述光波长的大约五至十倍的半径。如果给定与波长相比非常小的特征尺寸,甚至在掩模上的相同的结构将依赖于相邻特征的尺寸和邻近关系具有不同的晶片水平面上的特征尺寸,且甚至特征不紧邻,但处于由曝光工具的光学系统所限定的邻近区域内。这些光学邻近效应在文献中是公知的。
在用于提高成像质量和最小化图案转移过程中的高非线性的努力中,当前的处理技术采用各种分辨率增强技术(“RET”)。如今,在使用中RET的主导类型之一是光学邻近效应校正(OPC),所述OPC是目的在于克服邻近效应的任何技术的通用术语。OPC的最简单的形式之一是选择性偏置。如果给出CD与间距的曲线,则可以至少在最佳聚焦和曝光条件下通过改变在掩模水平面上的CD,迫使所有不同的间距产生相同的CD。因此,如果特征在晶片水平面上印刷得太小,则掩模水平面上的特征可以偏置到比名义值略大,反之亦然。由于从掩模水平面到晶片水平面的图案转移过程是非线性的,所以所述偏置量不简单地是在最佳的聚焦和曝光条件下所测量到的CD误差与缩小率的乘积,但是可以通过建模和实验确定合适的偏置。选择性偏置是对于邻近效应问题的不完全的解决方案,尤其是如果仅仅应用在名义工艺条件下。即使在原理上这种偏置可以被应用于给出在最佳的聚焦和曝光条件下的均匀的CD与间距的关系曲线,一旦曝光工艺变化偏离名义条件,则每个所偏置的间距曲线将有不同的响应,导致对于不同的特征的不同的工艺窗口。因此,能够给出相同的CD与间距的关系曲线的“最佳”偏置甚至可能对整个工艺窗口产生负面影响,宁可减小也不扩大聚焦和曝光范围,其中在所述范围内,所有目标特征在所需的工艺公差内印刷到晶片上。
已经针对超越上述一维偏置示例的应用,开发了其他更复杂的OPC技术。两维邻近效应是线端缩短。线端具有作为曝光和聚焦的函数从它们所需的端点位置“后移”的趋势。在许多情况下,长线端的末端缩短的程度可以比对应的线变窄的程度大好几倍。如果所述线端不能完全地在其试图覆盖的下层上穿过,例如在源极-漏极区域上的多晶硅栅极层,则这种类型的线端后移可能导致所制造的器件的严重失效。由于这种类型的图案对于聚焦和曝光非常敏感,所以简单地偏置所述线端以使其比设计长度长是不够的,这是因为在最佳的聚焦和曝光条件下,或者在曝光不足的条件下,所述线将过长,导致由于延伸的线端与相邻的结构接触造成短路,或者如果在电路中的独立特征之间增加更多的空间则会造成电路尺寸不必要的增大。由于集成电路设计和制造的主要目的之一是在最小化每个芯片所需的面积的同时最大化功能性元件的数量,所以增加过多的空间是很不希望的解决方案。
已经开发了两维OPC途径,以帮助解决线端后移问题。被称为“锤头”或“衬线”的额外的结构(或辅助特征)被以常规方式增加到线端,以有效地将其固定到合适的位置上,并在整个工艺窗口上减小后移。甚至在最佳的聚焦和曝光条件下,这些额外的结构也无法清晰地分辨,且它们在不能独立地被完全分辨的情况下变更主要特征的外形。辅助特征可以呈现出比增加到线端的简单的锤头积极得多的形式,在一定程度上,掩模上的图案不再是简单地由缩小比例所减小尺寸的所需的晶片图案。诸如衬线等辅助特征可以被应用于除了简单地减小线端后移之外的更多的情况。内衬线或外衬线可以被应用于任何边缘,尤其是两维边缘,以减小拐角处的圆角或边缘挤压。通过足够的选择性偏置以及所有尺寸和极性的辅助特征,掩模上的特征使得在晶片水平面上所期望的最终图案的相似程度越来越小。通常,掩模图案成为晶片水平面处的图案的预变形形式,其中所述变形试图对在光刻过程中出现的图案变形施以反作用或使所述图案变形倒转,以在晶片上产生图案,所述图案尽可能接近于设计者所期望的图案。
在另一种OPC技术中,不同于将诸如衬线等辅助特征附加到特征中,将完全独立和不可分辨的辅助特征添加到掩模中。这些独立的辅助特征不试图或不需要作为特征印刷到晶片上,而是宁可试图被用于修改附近的主要特征的空间图像,以增强所述主要特征的可印刷性和工艺公差。经常被称为“散射条纹”的这种亚分辨率辅助特征(SRAF)将另一层复合物增加到掩模上。采用散射条纹的简单的示例是:不可分辨的散射条纹的规则阵列被绘制在被隔离的线特征的两侧上,其中所述被隔离的线特征具有在空间图像的角度来看使所隔离的线呈现出来的作用,以更好地表示在密集的线阵列内的单根线,从而导致工艺窗口在聚焦和曝光公差内更接近密集图案的工艺窗口。在这种装饰性的隔离的特征和密集图案之间的普通工艺窗口在聚焦和曝光变化方面将具有比隔离在掩模水平面处所绘制的特征的公差更大的公差。
为同时增强分辨率和工艺窗口,许多这种OPC技术必须在单个掩模上与所增加的不同相位的相移结构一起使用。随着两维结构必须被移动、改变大小、以辅助特征被增强和在不造成与相邻特征的任何冲突的情况下可能进行相移,偏置一维线的简单任务逐渐变得复杂。由于深亚波长光刻的延伸的邻近范围,应用于特征的OPC类型的改变可能对于位于0.5微米到1微米之内的另一个特征具有所不期望的结果。由于可能在其邻近范围内存在许多特征,所以优化OPC装饰的任务随着更积极的途径而变得越发复杂。被增加到设计中的每个新特征对其他特征具有影响,然后其必须依次被重新校正,且所述结果必须被重复迭代,以会聚到掩模布局上,在所述掩模布局中,每个特征可以以原来所期望的方式被印刷,同时以合适的方式对其相邻的特征的空间图像实施影响,以使得它们在其各自的公差内被印刷。
由于这种复杂性以及在特征之间的相互作用,OPC技术已经成为主要的革新领域,且许多技术已经被针对以下内容广泛地描述,所述内容包括:如何将特征“分割”或“解剖”为可控数量的边缘以进行共同优化;如何优先考虑最优例程以使得最临界的结构被相邻特征上的附近的OPC辅助特征所保护而免受所不希望的变形的影响;如何分辨在特征之间的相位和位置冲突;如何权衡计算速度以及将得到的特征最终收敛到所希望的结果;以及作为可用于进行生产的技术的OPC的全部实现方式的其他细节。
OPC通常已经从基于规则的方法过渡到基于模型的方法。在基于模型的OPC中,曝光工具对于空间图像的影响和抗蚀剂处理的影响被以数学方式建模。图2是示出典型的基于模型的OPC设计过程的流程图。在步骤210中,获得OPC前的布局、OPC技术文件、光学模型和抗蚀剂模型。所述OPC技术文件描述将使用的基于模型的OPC技术的类型,例如线宽偏置校正、拐角处的圆角校正或线端后移校正。光学模型描述曝光工具的照射光学系统和投影光学系统。所述光学模型也可以包括成像到薄膜抗蚀剂中的效应或掩模拓扑的效应。所述抗蚀剂模型描述在被曝光工具中的掩模图案照射后的抗蚀剂的变化。蚀刻模型也可以被用于图2的方法。光学模型、抗蚀剂模型和蚀刻模型可以从第一原理得出,或根据试验数据确定,或通过其两者的组合得到。所述模型通常在名义工艺条件下校准。见R.Socha,“Resolution Enhancement Techniques,”Photomask Fabrication Technology,Benjamin G.Eynon,Jr.and Banqiu Wu,Editors,McGraw-Hill,pp.466-468,2005。OPC前的布局、OPC技术文件和所述模型都被输入到基于模型的OPC软件。
在步骤212中,基于模型的OPC软件将在OPC前的布局中的特征解剖成边缘片段,并给每个边缘片段分配控制点。每个特征在应用任何OPC技术之前进行分割,因为每个特征,即使相同形状的特征,将处于不同的邻近环境中。控制点(或估计点)是在OPC设计过程中将被估计的CD或边缘定位误差(EPE)所处的位置。控制点的分配是复杂的过程,依赖于OPC前的布局的图案几何尺寸和光学模型。图3示出L形特征310,所述L形特征310具有由三角形表示的解剖点和由圆形所表示的所分配的控制点。
在步骤214中,基于模型的OPC软件通过将光学模型和抗蚀剂模型应用到OPC前的布局来对在晶片上所印刷的抗蚀剂图像进行模拟。通常,所述模拟在名义工艺条件下进行,在所述名义工艺条件下,光学模型已经被校准。在步骤216中,基于模型的OPC软件通过比较模拟的抗蚀剂图像值和预定的阈值,生成所模拟的抗蚀剂图像的轮廓。然后,基于模型的OPC软件将模拟的轮廓与OPC前的布局在所有控制点上进行比较,以确定是否设计布局将体现所需的图案化性能。所述比较典型地被量化为在每个控制点上的CD或EPE。在步骤218中,基于模型的OPC软件确定对于每个边缘片段的轮廓度量的品质因数(figure of merit)是否满足要求。在一个实施例中,当对于每个边缘片段的轮廓度量(例如CD或EPE)的总误差被最小化时,所述品质因数满足要求。在另一个实施例中,当对于每个边缘片段的轮廓度量的总误差在预定的阈值之下时,所述品质因数满足要求。如果所述品质因数满足要求,则过程结束;而如果所述品质因数不满足要求,则过程继续到步骤220。
图4示出具有在两个控制点上所测得的符号相反的两个EPE。如果假定的模拟的抗蚀剂图像轮廓414不与在控制点上的特征的所设计的几何形状412重叠,则EPE基于在所述控制点上的差别而确定。回到图2,在步骤220中,基于模型的OPC软件对在每个控制点上的边缘校正量进行计算。如果假定第i个边缘片段(Ei)的EPE是在控制点Ci上确定的ΔEi,则最简单的边缘校正量ΔLi是所述误差的符号相反的量:ΔLi=-ΔEi。这种简单的校正函数对于非线性过程作用不佳,这是因为掩模上的变化不能被线性地反映在所印刷的抗蚀剂图像中。为了考虑诸如掩模误差因子(MEF)等非线性,稍微更为复杂的校正函数可以被使用
ΔL i = - ΔE i MEF .
在实际的应用中,计算合适的校正的方法要复杂得多,且校正算法可能依赖于诸如线宽误差、制造工艺、校正目标和约束等因素。见A.K.Wong,Resolution Enhancement Techniques in Optical Lithography,SPIE Press,pp.91-115,2001。例如,如果假定存在特征的N个边缘片段并且对于每个边缘片段有一个控制点,且对于第i个边缘片段的校正量是ΔLi,则最终的目标是求解ΔL1,ΔL2,...,ΔLN,以使得在所有的控制点上的抗蚀剂图像值RI(Ci)和预定的阈值T之间的差别等于零:
RI(Ci)-T=0其中i=1,...,N,其中Ci是控制点。
或者最小化函数
Σ i = 1 N [ RI ( C i ) - T ] 2
接着,在步骤222中,基于模型的OPC软件根据对所有边缘片段计算得到的校正量ΔLi调整整个边缘片段Ei,以产生OPC后的布局,以使得模拟的抗蚀剂图像轮廓移动以与设计的几何形状相匹配。然后,所述方法回到步骤214,在所述步骤214中,基于模型的OPC软件采用在步骤222中产生的OPC后的布局模拟抗蚀剂图像。然后,所述抗蚀剂图像轮廓和误差针对在步骤216中采用OPC后的布局产生的模拟抗蚀剂图像来计算。在步骤218中,基于模型的OPC软件确定是否总EP误差被最小化或处于一定的阈值以下。总EP误差可以被定义为:
Error total = Σ i = 1 N ( ΔE i ) 2
替代地,总EP误差可以被定义为所有片段的最大EP误差,即
max{|ΔEi|},=1,...,N
由于可以设定OPC目标,以使得所有边缘定位误差必须在一定的阈值以下。
在图2的方法中,对于在掩模布局中的每个边缘片段独立地确定校正量,而不考虑来自布局中的其他边缘片段的运动的影响。随着特征尺寸的减小,对于各个边缘片段的校正量的求解会出现收敛问题。需要一种用于确定对于每个边缘片段的校正量的技术,所述边缘片段考虑在布局中的其他边缘片段的运动。
发明内容
本发明的方法遵循在掩模布局中的边缘片段的统一运动如何改变在布局中的控制点上的抗蚀剂图像值,并且针对在布局中的每个边缘片段同时确定校正量。表示在掩模布局中的每个边缘片段的运动的统一效应的多求解器矩阵被用于针对在掩模布局中的每个边缘片段同时确定校正量。
在一个实施例中,本发明的方法包括:采用掩模布局模拟光刻过程,以产生第一模拟抗蚀剂图像;以预定量干扰在掩模布局中的每个边缘片段,以产生初始的被干扰的布局;采用初始的被干扰的布局模拟光刻过程,以产生第二模拟抗蚀剂图像;针对每个边缘片段确定在所述第一模拟抗蚀剂图像和所述第二模拟抗蚀剂图像之间的差值;形成包括针对所有边缘片段的差值的多求解器矩阵;采用所述多求解器矩阵的伪逆确定校正德尔塔(delta)矢量,其中所述校正德尔塔矢量包括针对每个边缘片段的校正德尔塔值;通过在校正德尔塔矢量中的对应校正德尔塔值干扰在被干扰的布局中的每个边缘片段,以形成另一被干扰的布局;采用所述另一被干扰的布局模拟光刻过程,以产生第三模拟抗蚀剂图像;基于所述第三模拟抗蚀剂图像值针对每个边缘片段更新多求解器矩阵;以及采用被更新的多求解器矩阵的伪逆更新校正德尔塔矢量。
在一个实施例中,本发明的方法包括在根据所述校正德尔塔矢量调整在掩模布局中的边缘片段的位置之前将阻尼因子乘以校正德尔塔矢量中的每个值。
附图说明
图1是光刻系统的一个实施例的图;
图2是针对基于模型的OPC的方法步骤的流程图;
图3是包括边缘片段和控制点的特征的图;
图4是特征和假定的模拟抗蚀剂图像的图,示出边缘定位误差;
图5是包括边缘片段和控制点的接触特征的图;
图6是根据本发明的一个实施例的用于同时求解针对掩模布局中的边缘片段的校正的方法步骤的流程图;
图7是抗蚀剂图像值与在接触特征中的典型的边缘片段的迭代次数之间的关系图,所述关系图由现有技术的单变量求解器和图6的方法确定;
图8是采用通过现有技术的单变量求解器确定的OPC校正之后的边缘定位误差的直方图;以及
图9是采用通过图6的方法确定的OPC校正之后的边缘定位误差的直方图。
具体实施方式
本发明的方法遵循在掩模布局中的边缘片段的统一运动如何改变在布局中的控制点上的抗蚀剂图像值,并且针对在所述布局中的每个边缘片段同时确定校正量。图5是包括边缘片段和控制点的接触特征510和512的图。接触特征510具有四个位置可调整的边缘片段,且每个边缘片段具有一个控制点。例如,边缘片段522具有控制点524。由于接触特征510和512是相对小的特征,所以移动边缘片段,例如边缘片段522,会影响在所述边缘片段的控制点(例如控制点254)上的抗蚀剂图像值,也影响在接触特征的其它三个边缘片段的控制点上的抗蚀剂图像值。且对于在布局中被紧邻地布置在一起的接触特征,移动一个接触特征的边缘片段也影响在邻近的接触特征中的边缘片段的控制点上的抗蚀剂图像值。对于非接触层,由于相邻的边缘片段的运动所引起的、在控制点上对于抗蚀剂图像值的类似的影响可以在掩模布局中被观察到。
图6是根据本发明的一个实施例的用于同时求解针对在掩模布局中的边缘片段的校正的方法步骤的流程图。视情况地,对于大的掩模布局,在采用OPC之前,所述布局首先被细分为碎片,所述碎片的面积典型地为20μm×20μm到60μm×60μm;在采用OPC之后,所述碎片被组合到一起,以产生最后的OPC后的布局。在步骤610中,采用设计布局(OPC前的布局)模拟抗蚀剂图像(RI)。可以采用光刻模拟系统对抗蚀剂图像进行模拟,例如在美国专利No.7,003,758中所公开的光刻模拟系统,所述专利文献的主题在此以引用的方式整体并入本文中。在步骤612中,假定存在n个可移动的边缘片段,在设计布局中的边缘片段被预定的距离所干扰(即被移动)(由n×1矢量
Figure BDA0000076459900000101
表示),以产生被干扰的布局。在步骤614中,采用所述被干扰的布局模拟抗蚀剂图像。
在步骤616中,在采用设计布局产生的模拟RI值和采用被干扰的布局产生的模拟RI值之间的差别针对每个边缘片段而确定。这些差别被用于形成初始的多求解器矩阵A0。所述初始多求解器矩阵是n×n矩阵,其中n是在布局中的边缘片段的数量,所述数量对于某些掩模而言可能多于一百万。初始的多求解器矩阵是对角矩阵,在所述对角矩阵中,第i个对角项(其中i=1,...,N)被计算为第i个片段的模拟RI值之间的差别ΔRIi除以第i个片段的干扰量Δc0,i。在数学上,A0的第i个对角项给定如下:
[ A 0 ] ii = ΔRI i Δc 0 , i .
换句话说,在初始条件下,假定边缘片段不相互作用;每个边缘片段的RI值的变化仅仅归咎于所述边缘片段自身。作为对角矩阵,A0的非对角元素都为零。即,
[A0]ij=0其中i≠j.
在步骤618中,针对布局中的边缘片段的校正德尔塔矢量采用所述多求解器矩阵的伪逆来确定。校正德尔塔矢量包括对于每个边缘片段的校正德尔塔值。校正德尔塔矢量的值通过首先计算期望的RI值和在步骤616中(或之后在步骤622中)所确定的最新的模拟RI值之差、以及之后将所述差乘以所述多求解器的伪逆而被确定:
Δc → = A k + · ( 0 → - F → ( c k → ) )
其中,
Figure BDA0000076459900000113
是多求解器n×n矩阵的伪逆,
Figure BDA0000076459900000114
是表示期望的RI值的n×1矢量,是表示当所述边缘片段已经被移动到由矢量
Figure BDA0000076459900000116
所表示的位置值上时的最新的模拟RI值的n×1矢量,而k是步骤618的迭代次数。
采用所述多求解器矩阵的伪逆,因为所述多求解器矩阵是奇异的或近似奇异的,或者因为其不可能总是方矩阵,如果该问题被推广以使得获得RI值所在的控制点的数量与可调整的边缘片段的数量不同,则真逆不总是可计算的。所述多求解器矩阵的伪逆被定义如下:
A k + = ( αI + A k T A k ) - 1 A k T
其中
Figure BDA0000076459900000118
是所述多求解器矩阵的转置,I是n×n单位矩阵,而α是被应用于所述单位矩阵的可调的正乘法因子。α的值优选被设置成缺省值,但其可以被调整以优化图6的方法的整体性能。
由于根据图6的方法得到的多求解器矩阵可以扩充到1百万×1百万的大小,因此,所述多求解器矩阵A优选表示为三个分量:
Figure BDA0000076459900000119
其中A0是初始的多求解器矩阵,所述初始的多求解器矩阵是对角矩阵,P和Q是n×p矩阵,其中n是边缘片段的数量,而p是P和Q的列数,其中p<<n。由于在初始条件下p=0,所以矩阵P和Q在初始条件下为空。P和Q的列通过更新被扩充到多求解器矩阵,如以下结合步骤624所述。由于更新次数随着迭代次数增加,所以视情况被存储在矩阵P和Q中的最大更新次数可能受到限制。例如,p的最大值可能被限定为pmax,而最接近pmax的更新值被存储。将所述多求解器矩阵表达为这三个分量能够允许多求解器矩阵的有效存储,并针对如下所述的校正德尔塔矢量的有效计算。例如,假定n=1,000,000且p=10,则为存储n×n多求解器矩阵A如采用浮点表示将占用n×n×4=4万亿字节。与之相比,存储初始的多求解器矩阵A0和矩阵P和Q的对角元素将仅占用(n+n×p×2)×4=84百万字节。
在形成过渡矩阵的情况下,校正德尔塔矢量被计算如下:
其中Λ-1≡(αI+A0A0)-1,对角矩阵;C≡[Q A0P],n×2p矩阵;G≡Λ-1C,n×2p矩阵;以及
Figure BDA0000076459900000122
2p×2p矩阵。通过将多求解器矩阵首先表达为三个分量并形成过渡矩阵,能够有效地对校正德尔塔矢量进行计算。特别地,由于(D-1+CTG)是2p×2p矩阵,其中p通常等于10,所以在上述方程中(D-1+CTG)的逆可以被迅速地计算。例如,假定n=400,000且p=10,通过计算20×20的矩阵的逆,而不是计算400,000×400,000矩阵的逆,采用上述方程确定校正德尔塔矢量。采用多求解器矩阵为400,000×400,000矩阵的上述方程计算校正德尔塔矢量可能在大约一秒钟内完成。与之相比,仅仅采用强力方法转换400,000×400,000矩阵就将花费两年以上的时间。为了进一步加速运算,D的逆可以以如下方式进行解析地计算:
D - 1 ≡ 0 I I - P T P
在一个实施例中,在每次迭代时,计算使最小的校正德尔塔矢量
Figure BDA0000076459900000125
能够对边缘片段分配不同的优先级或权重因子。引入使
Figure BDA0000076459900000126
最小的对角矩阵W,在所述对角矩阵W中,非负权重因子出现在对角线上。在一个实施例中,当计算校正德尔塔矢量时,量A和
Figure BDA0000076459900000127
被代之以:
A←WA
F → ( c → k ) ← W F → ( c → k )
在采用优化的矩阵表示
Figure BDA0000076459900000131
的另一个实施例中,当计算校正德尔塔矢量时,量A0,P,和
Figure BDA0000076459900000132
被代之以:
A0←WA0
P←WP
F → ( c → k ) ← W F → ( c → k )
缺省地,矩阵W相当于单位矩阵。如果大权重因子(>>1)被分配给W的对应于第i个片段的第i个对角项,则在每次迭代时,第i个片段的EPE将优选地比其它片段的EPE减小得多,这是因为所述第i个片段的EPE被所述权重因子放大了。
在步骤620中,将衰减因子应用于校正德尔塔矢量,且在布局中的边缘片段在被衰减的校正德尔塔矢量中被移动一定的数值,以使得所述边缘片段的相邻位置是
Figure BDA0000076459900000134
所述衰减因子是在0和1之间的值,所述衰减因子被应用于校正德尔塔矢量,以使得所述边缘片段的相邻位置不过于积极。然后,在步骤622中,采用布局的最新版本模拟抗蚀剂图像。在步骤624中,所述多求解器矩阵基于由边缘片段的位置变化所造成的RI值的变化而进行更新。因为当移动边缘片段时多求解器矩阵会变化,且所述多求解器矩阵将校正值的变化与RI值线性地关联,所以更新所述多求解器矩阵是必要的。如果矢量
Figure BDA0000076459900000135
被定义为
Figure BDA0000076459900000136
且矢量
Figure BDA0000076459900000137
被定义为那么更新所述多求解器矩阵A,如果
Figure BDA0000076459900000139
Figure BDA00000764599000001310
被作为新的列增加到矩阵P,且
Figure BDA00000764599000001311
被作为新的列增加到矩阵Q。由于更新,初始为对角矩阵的多求解器矩阵A不再是对角的。第i行和第j列的多求解器矩阵的值可以被解释为由第j个边缘片段的位置改变所造成的第i个边缘片段的RI值的线性变化。如果A不表达为A≡A0+PQT,而是被直接使用,则被更新的多求解器矩阵可以以如下方式得到,如果
Figure BDA00000764599000001312
A k + 1 = A k + ( y → - A k s → ) s → T | | s → | | 2
在步骤626中,确定在布局中的边缘片段的位置调整是否完成。在一个实施例中,当步骤618-624的预定次数的迭代已经完成时,所述调整完成。在预定次数的迭代还没完成时,方法返回到步骤618。在另一个实施例中,当品质因数满足要求时,完成所述调整。当布局的边缘定位误差的平方和被最小化或处于预定的阈值以下时,品质因数能够满足要求。如果所述品质因数不满足要求,则方法返回到步骤618。如果完成调整,则在步骤628中,布局的最新版本被用作OPC后的布局,然后所述布局通常被用于制造掩模。
图6的方法可以以软件或硬件的形式实现。在软件中实现的图6的方法的实施例可以在任意合适的计算机系统上执行。图6的方法可以被应用于针对任何类型的掩模层(例如接触层和多层)和任何类型的掩模(例如亮场掩模或暗场掩模)的任何掩模布局。图6的方法也可以应用于针对在双极照射光刻中使用的掩模的掩模布局。可以针对在双极照射中使用的两个掩模布局中的每一个确定校正德尔塔矢量,以使得空间图像在低对比度下等于零,而来自两种掩模的抗蚀剂图像值等于零。
图7是抗蚀剂图像值与在接触特征中的典型的边缘片段的迭代次数之间的关系图,所述关系图由现有技术的单变量求解器和图6的方法确定。如图所示,通过采用图6的多求解器方法所确定的校正导致的RI值比现有技术的单变量求解器(在迭代29次)更快地(在迭代11次)收敛到期望的零RI值。
图8是在六次OPC迭代之后采用现有技术的单变量求解器所确定的OPC校正之后的边缘定位误差的直方图。如图8所示的分布范围从1.0到4.2nm,且所述分布具有0.61nm的均方根(RMS)值。图9是在六次OPC迭代之后采用图6的方法所确定的OPC校正之后的边缘定位误差的直方图。如图9所示的分布范围从1.0到1.7nm,且所述分布具有0.11nm的RMS值。于是,采用图6的多变量求解器方法确定对边缘片段所产生的更小的边缘定位误差“缺陷”的校正,且边缘定位误差的分布变窄。
本发明已经在上文参照具体的实施例进行了描述。然而,应当理解,在不偏离由所附的权利要求所限定的本发明的较宽的精神和保护范围的情况下,可以对其进行各种修改和变化。前面的描述和附图相应地被看成是说明性的,而不是限制性的。

Claims (16)

1.一种求解针对在掩模布局中的边缘片段的校正的方法,包括:
针对掩模布局中的多个边缘片段确定由于施加到多个边缘片段上的一个或多个干扰而产生的抗蚀剂图像差值;
形成包括针对所有多个边缘片段的抗蚀剂图像差值的多求解器矩阵;以及
使用多求解器矩阵的伪逆同时确定针对掩模布局中的多个边缘片段中的每个边缘片段的校正德尔塔值。
2.根据权利要求1所述的方法,其中,所述多求解器矩阵的伪逆A+被定义为
A+=(αI+ATA)-1AT
其中AT是所述多求解器矩阵的转置,I是单位矩阵,而α是应用于所述单位矩阵的可调的正乘法因子。
3.根据权利要求2所述的方法,其中,初始的多求解器矩阵A0是对角矩阵,在所述对角矩阵中,第i个对角项由下式确定
[ A 0 ] ii = Δ RI i Δ c 0 , i
其中,Δc0,i是第i个边缘片段的干扰量,而ΔRIi是由所述干扰所导致的针对第i个边缘片段的抗蚀剂图像值的变化。
4.根据权利要求1所述的方法,其中,所述多求解器矩阵A被表达为
Figure FDA00002360976800012
其中A0是初始的多求解器矩阵,所述初始的多求解器矩阵是对角矩阵,P和Q是n×p矩阵,其中n是边缘片段的数量,而p是P和Q的列数。
5.根据权利要求4所述的方法,其中,初始的多求解器矩阵A0是对角矩阵,在所述对角矩阵中,第i个对角项由下式确定
[ A 0 ] ii = Δ RI i Δ c 0 , i
其中,Δc0,i是第i个边缘片段的干扰量,而ΔRIi是由所述干扰所导致的针对第i个边缘片段的抗蚀剂图像值的变化。
6.根据权利要求1所述的方法,其中,确定抗蚀剂图像差值的步骤包括:
采用掩模布局模拟光刻过程,以产生第一模拟抗蚀剂图像;
以预定量干扰在掩模布局中的每个边缘片段,以产生初始的被干扰的布局;
采用初始的被干扰的布局模拟光刻过程,以产生第二模拟抗蚀剂图像;
针对多个边缘片段中的每个边缘片段确定在所述第一模拟抗蚀剂图像和所述第二模拟抗蚀剂图像之间的抗蚀剂图像差值。
7.根据权利要求1所述的方法,其中,同时确定校正德尔塔值的步骤包括采用所述多求解器矩阵的伪逆确定校正德尔塔矢量,其中所述校正德尔塔矢量包括校正德尔塔值。
8.根据权利要求7所述的方法,还包括:
通过在校正德尔塔矢量中的对应校正德尔塔值干扰在被干扰的布局中的每个边缘片段,以形成其另一被干扰的布局;
采用所述另一被干扰的布局模拟光刻过程,以产生第三模拟抗蚀剂图像;
基于所述第三模拟抗蚀剂图像值针对每个边缘片段更新多求解器矩阵;以及
采用被更新的多求解器矩阵的伪逆更新校正德尔塔矢量。
9.一种制造掩模的方法,包括:
产生包括边缘片段的掩模布局,其中边缘片段的位置已经通过以下步骤被确定:
针对掩模布局中的多个边缘片段确定由于施加到多个边缘片段上的一个或多个干扰而产生的抗蚀剂图像差值;
形成包括针对所有多个边缘片段的抗蚀剂图像差值的多求解器矩阵;以及
使用多求解器矩阵的伪逆同时确定针对掩模布局中的多个边缘片段中的每个边缘片段的校正德尔塔值;以及
使用所产生的掩模布局数据产生掩模。
10.根据权利要求9所述的方法,其中,所述多求解器矩阵的伪逆A+被定义为
A+=(αI+ATA)-1AT
其中AT是所述多求解器矩阵的转置,I是单位矩阵,而α是应用于所述单位矩阵的可调的正乘法因子。
11.根据权利要求10所述的方法,其中,初始的多求解器矩阵A0是对角矩阵,在所述对角矩阵中,第i个对角项由下式确定
[ A 0 ] ii = Δ RI i Δ c 0 , i
其中,Δc0,i是第i个边缘片段的干扰量,而ΔRIi是由所述干扰所导致的针对第i个边缘片段的抗蚀剂图像值的变化。
12.根据权利要求9所述的方法,其中,所述多求解器矩阵A被表达为
Figure FDA00002360976800032
其中A0是初始的多求解器矩阵,所述初始的多求解器矩阵是对角矩阵,P和Q是n×p矩阵,其中n是边缘片段的数量,而p是P和Q的列数。
13.根据权利要求12所述的方法,其中,初始的多求解器矩阵A0是对角矩阵,在所述对角矩阵中,第i个对角项由下式确定
[ A 0 ] ii = Δ RI i Δ c 0 , i
其中,Δc0,i是第i个边缘片段的干扰量,而ΔRIi是由所述干扰所导致的针对第i个边缘片段的抗蚀剂图像值的变化。
14.根据权利要求9所述的方法,其中,确定抗蚀剂图像差值的步骤包括:
采用掩模布局模拟光刻过程,以产生第一模拟抗蚀剂图像;
以预定量干扰在掩模布局中的每个边缘片段,以产生初始的被干扰的布局;
采用初始的被干扰的布局模拟光刻过程,以产生第二模拟抗蚀剂图像;
针对多个边缘片段中的每个边缘片段确定在所述第一模拟抗蚀剂图像和所述第二模拟抗蚀剂图像之间的抗蚀剂图像差值。
15.根据权利要求9所述的方法,其中,同时确定校正德尔塔值的步骤包括采用所述多求解器矩阵的伪逆确定校正德尔塔矢量,其中所述校正德尔塔矢量包括校正德尔塔值。
16.根据权利要求15所述的方法,还包括:
通过在校正德尔塔矢量中的对应校正德尔塔值干扰在被干扰的布局中的每个边缘片段,以形成其另一被干扰的布局;
采用所述另一被干扰的布局模拟光刻过程,以产生第三模拟抗蚀剂图像;
基于所述第三模拟抗蚀剂图像值针对每个边缘片段更新多求解器矩阵;以及
采用被更新的多求解器矩阵的伪逆更新校正德尔塔矢量。
CN2011102002489A 2007-06-15 2008-06-16 用于光学邻近效应校正的多变量求解器 Active CN102221776B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/764,128 2007-06-15
US11/764,128 US7707538B2 (en) 2007-06-15 2007-06-15 Multivariable solver for optical proximity correction

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2008101302164A Division CN101359170B (zh) 2007-06-15 2008-06-16 用于光学邻近效应校正的多变量求解器

Publications (2)

Publication Number Publication Date
CN102221776A CN102221776A (zh) 2011-10-19
CN102221776B true CN102221776B (zh) 2013-03-13

Family

ID=39930743

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101302164A Active CN101359170B (zh) 2007-06-15 2008-06-16 用于光学邻近效应校正的多变量求解器
CN2011102002489A Active CN102221776B (zh) 2007-06-15 2008-06-16 用于光学邻近效应校正的多变量求解器

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2008101302164A Active CN101359170B (zh) 2007-06-15 2008-06-16 用于光学邻近效应校正的多变量求解器

Country Status (7)

Country Link
US (4) US7707538B2 (zh)
EP (1) EP2053460A2 (zh)
JP (2) JP4746649B2 (zh)
KR (1) KR100982800B1 (zh)
CN (2) CN101359170B (zh)
SG (1) SG148945A1 (zh)
TW (2) TWI396055B (zh)

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5001638B2 (ja) * 2006-12-22 2012-08-15 株式会社オーク製作所 露光データ作成装置
US7788630B2 (en) * 2007-03-21 2010-08-31 Synopsys, Inc. Method and apparatus for determining an optical model that models the effect of optical proximity correction
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7711504B2 (en) * 2007-07-23 2010-05-04 The Board Of Regents, University Of Texas System Method and system for performing optical proximity correction with process variations considerations
US8239786B2 (en) 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
JP2011028098A (ja) * 2009-07-28 2011-02-10 Toshiba Corp パターン評価方法、パターン作成方法およびパターン評価プログラム
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
CN102135723B (zh) * 2010-01-21 2012-09-05 上海华虹Nec电子有限公司 基于衬底刻蚀后图形对本层光刻图形进行修正的方法
JP2012134951A (ja) * 2010-11-30 2012-07-12 Canon Inc 撮像装置及びその制御方法
KR101833017B1 (ko) 2011-02-15 2018-04-13 삼성전자 주식회사 포토 마스크의 제조 방법
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US20140013286A1 (en) * 2012-07-05 2014-01-09 Macronix International Co., Ltd. Method for manufacturing a mask
US8745550B2 (en) * 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
JP2014041976A (ja) * 2012-08-23 2014-03-06 Toshiba Corp レシピ管理装置
US9165095B2 (en) * 2013-11-15 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Target point generation for optical proximity correction
US9189588B2 (en) * 2013-12-10 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polygon-based optical proximity correction
JP2015146398A (ja) * 2014-02-04 2015-08-13 株式会社東芝 加工変換差予測装置、加工変換差予測方法および加工変換差予測プログラム
US10430543B2 (en) 2014-10-04 2019-10-01 Synopsys, Inc. Matrix reduction for lithography simulation
KR102343850B1 (ko) * 2015-05-06 2021-12-28 삼성전자주식회사 광 근접 보정에서 공통의 바이어스 값을 이용하여 마스크를 제작하는 방법
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US10527928B2 (en) 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
KR102550350B1 (ko) 2017-09-08 2023-07-04 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
WO2019063206A1 (en) 2017-09-27 2019-04-04 Asml Netherlands B.V. METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US10671052B2 (en) * 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR102525162B1 (ko) * 2017-12-18 2023-04-24 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
WO2019158682A1 (en) 2018-02-18 2019-08-22 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
KR102641864B1 (ko) 2018-03-19 2024-02-29 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법
KR102498694B1 (ko) 2018-05-07 2023-02-10 에이에스엠엘 네델란즈 비.브이. 전산 리소그래피 마스크 모델과 관련된 전자계를 결정하는 방법
KR102585069B1 (ko) 2018-06-04 2023-10-05 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
WO2020011513A1 (en) 2018-07-12 2020-01-16 Asml Netherlands B.V. Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically
WO2020035285A1 (en) 2018-08-15 2020-02-20 Asml Netherlands B.V. Utilize machine learning in selecting high quality averaged sem images from raw images automatically
KR102653951B1 (ko) * 2018-09-06 2024-04-02 삼성전자주식회사 반도체 소자의 레이아웃 패턴 분할 방법 및 이를 포함하는 광 근접 보정 방법
KR20200028169A (ko) * 2018-09-06 2020-03-16 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
CN112889004A (zh) 2018-10-19 2021-06-01 Asml荷兰有限公司 通过源和掩模优化创建理想源光谱的方法
CN112969966A (zh) 2018-11-05 2021-06-15 Asml控股股份有限公司 在硬陶瓷涂层中制造纳米脊的方法
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
US11580289B2 (en) 2018-11-30 2023-02-14 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
KR20210082247A (ko) 2018-11-30 2021-07-02 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법.
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
KR102656552B1 (ko) 2018-12-28 2024-04-12 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
CN113412453A (zh) 2019-02-19 2021-09-17 Asml控股股份有限公司 激光粗加工:工程化突节顶部的粗糙度
CN113454532A (zh) * 2019-02-21 2021-09-28 Asml荷兰有限公司 训练机器学习模型以确定掩模的光学邻近效应校正的方法
CN113508339A (zh) 2019-02-27 2021-10-15 Asml荷兰有限公司 用于模型校准的改进量规选择
US11747739B2 (en) 2019-03-03 2023-09-05 Asml Netherlands Method and apparatus for imaging using narrowed bandwidth
US11846889B2 (en) 2019-03-08 2023-12-19 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
US11815820B2 (en) 2019-03-21 2023-11-14 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
KR102648599B1 (ko) 2019-04-09 2024-03-15 에이에스엠엘 네델란즈 비.브이. 설비 위치들 사이에서 예측 모델을 조절하기 위한 시스템 및 방법
EP3963404B1 (en) 2019-04-30 2023-01-25 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
CN114096917B (zh) 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
KR20220042450A (ko) 2019-08-08 2022-04-05 에이에스엠엘 네델란즈 비.브이. 포토리소그래피 이미징을 위한 장치 및 방법
CN112415855B (zh) * 2019-08-22 2022-04-12 长鑫存储技术有限公司 Opc修正方法及opc修正装置
CN114402262A (zh) 2019-08-30 2022-04-26 Asml荷兰有限公司 半导体器件几何方法和系统
WO2021043551A1 (en) 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
US11150551B2 (en) * 2019-10-15 2021-10-19 Samsung Electronics Co., Ltd. Method for optical proximity correction in which consistency is maintained and method for manufacturing mask using the same
US20220404712A1 (en) 2019-11-01 2022-12-22 Asml Netherlands B.V Machine learning based image generation for model base alignments
CN114746806A (zh) 2019-11-19 2022-07-12 Asml控股股份有限公司 使用非均匀照射强度分布进行优化
TWI729593B (zh) * 2019-11-27 2021-06-01 台灣積體電路製造股份有限公司 積體電路之製造方法
CN112882348B (zh) * 2019-11-29 2022-10-21 长鑫存储技术有限公司 一种光学临近效应修正方法及装置
US20230010700A1 (en) 2019-12-02 2023-01-12 Cymer, Llc Method and system for enhancing target features of a pattern imaged onto a substrate
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
WO2021165419A1 (en) 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
WO2021228725A1 (en) 2020-05-09 2021-11-18 Asml Netherlands B.V. Determining metrics for a portion of a pattern on a substrate
WO2021244830A1 (en) 2020-06-02 2021-12-09 Asml Netherlands B.V. Verifying freeform curvilinear features of a mask design
CN115698850A (zh) 2020-06-03 2023-02-03 Asml荷兰有限公司 用于产生图案形成装置且因此产生图案的系统、产品和方法
EP4165471A1 (en) 2020-06-10 2023-04-19 ASML Netherlands B.V. Aberration impact systems, models, and manufacturing processes
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
CN115885216A (zh) 2020-08-19 2023-03-31 Asml荷兰有限公司 用于基于图像的图案选择的系统、产品和方法
CN115917438A (zh) 2020-08-19 2023-04-04 Asml荷兰有限公司 用于从原始图像自动选择高品质图像的设备和方法
KR20230070230A (ko) 2020-09-25 2023-05-22 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 스캐너 스루풋 및 이미징 품질의 최적화
WO2022069420A1 (en) 2020-09-30 2022-04-07 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
CN116391157A (zh) 2020-10-23 2023-07-04 Asml荷兰有限公司 用于产生掩模图案的方法
KR20220078124A (ko) 2020-12-03 2022-06-10 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
CN116648672A (zh) 2020-12-18 2023-08-25 Asml荷兰有限公司 用于确定掩模图案和训练机器学习模型的方法
US20240104284A1 (en) 2020-12-21 2024-03-28 Asml Netherlands B.V. Feature based cell extraction for pattern regions
KR20230147096A (ko) 2021-02-23 2023-10-20 에이에스엠엘 네델란즈 비.브이. 타겟 패턴 및 기준 층 패턴을 사용하여 마스크에 대한 광 근접 보정을 결정하는 기계 학습 모델
KR20230154852A (ko) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. 반도체 제조 관련 프로세스의 패턴 선택 방법
CN117480449A (zh) 2021-06-18 2024-01-30 Asml荷兰有限公司 使用机器学习模型产生辅助特征的计算机可读介质
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
KR20240029778A (ko) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
KR20240031314A (ko) 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택
KR20240035491A (ko) 2021-07-21 2024-03-15 에이에스엠엘 네델란즈 비.브이. 광학 컬럼의 열적으로 안정적인 장착을 위한 시스템 및 방법
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
WO2023016752A1 (en) 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JP3583559B2 (ja) * 1996-09-30 2004-11-04 株式会社ルネサステクノロジ 光近接効果補正方法
JP3551660B2 (ja) * 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
JP4160203B2 (ja) * 1998-07-23 2008-10-01 株式会社東芝 マスクパターン補正方法及びマスクパターン補正プログラムを記録した記録媒体
US6301697B1 (en) * 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6868175B1 (en) * 1999-08-26 2005-03-15 Nanogeometry Research Pattern inspection apparatus, pattern inspection method, and recording medium
US6721938B2 (en) * 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US6973633B2 (en) * 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US6928634B2 (en) * 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
JP2005017551A (ja) 2003-06-25 2005-01-20 Matsushita Electric Ind Co Ltd 近接効果補正の検証方法及び検証装置
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4473555B2 (ja) 2003-11-12 2010-06-02 パナソニック株式会社 近接効果補正方法及び近接効果補正装置
JP4357287B2 (ja) * 2003-12-18 2009-11-04 株式会社東芝 修正指針の発生方法、パターン作成方法、マスクの製造方法、半導体装置の製造方法及びプログラム
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
WO2006027344A2 (en) * 2004-09-08 2006-03-16 Altana Pharma Ag 3-oxa-10-aza-phenanthrenes as pde4 or pde3/4 inhibitors
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7349066B2 (en) * 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
WO2007007626A1 (ja) * 2005-07-08 2007-01-18 Nikon Corporation 露光方法及び露光装置、並びにデバイス製造方法
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
CN102662309B (zh) 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7523435B2 (en) * 2005-12-01 2009-04-21 Intel Corporation Pixelated masks for high resolution photolithography
US7703067B2 (en) * 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby

Also Published As

Publication number Publication date
US20130311959A1 (en) 2013-11-21
CN101359170B (zh) 2011-09-14
TWI396055B (zh) 2013-05-11
US8448099B2 (en) 2013-05-21
JP4746719B2 (ja) 2011-08-10
SG148945A1 (en) 2009-01-29
KR100982800B1 (ko) 2010-09-16
US8938699B2 (en) 2015-01-20
JP2011076119A (ja) 2011-04-14
TW200916972A (en) 2009-04-16
KR20080110530A (ko) 2008-12-18
EP2053460A2 (en) 2009-04-29
US7707538B2 (en) 2010-04-27
US20100161093A1 (en) 2010-06-24
CN101359170A (zh) 2009-02-04
TW201329653A (zh) 2013-07-16
TWI418954B (zh) 2013-12-11
CN102221776A (zh) 2011-10-19
JP4746649B2 (ja) 2011-08-10
US20130042212A1 (en) 2013-02-14
US20080309897A1 (en) 2008-12-18
JP2008310333A (ja) 2008-12-25
US8291352B2 (en) 2012-10-16

Similar Documents

Publication Publication Date Title
CN102221776B (zh) 用于光学邻近效应校正的多变量求解器
US8572517B2 (en) System and method for modifying a data set of a photomask
JP5680588B2 (ja) 費用関数ベースの同時opc及びsbar最適化のための方法及び装置
US7237221B2 (en) Matrix optical process correction
US8413081B2 (en) Method for process window optimized optical proximity correction
US10417376B2 (en) Source beam optimization method for improving lithography printability
US8082524B2 (en) Mask patterns for use in multiple-exposure lithography
KR20130091274A (ko) 기판-토포그래피-인식 리소그래피 모델링
CN109828433B (zh) 校正掩模布局的方法和使用其制造半导体器件的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant