CN101807219B - 一种集成电路设计方法 - Google Patents

一种集成电路设计方法 Download PDF

Info

Publication number
CN101807219B
CN101807219B CN2009101694362A CN200910169436A CN101807219B CN 101807219 B CN101807219 B CN 101807219B CN 2009101694362 A CN2009101694362 A CN 2009101694362A CN 200910169436 A CN200910169436 A CN 200910169436A CN 101807219 B CN101807219 B CN 101807219B
Authority
CN
China
Prior art keywords
rectangle
channel
width
design
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101694362A
Other languages
English (en)
Other versions
CN101807219A (zh
Inventor
郑英周
赖志明
欧宗桦
董易谕
赵孝蜀
吴旻鸿
侯永清
刘如淦
郑仪侃
辜耀进
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101807219A publication Critical patent/CN101807219A/zh
Application granted granted Critical
Publication of CN101807219B publication Critical patent/CN101807219B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种集成电路(IC)设计方法,包括基于IC器件的IC设计布图和IC制造数据来提供IC布图轮廓;生成有效矩形布图来代表IC布图轮廓;以及利用有效矩形布图来仿真IC器件。

Description

一种集成电路设计方法
技术领域
本申请涉及集成电路(IC)设计的方法。 
背景技术
半导体技术不断地发展到更小的特征尺寸,例如65纳米、45纳米甚至更小。集成电路(IC)设计和制造技术已遭遇瓶颈,需要更多的设计调整,还需要设计和制造之间的互动。 
现代器件的精确仿真需要考虑在光刻和蚀刻工艺之后实际器件几何形状的复杂性,尤其是当沟道长度缩短到65nm以及以下的时候。器件的性能被认为与常规IC设计流程中被设计师所期望的大相径庭。传统的设计缺乏从制造方面对光刻效应以及图案化几何操作的作用的考虑。 
对于深亚微米电路设计来说,在制造中几乎不可能实现纯粹的矩形形状。因此,潜在的危险存在于设计和制造之间。然而,在目前的SPICE模式卡中没有描述形状失真影响的额外参数。例如,靠近多晶硅栅极的L形有源区的拐角倒圆将不同于T形有源区域的情况。同样的问题出现在靠近栅极区域的锤头多晶硅中。已经尝试做了一些工作,通过将晶体管分隔成小的并联器件,且接着计算来自那些小的分隔的晶体管所贡献的电流之和,来模拟或表现非矩形器件。这种老式方法是直观的,但是缺乏适当的模型来精确地描述分隔的器件的窄宽效应和短沟道效应。而且,分隔的器件可能处于子接地区域中,该子接地区域的尺寸小于SPICE模型的最小划分边界。 
在当前的数字IC设计流程中,有几种用于对器件作物理检查的经济的工具,例如公知的DRC规则或更先进的DFM光刻热点检查规则。一旦布图违反了物理设计规则,则设计师不得不修改布图。然而,所有提及的方法都是基于规则的方法。没有一种工具可以为设计师提供实时的电气性能的检查。现行方法的另一不利缺点是它们不能处理复杂的电路布图环境。而且,用于 当前的基于规则的方法的数据库的栅格分辨率也具有局限性。 
发明内容
因此,本公开内容提供了一种集成电路(IC)设计方法。该方法包括一种集成电路(IC)设计方法,包括提供基于IC器件的IC设计布图和IC制造数据的IC布图轮廓;生成有效矩形布图来代表IC布图轮廓;以及利用有效矩形布图来仿真IC器件。 
在所公开的方法的一个实施例中,提供IC布图轮廓的步骤包括:提供与执行制造IC器件的IC制造有关的制造数据;提供IC器件的IC设计布图;以及通过仿真生成基于IC设计布图和IC制造数据的IC布图轮廓。提供IC设计布图的步骤可以包括为IC设计布图添加光学临近校正(OPC)。IC布图轮廓的生成可以包括提供与IC制造的光刻系统有关的光刻数据。提供光刻数据的步骤可以包括提供与光刻系统的光刻曝光焦距有关的统计聚焦数据;提供与光刻系统的光刻曝光剂量有关的统计能量数据;以及提供具有IC设计布图的掩模图案的统计掩模数据。在一个实施例中,IC布图轮廓与金属氧化物半导体场效应晶体管(MOSFET)的沟道区域相关。IC布图轮廓包括栅极轮廓与有源区域轮廓重叠的区域。在另一实施例中,生成有效矩形的步骤包括在IC布图轮廓中生成最大矩形,其中最大矩形定义了一个宽度和一个长度;根据宽度方向上的最大矩形和IC布图轮廓之间的尺寸差异来得到最大矩形的一个宽度校正;以及根据长度方向上的最大矩形和IC布图轮廓之间的差异来得到最大矩形的一个长度校正。IC器件的仿真可以包括为IC器件的电气参数寻找一个宽度校正的宽度灵敏度;以及为电气参数寻找一个长度校正的长度灵敏度。电气参数可以包括IC器件的饱和电流。寻找宽度校正可以包括在宽度方向上计算最大矩形和IC布图轮廓之间的平均宽度差异。寻找长度校正可以包括在长度方向上计算最大矩形和IC布图轮廓之间的平均长度差异。 
本公开内容还包括集成电路(IC)设计方法的另一实施例。该方法包括根据IC器件的设计布图和IC制造数据生成IC器件的沟道轮廓;从沟道轮廓中提取有效沟道矩形;以及利用有效沟道矩形仿真IC器件的沟道轮廓。IC设计方法可以进一步包括利用有效沟道矩形从沟道轮廓的仿真中提取IC器件的 电气参数。 
在所公开的方法的一个实施例中,生成沟道轮廓包括基于制造数据仿真设计沟道布图。在另一实施例中,制造数据包括统计光刻数据。统计光刻数据可以包括聚焦数据、能量数据和掩模数据。在另一实施例中,提取有效沟道矩形包括在沟道轮廓中寻找一个最大的沟道矩形;以及基于沟道轮廓为最大沟道矩形提取宽度校正和长度校正。 
本公开内容还包括集成电路(IC)设计方法的另一实施例。该方法包括提供IC器件的IC沟道设计布图;基于IC设计布图和IC制造数据生成IC沟道轮廓;基于IC沟道轮廓生成有效沟道矩形;以及基于有效沟道矩形生成IC器件的电气参数。 
在所公开的方法的一个实施例中,生成有效沟道矩形包括在IC沟道设计布图中生成最大沟道矩形;以及根据IC沟道轮廓,为最大沟道矩形寻找一个宽度校正和一个长度校正。该方法可以进一步包括生成相应于不同IC沟道设计布图的仿真的数据库。 
附图说明
当联系附图阅读以下详细描述时,将能最好的理解本发明的观点。应当注意到,根据业内的标准惯例,附图中不同的特征均未按比例绘制。实际上,为了探讨的清楚性,所阐述的特征的尺寸可以任意增大或减小。 
图1是根据本公开内容的观点构思的一个实施例中的集成电路(IC)设计方法的流程图。 
图2是根据本公开内容的观点构思的另一实施例中的集成电路(IC)设计方法的流程图。 
图3是根据本公开内容的观点构思的一个示例性IC器件的顶视图。 
图4是根据本公开内容的观点构思的一个实施例中的说明IC设计方法的框图。 
图5示出根据本公开内容的观点,通过轮廓仿真的金属氧化物半导体场效应晶体管(MOSFET)器件的后-光学-临近-校正(OPC)轮廓的略图的不同实例。 
图6示出根据本公开内容的观点的不同实例中的集成电路器件的临界尺寸变化(CDV)和扫描电子显微镜(SEM)图像的结果。 
具体实施方式
可以理解的是,下述公开内容提供了用于实现本发明的不同特征的多个不同的实施例或实例。以下描述的部件和设置的特定实例用于简化本公开内容。当然这些仅仅是实例,且并不意在限制。例如,下面描述中的第一特征形成在第二特征之上或上面,可以包括该第一和第二特征直接接触形成的实施例,且还可以包括在该第一和第二特征之间可以形成其它特征的实施例,例如该第一和第二特征可以不直接接触。而且,本公开内容可以在不同的实例中重复使用附图标记和/或字母。这种重复的目的是为了简化和清楚,且其本身并不决定所探讨的不同实施例和/或结构之间的关系。 
可制造性设计(DFM)是制造数据和设计过程的综合,其用于得到更好的产量和设计效率。为了更精确、更快捷且更有效的设计,设计师和制造者之间的互动和交流需要增强。在一个实例中,不同的制造数据被制订、量化并整合,以使制造者和设计者之间能够合作,从而缩短设计时间和设计成本,并提高生产产量和生产性能。 
图1是IC设计流程或设计方法100的流程图。图3是根据本公开内容的观点构思的一个示例性IC器件的顶视图。为了获得对电路的更精确的预测,不同实施例中所公开的方法提供了一种评估非矩形金属氧化物半导体场效应晶体管(MOSFET)器件的有效方法。而且,该方法还提供了一种电热点标准,其用来检查并核实在图案化工艺期间器件的可制造性。在一个实施例中,在常规的设计规则检查(DRC)或用于可制造性设计的规则检查之后将执行电气规则标准。光刻和工业级SPICE模型也被考虑了以进一步关联工艺变化。参考图1和3,方法100描述如下。 
IC设计方法100可以开始于步骤102,该步骤提供了IC器件的IC设计布图。将IC器件理解为一个简化的实例,来说明设计方法。在一个实例中,IC器件包括MOSFET器件。一个示例性IC器件120及其不同角度的布图阐述于图3中。在这个实例中,IC器件120包括具有设计布图122的沟道区域。 IC器件还包括其它特征,例如源、漏和触点(未示出)。步骤102中,提供IC设计布图可以进一步包括为IC设计布图添加其它辅助特征,例如哑元(dummy)特征和/或光学临近校正(OPC)特征。 
IC设计方法100进行到步骤104,该步骤产生了基于IC设计布图122和例如栅电极和有源区域的其它设计数据的IC布图轮廓。IC布图轮廓的产生是一个仿真IC器件的过程,以生成基于其设计布图的物理尺寸和几何形状。在另一实施例中,生成过程进一步使用与用于实现IC器件制造的IC制造者关联的制造数据。在一个实施例中,制造数据包括光刻处理数据,例如与光刻曝光有关的焦距和/或能量(或剂量)以及掩模的统计数据,来将定义在掩模中的IC设计布图(例如沟道区域122)转移到芯片上的IC轮廓。在另一实施例中,制造数据还包括其它有关的处理数据,例如包括了蚀刻剂特性的蚀刻数据。仿真将产生相应于IC设计布图的虚拟制造特征。在图3的这个实例中,实际的沟道区域由栅电极区域和有源区域决定。如果仿真生成如图3中所阐述的有源区域轮廓124和栅电极轮廓126,则有源区域轮廓124和栅电极轮廓126的重叠区域定义了沟道轮廓128。 
IC设计方法100进行到步骤106,该步骤从IC布图轮廓生成了有效矩形。该有效矩形是一种能够被容易地且更有效地仿真的几何形状。以IC器件120为例详细解释有效矩形的生成。首先,在由栅电极轮廓126和有源区域轮廓124定义的IC布图轮廓内找到一个最大的矩形128。该步骤可以利用几何计算算法自动地完成。接着,根据沟道轮廓和最大矩形128之间的差异而为最大矩形找到一个宽度校正。例如,沿着长度方向在最大矩形128的两侧的所有点间找到沟道轮廓和最大矩形128之间的一个平均差异。类似地,根据沟道轮廓和最大矩形128之间的差异,为最大矩形找到一个长度校正。例如,沿着宽度方向在最大矩形128的两侧的所有点间找到沟道轮廓和最大矩形128之间的一个平均差异。在一个实施例中,有效矩形130是一个矩形,该矩形的宽度等于最大矩形的宽度加上宽度校正,且其长度等于最大矩形的长度加上长度校正。 
IC设计方法100进行到步骤108,该步骤基于有效矩形130而仿真IC器件的电气性能。该仿真可以通过适当的仿真工具来实现,该适当的仿真工具 例如是具有侧重于集成电路的仿真程序(SPICE)。SPICE是一种提供完整物理仿真的工具,其中物理仿真包括了输出信号应变、信号电平和延时。SPICE是由加州大学伯克利分校开发的一种电路分析程序。仿真结果可以包括各种电气参数,例如饱和电流。在另一实施例中,仿真结果包括其它电气性能,例如短沟道效应和相关的性能偏差。在进一步的实施例中,步骤108进一步包括各种核查和检查,以确定可能导致IC器件的质量问题和/或可靠性问题的热点。在一个实例中,定义各种标准来检查仿真电气参数和根据预定标准从仿真结果中发现是否有超出可容许范围的任何区域。 
图2是IC设计流程或设计方法110的另一实施例。参考图2和3,该方法110描述如下。在另一实施例中,该方法110可以是方法100的一部分。IC设计方法110可以从提供IC器件的IC设计布图开始,且进一步基于IC设计布图122以及诸如栅电极和有源区域的其它设计数据生成IC布图轮廓。IC布图轮廓的生成是一个仿真IC器件的过程,以基于其设计布图而生成其物理尺寸和几何形状。在一个实施例中,该生成过程进一步包括应用与用于制造IC器件的IC制造者有关的制造数据。在这个实例中,IC设计布图包括MOS晶体管的沟道区域。 
IC设计方法110可以进行到步骤112,该步骤在由栅电极轮廓126和有源区域轮廓124定义的IC布图轮廓内部生成最大矩形128。这可以利用几何计算算法来自动地实现。 
IC设计方法110进行到步骤114,该步骤根据沟道轮廓和最大矩形128之间的差异来为最大矩形找到宽度校正和长度校正。例如,沿着长度方向,在最大矩形128的两侧的所有点间选取沟道轮廓和最大矩形128之间的一个平均宽度差异来作为宽度校正。类似地,沿着宽度方向,在最大矩形128的两侧的所有点间选取沟道轮廓和最大矩形128之间的一个平均长度差异来作为长度校正。在一个实施例中,有效矩形130定义为一个矩形,该矩形的宽度等于最大矩形的宽度加上宽度校正,且该矩形的长度等于最大矩形的长度加上长度校正。有效矩形用作仿真的沟道区域,以提取电气性能参数及其它相关数据。仿真可以通过诸如SPICE的相关仿真工具来实施。仿真结果可以包括各种电气参数,例如饱和电流。在另一实施例中,仿真结果包括其它电 气性能特性,例如短沟道效应和相关的性能偏差。 
IC设计方法110进行到步骤116,该步骤利用有效矩形130作为沟道区域,从仿真结果提取对于IC器件的电气参数的宽度校正和长度校正的灵敏度。采用饱和电流Ids作为一个实例。最大矩形可以用作沟道区域且对其饱和电流Idsmax_in_con进行仿真。可以通过沟道轮廓的仿真、有效矩形的仿真或各个实施例中的实验的实验结果来得到饱和电流Ids。Ids和Idsmx_in_con之间的对比可以提供相应于长度校正δL和宽度校正δW的长度灵敏度Lgravity和宽度灵敏度Wgravity,以便饱和电流可表示如下 
Ids=Idsmax_in_con+(Lgravity×δL+Wgravity×δW)
在一个实施例中,可以积累上述仿真结果以建立一个设计数据库,以便该数据库可以用作未来设计仿真的表。当考虑新的IC设计布图时,从IC设计布图中生成IC布图轮廓。因此也生成最大矩形。当最大矩形与数据库中的一个IC布图相匹配时,则相关的灵敏度可以用于基于上述方程等的电气性能评估。 
在其它实施例中,设计方法100或设计方法110可以进行到流片(tape-out)步骤。在设计功能规范、信号定时、器件连接和布图设计规则的所有核查完成之后,布图将被流片并提供给制造者,例如用于掩模制造和IC芯片制造的半导体制造车间。 
图4是共同阐述IC设计方法和实现IC设计方法的IC设计系统的框图200。该设计方法开始于设计数据202(例如绘制gds(栅源漏))。设计数据可以通过前端设计步骤来提供,该前端设计步骤例如是RTL/综合和布局布线。接着,设计方法进行到下一步骤204,该步骤用来虚拟物理仿真。例如,利用LPC工具来生成IC布图轮廓,例如栅极轮廓、有源区域轮廓或沟道轮廓。在另一实例中,执行布图寄生提取(LPE)工具来提取更多的精确的寄生参数,例如寄生电阻和电容,以及制造数据,例如CMP数据和/或蚀刻数据。接着,所提取的寄生参数提供给SPICE网表来进一步仿真。该网表是设计的一种表现方式,如库单元组及其互连。在一个实例中,网表是一个文本文件或文本文件组。 
接着,设计流程进行到步骤206。诸如从上述步骤仿真得到的布图轮廓的 物理参数、尺寸和/或几何形状可以送到形状到电气(S2E)引擎208来根据方法100和/或方法110,基于IC布图轮廓仿真IC器件的电气性能。在一个实施例中,S2E引擎是设计可操作的,以执行方法110。在另一实施例中,S2E引擎将不规则的沟道区域转变成对于每个MOS器件都具有等效长度和宽度的矩形形状。因此,各个晶体管可以被容易地确定并仿真。因此,电气参数被有效地从仿真结果中提取。在对从IC器件的几何形状参数得到的电气参数进行仿真的步骤206期间,可以将仿真过程的文槽加密,并提供给S2E引擎,用于形状到电气的仿真。接着,从仿真结果中提取电气参数,例如导通电流(Ion)、关断电流(Ioff)、有效宽度(Weff)、有效长度(Leff)及其它参数。 
在下一步骤210中,将从S2E引擎中提取的电气参数提供给SPICE模型且因此修改了SPICE的网表(netlist),以用于设计者进一步的电路仿真和分析,以调整IC器件的布图设计。上述流程可以重复,用来微调IC器件设计。 
在各个实施例中,以上公开的方法可以并入一个实施例中的常规IC设计流程。因此,常规IC设计可以从S2E引擎的仿真结果再继续。所公开的设计方法提供了一种积极的方法来提取非矩形晶体管的等效长度和宽度,且在65nm和45nm节点工艺上得到采纳和验证。这种方法易于适用于没有明显改变的规则电路设计流程。在器件检查之前,该新的模型可以被保存。而且,其能够将制造局限和不利的设计类型引发的不理想的沟道区域转变为一组代表性的信息。基于这一方法,我们已经开发出一种封装工具包,叫做形状到电气引擎(S2E),用于为设计者仿真准确的设计性能。 
在另一实施例中,本公开内容提供了用于IC设计的方法和仿真。当仿真和设计一个例如晶体管的IC器件时,例如栅极区域的IC器件的特征通常是变形的且具有不规则形状。图5示出通过轮廓仿真得到的MOSFET器件的后OPC轮廓的略图的各个实例。所公开的方法不是分隔该特征,而是考虑栅极轮廓的整个区域并将不规则形状转变为具有等效栅极长度和宽度的规则形状。经过这样的处理之后,这种倒圆的器件的驱动电流和漏电功率可被有效地评估。因此,与SPICE模型的划分边界或其它物理问题以及数学建模无关。δLeff和δWeff分别代表上述变形的和所设计的栅极形状之间在长度和宽度上的差异。接着,优化了的δLeff和δWeff可以通过引入相应于电气的几何形状的 灵敏度而被评估,反之亦然。而且,重要的是参考相同划分区域用于漏电流预测。方程(1)和(2)示出对于提取的简化表示, 
( R patterning + 1 ) C g 1 = C g 2 + C g 3 · ( 1 + δL eff L ) · ( 1 + δ W eff W ) , - - - ( 1 )
dI * sat = C f 1 · [ η W ′ , L ′ · δ L eff + δ W eff ] + δL eff · δ W eff C f 1 · ( 1 L ′ + η W ′ , L ′ W ′ ) C f 3 , - - - ( 2 )
其中Cg1、Cg2、Cg3、Cf1、Cf2、Cf3是有关的调整因子。参数η是权重因子,且L、W和L’、W’分别是器件尺寸的制定和提取的设置。参数Rpatterning表示变形沟道区域指数,且dI* sat是电气的标准化驱动电流的差异。 
这两个方程可以表示为一个在方程(3)中的简单的几何形状和电气的传输矩阵, 
δ L eff δ W eff = A Electrics · G L - 1 G W - 1 + B Geometry · - η 1 - - - ( 3 )
其中GL和GW是灵敏度函数,且AElectrics和BGeometry分别是矩阵的电气部分和几何部分。 
S2E的操作简单且完全兼容目前的IC设计流程。如图4中阐述的,以一个简要的操作流程来执行S2E引擎,除了S2E操作的前和后的接口之外,这种流程与规则的情况相同。这种操作的输入是一个变形的轮廓图案,且其输出是器件参数表和校正网表,其将返回到用于后续的后仿真的规则流程。 
已经采取了一些测试来评估EDA工具的兼容性。在不同的实例中,结果的准确性由从65nm到55nm的技术节点的实验数据来验证。第一检查是基于具有对焦曝光矩阵(FEM)分割的情况的CD变化(CDV)检查。第二个则是对具有潜在电热点的那些晶体管实施全部芯片检查。在这两种示例性检查中,一些热点被确定并分类。 
CDV检查揭露了在由S2E引擎得到轮廓仿真之后检查栅极CD变化的可能性。CDV的结果可以用于检查设计是否满足设计者的预期。CDV检查在DFM中起着重要的作用,这是因为其是上述设计的CD变化灵敏度的直观体现。同时,S2E引擎能够有效地检查全部芯片的栅极CD。图6示出CDV的结果和用于这些实验中的器件的SEM图像。在这些实例中,根据仿真轮廓与SEM图像之间的对比,S2E引擎可以用于检查形状变化带来的影响,因为CDV的差异小于1.5%。 
在65nm的实际电路上的S2E引擎的检查已经表示在另一实例中。首要工作是确定有危险的设计图案及其对由拐角倒圆导致的电气性能造成的影响。在这个实例中,已经确定了一些晶体管并表明了对电气性能造成影响的严重性。例如,确定了具有严重的有源区和多晶硅倒圆的小器件。因此,S2E引擎不仅促进CDV的限定,还促进拐角倒圆的检查。 
S2E引擎可以从非理想的器件形状推导出其驱动电流,以检查该器件的行为是否是可接受的。具有不同形状的器件的电气数据可以被预先确定和关联。该数据包括设计和制造的信息,包括设计尺寸、图案化类型、光学临近效应、蚀刻偏离、CMP抛光补偿等等。因此,有必要将尺寸变化的整体行为关联到电气性能。该关联可在S2E引擎中实施,以预测器件的电气性能。采用多元回归方法和二次模型且用硅数据校准。方程(4)到(6)用于回归且在下面提供。用于建模的数据从SPICE模型或从测量中获得。数据建模的主要思想是首先关联发展后检查(ADI)和蚀刻偏离。下一步是建立一个具有蚀刻偏离和所有其它参数的隐函数的全局模型。 
为了表征初始数据,多变量回归方法用于硅数据校准,结果显示在方程(4)中。漏极电流可以表示如下: 
I sat = C 0 · GateLengt h C L drawn Pitch C P · GateWidth C W drawn · CD C ADI ADI - - - ( 4 )
其中CDADI是光刻胶形成后的测量的CD,且CS(C0、CL、CP、CW和CADI)是依据拟合结果的常量。光刻间距效应对Isat的关系可以简述为三维图。 
可替换地,数据校准可以用两步模型来实施,如方程(5)所示: 
I sat , n = offset 1 + Σ i , j , k = const . c 1 · X n · ( 1 + c k c j X n ) 2 - - - ( 5 )
其中Xn可以是长度、宽度、ADI、后蚀刻检查(AEI)偏离(bias)和一些相关参数。经过一些代数步骤之后,在实践中方程(5)可以融入一个的矩阵形式中以用于编程。 
I sat , n = offset + a 1 a 2 b 1 b 2 c 1 c 2 d 1 d 2 · L L 2 W W 2 ADI ADI 2 AEIbias AEIbias 2 T = offset + [ coeff ] · [ paramters ]
最后,标准化Isat,n可以转回到物理域: 
Isat=(offset2+C*×Isat,n)2.018          (6) 
在一个实例中,对于硅校准的模型准确性在5%以内。本文的研究对于硅数据校准,两种方法都采用了,用于制定工艺进程库或建立查询表。 
由于光刻工艺中的曝光和聚焦的偏移,则栅极CD将被改变,所以器件的电气建模和特性被关联到工艺窗口,且其统计结果被考虑。由于工艺窗口的分割,所以S2E引擎能够生成一组包括了形状和电气信息的拐角结果。相应于工艺偏差的各个电气参数的变化范围可以被评估。提出一个统一的统计表达式来描述器件的工艺偏移和电气特性之间的系统关系。一旦给定聚焦曝光条件,则通过方程(7)和(8)就可预见电气特性的变化: 
Figure G2009101694362D00111
和(7) 
Figure G2009101694362D00112
栅极CD分布对工艺窗口的结果由方程(9)来表示: 
CDgate,final=(CDgate,i,j,k)+(Variancei,j,k)    (9) 
类似地,电气参数可以概括为方程(10)到(12)。 
Figure G2009101694362D00113
Figure G2009101694362D00114
Yelec,final=(Yelec,i,j,k)+(Variancei,j,k)      (12) 
其中Y表示其中一个电气参数,ω是权重因子,k表示第k个晶体管,以及i、j表示曝光和散焦条件。 
在各个实施例中,所公开的方法提供一种方法,该方法为非矩形晶体管提取等效尺寸。开发S2E引擎来描述由分辨限度和制造工艺导致的变形沟道区域的特性。这种工具可以稍作修改而并入进现有的电路设计流程中。S2E引擎可封装成一个工具包,用于从形状到电气的有效尺寸提取、CDV检查以及统计分析,且最终对于具有严重的图案化失真的IC设计进行寄生部件提取。 
所公开的设计方法可以具有不同的实施例、变型和引伸。例如,该方法可以不同的顺序、某些循环和各个设计步骤的不同组合来实施。当考虑到迭加效应时,所有下层都联系到一个覆盖层的CMP仿真和RC提取。IC设计方法可以被适当的改变,用来优化性能而处理迭加效应。 
所公开的设计方法包括两级仿真。第一级仿真是基于IC设计布图来生成 物理参数、尺寸和几何形状,例如栅电极轮廓、有源区域轮廓和/或沟道轮廓。第一级仿真可以通过现有的物理设计工具来执行。例如,沟道轮廓可由SPICE生成。另一工具是由IBM(商用版本典型地为HSPIC)开发的ASTAP。SPICE可以用于仿真和生成其它几何尺寸,其对CMP工艺不敏感。在一个实例中,SPICE可以生成沟槽宽度。在另一实例中,SPICE用于并行地生成所有几何尺寸。在另一实施例中,第一级仿真包括制造仿真,例如光刻工艺检查(LPC)。在LPC仿真中,光刻工艺为IC布图轮廓而仿真。各种与制造工艺有关的故障区域、缺陷区域或薄弱区域统称为热点,其可被确定以进一步进行设计调整。 
第二级仿真包括仿真基于IC布图轮廓的IC器件的电气性能。第二级仿真可以进一步包括提取电气参数。在一个实施例中,第二级仿真包括基于预定义标准来检查IC布图热点。在另一实施例中,第二仿真包括建立一个数据库,以便该数据库可用作未来设计仿真的表。当考虑到新的IC设计布图时,IC布图轮廓从IC设计布图中生成。因此产生了有效矩形。为相应的有效矩形(例如有效沟道)保存仿真结果。当新的IC器件中的有效沟道矩形与数据库中的一个沟道布图相匹配时,所保存的仿真结果可以直接应用到新的IC器件的设计验证、评估、检查和/或热点辨别。第二级仿真为IC布图轮廓采用有效矩形。第二级仿真可以进一步包括生成最大矩形、长度/宽度校正和/或长度/宽度灵敏度。 
所公开的方法100和/或110可执行的环境包括具有由一个或多个总线互连的微处理器、输入设备、存储设备、显示器和通信设备的计算机系统。存储设备可以是软盘驱动器、硬盘驱动器、CD-ROM、光驱、闪存或任何其它形式的存储设备。通信设备可以是调制解调器、网卡或能够使计算机系统与其它节点通信的任何其它的设备。可以理解的是,任何计算机系统都可以代表多个互连(无论是通过内联网还是互联网)的计算机系统,包括但不限定于,个人计算机、主机、掌上计算机(PDA)和手机。 
一个计算机系统通常包括至少一个能够执行机器可读指令的硬件,以及用于执行(通常为机器可读指令)能够产生所需结果的软件。而且,计算机系统可以包括硬件和软件的混合体,以及计算机子系统。软件和硬件的组合还可以用于为本公开内容的某些实施例提供增强的功能和性能。一个实例是 在硅芯片中直接建立软件功能。该系统可被设计为在任何特定的架构上工作。例如,该系统可以在单一计算机、局域网、客户端服务器网、广域网、互联网、手提及其它便携式和无线设备和网络上执行。 
上述内容已经概述了几个实施例的特征,以便本领域技术人员可以更好的理解随后的详细描述。本领域技术人员应当认识到,为了实现与这里公开的实施例相同的目的和/或达到相同的优势,他们可以容易地利用本公开内容作为用于设计或修改其它工艺和结构的基础。本领域技术人员还应认识到,这种等效的设计没有脱离本公开内容的精神和范围,且在没有脱离本公开内容的精神和范围的情况下,他们可以在此做出多种改变、替换和变型。 

Claims (14)

1.一种集成电路(IC)设计方法,包括:
基于IC器件的IC设计布图和IC制造数据来提供IC布图轮廓;
生成有效矩形布图来代表该IC布图轮廓;以及
利用该有效矩形布图来仿真该IC器件;
其中,该IC布图轮廓包括栅极轮廓和有源区轮廓重叠的区域,并且,该方法不是分隔特征,而是考虑栅极轮廓的整个区域并将不规则形状转变为具有等效栅极长度和宽度的规则形状;
其中,有效矩形的生成包括:
在该IC布图轮廓内部生成最大矩形,其中该最大矩形定义了一个宽度和一个长度;
根据宽度方向上的该最大矩形和该IC布图轮廓之间的尺寸差异来得到对于该最大矩形的一个宽度校正。
2.如权利要求1的方法,其中IC布图轮廓的提供包括:
提供与将被执行以制造IC器件的IC制造有关的该制造数据;
提供该IC器件的该IC设计布图;以及
通过仿真,基于该IC设计布图和该IC制造数据生成该IC布图轮廓。
3.如权利要求2的方法,其中IC设计布图的提供包括,为该IC设计布图添加光学临近校正(OPC)特征。
4.如权利要求2的方法,其中IC布图轮廓的生成包括提供与该IC制造的光刻系统有关的光刻数据。
5.如权利要求4的方法,其中光刻数据的提供包括:
提供与该光刻系统的光刻曝光焦距有关的统计聚焦数据;
提供与该光刻系统的光刻曝光剂量有关的统计能量数据;以及
提供具有该IC设计布图的掩模图案的统计掩模数据。
6.如权利要求1的方法,其中该IC布图轮廓与金属氧化物半导体场效应晶体管(MOSFET)的沟道区域相关。
7.如权利要求1的方法,其中有效矩形的生成进一步包括:
根据长度方向上的该最大矩形和该IC布图轮廓之间的差异来得到对于该最大矩形的一个长度校正。
8.如权利要求7的方法,其中该IC器件的仿真包括:
为该IC器件的电气参数寻找一个宽度校正的宽度灵敏度;以及
为上述电气参数寻找一个长度校正的长度灵敏度,优选地其中该电气参数包括该IC器件的饱和电流。
9.如权利要求7的方法,其中寻找宽度校正包括在宽度方向上计算该最大矩形和该IC布图轮廓之间的平均宽度差异。
10.一种集成电路(IC)设计方法,包括:
根据IC器件的设计布图和IC制造数据生成该IC器件的沟道轮廓;
从该沟道轮廓中提取有效沟道矩形;以及
利用该有效沟道矩形仿真该IC器件的沟道轮廓;
其中,该方法不是分隔特征,而是考虑沟道轮廓的整个区域并将不规则形状转变为具有等效沟道长度和宽度的规则形状;
寻找在该沟道轮廓中的一个最大沟道矩形;以及
基于该沟道轮廓为该最大沟道矩形提取宽度校正和长度校正。
11.如权利要求10的方法,进一步包括从该沟道轮廓的仿真中提取该IC器件的电气参数。
12.如权利要求10的方法,其中沟道轮廓的生成包括基于制造数据仿真设计沟道布图,优选地,其中该制造数据包括统计光刻数据,更优选地其中该统计光刻数据包括聚焦数据、能量数据和掩模数据。
13.一种集成电路(IC)设计方法,包括:
提供IC器件的IC沟道设计布图;
基于该IC设计布图和IC制造数据生成IC沟道轮廓;
基于该IC沟道轮廓生成有效沟道矩形;以及
基于该有效沟道矩形生成该IC器件的电气参数;
其中,该方法不是分隔特征,而是考虑沟道轮廓的整个区域并将不规则形状转变为具有等效沟道长度和宽度的规则形状;
其中有效沟道矩形的生成包括:
在该IC沟道设计布图中生成最大沟道矩形;以及
根据该IC沟道轮廓,为该最大沟道矩形寻找一个宽度校正和一个长度校正。
14.如权利要求13的方法,其中有效沟道矩形的生成进一步包括:
所述方法进一步包括生成与不同IC沟道设计布图相对应的仿真的数据库。
CN2009101694362A 2008-02-28 2009-09-09 一种集成电路设计方法 Active CN101807219B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US3235808P 2008-02-28 2008-02-28
US12/211,624 US8037575B2 (en) 2008-02-28 2008-09-16 Method for shape and timing equivalent dimension extraction
US12/211,624 2008-09-16

Publications (2)

Publication Number Publication Date
CN101807219A CN101807219A (zh) 2010-08-18
CN101807219B true CN101807219B (zh) 2013-03-27

Family

ID=41014180

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101694362A Active CN101807219B (zh) 2008-02-28 2009-09-09 一种集成电路设计方法

Country Status (2)

Country Link
US (1) US8037575B2 (zh)
CN (1) CN101807219B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US7979815B2 (en) 2008-01-08 2011-07-12 International Business Machines Corporation Compact model methodology for PC landing pad lithographic rounding impact on device performance
US8296691B2 (en) * 2008-01-08 2012-10-23 International Business Machines Corporation Methodology for improving device performance prediction from effects of active area corner rounding
US8001494B2 (en) * 2008-10-13 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Table-based DFM for accurate post-layout analysis
US9087173B2 (en) * 2009-10-21 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Determining proximity effect parameters for non-rectangular semiconductor structures
US8806386B2 (en) * 2009-11-25 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Customized patterning modulation and optimization
US8745554B2 (en) * 2009-12-28 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Practical approach to layout migration
US8949080B2 (en) * 2010-08-25 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of designing integrated circuits and systems thereof
US20120192125A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Correcting and Optimizing Contours for Optical Proximity Correction Modeling
US8453089B2 (en) * 2011-10-03 2013-05-28 Globalfoundries Singapore Pte. Ltd. Method and apparatus for pattern adjusted timing via pattern matching
US8935643B2 (en) * 2011-10-06 2015-01-13 Mentor Graphics Corporation Parameter matching hotspot detection
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8434030B1 (en) 2012-01-05 2013-04-30 United Microelectronics Corporation Integrated circuit design and fabrication method by way of detecting and scoring hotspots
US9367655B2 (en) * 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8793638B2 (en) * 2012-07-26 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of optimizing design for manufacturing (DFM)
CN103777459A (zh) * 2012-10-25 2014-05-07 中芯国际集成电路制造(上海)有限公司 一种opc验证方法以及掩膜版的制备方法
US9256709B2 (en) * 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
JP5894645B1 (ja) * 2014-08-29 2016-03-30 株式会社日立製作所 半導体装置及びその品質管理方法
US9658538B2 (en) * 2014-12-19 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. System and technique for rasterizing circuit layout data
US10216963B2 (en) * 2016-12-12 2019-02-26 Anaglobe Technology, Inc. Method to protect an IC layout
CN112487747A (zh) * 2020-11-27 2021-03-12 深圳天狼芯半导体有限公司 功率元件仿真方法及装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7177788B2 (en) * 2001-10-17 2007-02-13 Infineon Technologies Ag Method for determining statistical fluctuations of values of geometrical properties of structures required for the fabrication of semiconductor components

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5048800A (en) 1988-12-27 1991-09-17 Kabushiki Kaisha Toshiba Vertical heat treatment apparatus
US5440478A (en) 1994-02-22 1995-08-08 Mercer Forge Company Process control method for improving manufacturing operations
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
JP3022315B2 (ja) 1996-04-26 2000-03-21 松下電器産業株式会社 回路抽出方法
US5847965A (en) 1996-08-02 1998-12-08 Avant| Corporation Method for automatic iterative area placement of module cells in an integrated circuit layout
JP3739201B2 (ja) 1998-03-06 2006-01-25 富士通株式会社 半導体チップの相関解析方法及び装置、半導体チップ歩留まり調整方法並びに記憶媒体
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6045619A (en) 1998-12-15 2000-04-04 United Microelectronics Corp. Horizontal-type silicon-nitride furnace
US6453447B1 (en) * 1999-08-19 2002-09-17 Aeroflex Utmc Microelectronic Systems Inc. Method for fabricating integrated circuits
AU1770301A (en) * 1999-11-18 2001-05-30 Pdf Solutions, Inc. System and method for product yield prediction using device and process neighborhood characterization vehicle
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US6549864B1 (en) 2001-08-13 2003-04-15 General Electric Company Multivariate statistical process analysis systems and methods for the production of melt polycarbonate
JP3735560B2 (ja) * 2001-11-09 2006-01-18 株式会社東芝 半導体集積回路の評価方法
EP1532670A4 (en) 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US6773931B2 (en) 2002-07-29 2004-08-10 Advanced Micro Devices, Inc. Dynamic targeting for a process control system
US8185230B2 (en) 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
US6810296B2 (en) 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
US6959224B2 (en) 2002-11-08 2005-10-25 Advanced Micro Devices, Inc. Probability constrained optimization for electrical fabrication control
US6917849B1 (en) 2002-12-18 2005-07-12 Advanced Micro Devices, Inc. Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
JP2006512758A (ja) * 2002-12-30 2006-04-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス窓を最適化するリソグラフィ・パラメータの決定方法
US6791679B2 (en) 2003-02-04 2004-09-14 Timbre Technologies, Inc. Adaptive correlation of pattern resist structures using optical metrology
JP4266668B2 (ja) 2003-02-25 2009-05-20 株式会社ルネサステクノロジ シミュレーション装置
JP4317701B2 (ja) 2003-03-12 2009-08-19 東京エレクトロン株式会社 処理結果の予測方法及び予測装置
US7313279B2 (en) 2003-07-08 2007-12-25 Computer Associates Think, Inc. Hierarchical determination of feature relevancy
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US20050021272A1 (en) 2003-07-07 2005-01-27 Jenkins Naomi M. Method and apparatus for performing metrology dispatching based upon fault detection
US7100134B2 (en) 2003-08-18 2006-08-29 Aprio Technologies, Inc. Method and platform for integrated physical verifications and manufacturing enhancements
US20070055892A1 (en) 2003-09-26 2007-03-08 Mentor Graphics Corp. Concealment of information in electronic design automation
JP4521640B2 (ja) * 2003-11-06 2010-08-11 カデンス・デザイン・システムズ・インコーポレーテッド 集積回路製作におけるデルタ情報設計クロージャ
US7360191B2 (en) * 2003-11-06 2008-04-15 Clear Shape Technologies, Inc. Delta information design closure integrated circuit fabrication
US20050112474A1 (en) * 2003-11-20 2005-05-26 Micronic Laser Systems Ab Method involving a mask or a reticle
DE10355573B4 (de) 2003-11-28 2007-12-20 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Produktionsausbeute durch Steuern der Lithographie auf der Grundlage elektrischer Geschwindigkeitsdaten
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
JP4183185B2 (ja) 2004-03-10 2008-11-19 インターナショナル・ビジネス・マシーンズ・コーポレーション 診断装置、検出装置、制御方法、検出方法、プログラム、及び記録媒体
WO2005103819A2 (en) * 2004-04-20 2005-11-03 Litel Instruments Method of emulation of lithographic projection tools
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
TWI267012B (en) 2004-06-03 2006-11-21 Univ Nat Cheng Kung Quality prognostics system and method for manufacturing processes
JP4834853B2 (ja) * 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
US7062737B2 (en) 2004-07-28 2006-06-13 Lsi Logic Corporation Method of automated repair of crosstalk violations and timing violations in an integrated circuit design
US20060048010A1 (en) 2004-08-30 2006-03-02 Hung-En Tai Data analyzing method for a fault detection and classification system
US7151976B2 (en) 2004-09-17 2006-12-19 Mks Instruments, Inc. Multivariate control of semiconductor processes
JP4768251B2 (ja) 2004-11-01 2011-09-07 株式会社東芝 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
US7743349B2 (en) * 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
JP4455359B2 (ja) 2005-01-31 2010-04-21 Necエレクトロニクス株式会社 半導体装置設計プログラム
US7144297B2 (en) 2005-05-03 2006-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to enable accurate wafer prediction
JP2006343952A (ja) 2005-06-08 2006-12-21 Fujitsu Ltd 製造管理装置、製造管理方法および製造管理プログラム
US7827509B2 (en) * 2005-07-15 2010-11-02 Lsi Corporation Digitally obtaining contours of fabricated polygons
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7870381B2 (en) 2006-02-08 2011-01-11 International Business Machines Corporation Schema-based portal architecture for assessment and integration of silicon IPs
JP5077770B2 (ja) * 2006-03-07 2012-11-21 株式会社ニコン デバイス製造方法、デバイス製造システム及び測定検査装置
US7503029B2 (en) 2006-03-31 2009-03-10 Synopsys, Inc. Identifying layout regions susceptible to fabrication issues by using range patterns
US8336002B2 (en) 2006-05-15 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. IC design flow enhancement with CMP simulation
US7954072B2 (en) 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US7685558B2 (en) 2006-05-15 2010-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for detection and scoring of hot spots in a design layout
US7689954B2 (en) 2006-05-25 2010-03-30 Wisconsin Alumni Research Foundation Efficient statistical timing analysis of circuits
US7448018B2 (en) * 2006-09-12 2008-11-04 International Business Machines Corporation System and method for employing patterning process statistics for ground rules waivers and optimization
US7665048B2 (en) * 2006-12-18 2010-02-16 Cadence Design Systems, Inc. Method and system for inspection optimization in design and production of integrated circuits
US7865864B2 (en) 2008-02-01 2011-01-04 International Business Machines Corporation Electrically driven optical proximity correction

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7177788B2 (en) * 2001-10-17 2007-02-13 Infineon Technologies Ag Method for determining statistical fluctuations of values of geometrical properties of structures required for the fabrication of semiconductor components

Also Published As

Publication number Publication date
US20090222785A1 (en) 2009-09-03
CN101807219A (zh) 2010-08-18
US8037575B2 (en) 2011-10-18

Similar Documents

Publication Publication Date Title
CN101807219B (zh) 一种集成电路设计方法
US9977856B2 (en) Integrated circuit layout design methodology with process variation bands
US6775818B2 (en) Device parameter and gate performance simulation based on wafer image prediction
US8176445B1 (en) Method and system for optimizing integrated circuit layout
US8001494B2 (en) Table-based DFM for accurate post-layout analysis
US10860769B2 (en) Method and system for integrated circuit design with on-chip variation and spatial correlation
US8024675B1 (en) Method and system for wafer topography-aware integrated circuit design analysis and optimization
US8543958B2 (en) Optical proximity correction aware integrated circuit design optimization
US11475201B2 (en) Inclusion of stochastic behavior in source mask optimization
US20120054694A1 (en) Aerial Image Signatures
US7788630B2 (en) Method and apparatus for determining an optical model that models the effect of optical proximity correction
US20180121586A1 (en) Coupled-Domains Disturbance Matrix Generation For Fast Simulation Of Wafer Topography Proximity Effects
Ban et al. Modeling and characterization of contact-edge roughness for minimizing design and manufacturing variations in 32-nm node standard cell
US7979815B2 (en) Compact model methodology for PC landing pad lithographic rounding impact on device performance
Gupta et al. Modeling edge placement error distribution in standard cell library
Fathy et al. Litho aware method for circuit timing/power analysis through process
Yin et al. Process window tripling by optimized SRAF placement rules: AP/DFM: Advanced patterning/design for manufacturability
Perry et al. Model-based approach for design verification and co-optimization of catastrophic and parametric-related defects due to systematic manufacturing variations
Salem et al. An automated methodology for implementing a DFM-aware standard cell library under process window and context variations
Qian et al. Advanced physical models for mask data verification and impacts on physical layout synthesis
Abercrombie et al. Use of lithography simulation for the calibration of equation-based design rule checks
Qian LithoScope: simulation-based mask layout verification with physical resist model
Zhang et al. Characterization of the performance variation for regular standard cell with process nonidealities
Ban Lithography variability driven cell characterization and layout optimization for manufacturability
KR20030032097A (ko) 프로세스 디바이스 시뮬레이션 시스템 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant