CN101065510B - 具有层化涂覆的制程室组件及方法 - Google Patents

具有层化涂覆的制程室组件及方法 Download PDF

Info

Publication number
CN101065510B
CN101065510B CN2005800400501A CN200580040050A CN101065510B CN 101065510 B CN101065510 B CN 101065510B CN 2005800400501 A CN2005800400501 A CN 2005800400501A CN 200580040050 A CN200580040050 A CN 200580040050A CN 101065510 B CN101065510 B CN 101065510B
Authority
CN
China
Prior art keywords
coat
gas
coating
assembly
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005800400501A
Other languages
English (en)
Other versions
CN101065510A (zh
Inventor
林益兴
许大江
克利福德·斯托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101065510A publication Critical patent/CN101065510A/zh
Application granted granted Critical
Publication of CN101065510B publication Critical patent/CN101065510B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/02Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material
    • C23C28/021Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material including at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/44Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by a measurable physical property of the alternating layer or system, e.g. thickness, density, hardness
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/131Wire arc spraying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12743Next to refractory [Group IVB, VB, or VIB] metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • Y10T428/12757Fe
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/24992Density or compression of components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249981Plural void-containing components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249987With nonvoid component of specified composition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Abstract

一种基板制程室组件(20),其可曝露于一制程室中的高能化气体中。该组件具有下衬结构(24)及第一30a)及第二涂覆层(30b)。该第一涂覆层(30a)形成于该下衬结构上方,及具有低于约25微米的平均表面粗糙度的第一表面(32)。该第二涂覆层(30b)形成于该第一涂覆层上方,及具有至少约50微米的平均表面粗糙度的第二表面(25)。制程残余物会附着于该第二涂覆层(30b)的表面,以减少经处理的基板的污染。

Description

具有层化涂覆的制程室组件及方法
技术领域
本发明是有关于用于基板制程室的组件。
背景技术
在基板(如,半导体晶圆及显示器)制程中,一基板会置放于一制程室中,且曝露于一高能气体中,以将材料沉积于基板上或对基板上的材料进行蚀刻。在这样的制程期间,会产生制程残余物,且这些制程残余物会沉积在该室的内表面上。例如,在溅镀沉积过程中,由一标靶溅镀而用于沉积在一基板上的材料,亦会沉积在室中其他组件的表面上,如沈积于沉积环上、阴影环上、内壁衬垫上、及聚焦环上。在后续的制程中,所沉积的制程残余物会由室壁表面剥落,而掉在该基板上,造成污染。
为了减少基板因制程残余物所造成的污染,该室内组件的表面应具有特殊结构。制程残余物较易附着在曝露的特殊结构表面,且可避免因剥落而污染了室内的基板。通过在一组件上涂布一粗糙表面,可形成具有特殊结构的组件表面,如以下范例中所描述般:美国专利案第6,777,045号(颁证日2004/08/17,发明人Shyh-Nung Lin等人,共同受让人是AppliedMaterials公司)及美国专利申请案序号10/833,975(申请日2004/04/27,发明人Lin等人,共同受让人是Applied Materials公司),所述文献是以引用的方式并入本文中。表面较粗糙的涂层较能累积且留住基板制程的制程残余物,以降低基板在室内处理时的污染。
然而,涂布于涂层上的表面粗糙度会受限于涂层与下衬组件结构的黏合特质。例如,因目前制程所造成的两难状况是,令表面粗糙度增加,且因此而提升制程残余物的附着力的涂层,一般亦较不易黏着于下衬的结构上。对于组件上具有不相似成分的涂层(例如,陶瓷或不锈钢组件上的铝涂层)而言,如此的状况尤是。具有较弱附着力涂层的基板的制程,会造成涂层由下衬结构的脱层、破裂、及剥落。该室中的等离子体会穿透涂层的受损区,而腐蚀下衬结构的表面,最后导致该组件的失效。因此,具有涂层的组件一般无法同时提供合适的黏着力及良好的残余物附着特质。
因此,我们期望能够拥有一种具有涂层的组件及方法,其可令制程残余物对组件表面具有改良的附着力,使实质上,涂层不由组件脱层。我们更期望可拥有一种具有涂层的组件及方法,其可提供表面较为粗糙的良好黏着涂层,而可改良制程残余物的附着力。
发明内容
在一态样中,在一制程室中,能够曝露于一高能化气体的基板处理室组件,具有一下衬结构及第一及第二涂覆层。该第一涂覆层形成于该下衬结构上方,且具有一第一表面,其平均表面粗糙度低于约25微米。该第二涂覆层形成于该第一涂覆层上方,且具有一第二表面,其平均表面粗糙度至少为约50微米。制程残余物可附着于该第二涂覆层的表面,以降低经处理的基板的污染。
在另一态样中,基板处理室组件具有一下衬结构,该下衬结构是由不锈钢、铝与钛的至少一个所形成。该组件具有一铝的第一喷涂涂覆层位在该下衬结构上方,该第一喷涂涂覆层具有:(1)低于约10%的孔隙度;以及(2)一第一表面,其平均表面粗糙度低于约25微米。该组件也具有一铝的第二喷涂涂覆层位在该第一喷涂涂覆层上方,该第二喷涂涂覆层具有:(1)至少约12%的孔隙度;以及(2)一第二表面,其平均表面粗糙度至少约50微米。制程残余物会附着于该第二表面,而减少经处理的基板的污染。
在一态样中,一种制备基板处理室组件的方法包括提供一下衬结构,以及喷涂一第一涂覆层至该下衬结构上。第一喷涂参数被维持以在该第一涂覆层上形成一第一表面,其中该第一表面的平均表面粗糙度低于约25微米。一第二涂覆层被喷涂在该第一涂覆层上方,同时维持第二喷涂参数以在该第二涂覆层上形成一第二表面,其中该第二表面的平均表面粗糙度至少约50微米。
在另一态样中,提供一种能够在一结构上形成一涂层的双线弧形喷涂器。该喷涂器具有第一及第二电极,其能够承受偏压而在其间产生一电弧,至少一电极具有自耗电极。该喷涂器亦可具有一压缩气体的供应器,其可引导压缩气体通过所述电极,及一压缩气体所流通经过的喷嘴。该喷嘴具有可接收压缩气体的导管,及具有一入口的圆锥部份,其附着于该导管及释放压缩气体的出口之处。该圆锥部份具有倾斜的导管侧壁,由入口向出口往外扩大。该入口具有一第一直径,及该出口具有一第二直径,该第二直径为该第一直径的1.5倍大小,藉以可选择流过该喷嘴的压缩气体的压力,以提供一预设平均表面粗糙度的涂层。该自耗电极至少部份会因电弧而熔化,形成熔化的材料,及该熔化材料会通过压缩气体推进,而通过该喷嘴,涂布于该结构上,形成涂层。该喷嘴可选择压缩气体的压力,以提供一预设平均表面粗糙度的涂层。
附图说明
经由上文叙述、所附权利要求及绘示本发明范例的附加图式,可更了解本发明的特征、观点及优点。然而,应了解,各特制件可普遍用在本发明中,而非仅用于特定图式内容,且本发明包含所述特制件的任何组合,其中:
图1具有第一及第二涂覆层的制程室组件的实施例的部份侧剖面图;
图2能够在一组件上形成一涂层的热喷涂器的实施例的部份示意图;
图3a及图3b分别为热喷涂器喷嘴的实施例的部份侧剖面图及偏移俯视图,该热喷涂器喷嘴能够形成具有不同平均表面粗糙度的范围的涂覆层;及
图4是一基板制程室的实施例的部份侧剖面图。
主要元件符号说明
20   组件            22   涂层
24   结构            25   曝露的特殊材料表面
26   表面            30a,b  层
32   表面            32a,b  第一层表面
32   表面            104  基板
105  表面            106  制程室
109  制程区          112  分送系统
114  基板支架        116  气体激发器
118  密封壁          118  制程室密封壁
120  遮罩            120a,b  下遮罩部份
122  排气口          124  标靶
126  遮盖环          128  沉积环
130  支架            132  绝缘环
133  活动遮片        134  上表面
135  高能化线圈      137  线圈支架
141  夹钳遮罩        164  包含侧壁
166  底壁            168  顶罩
170  电极            172  电源供应
174  气体来源        176  导管
178  控制阀          180  气体分布器
182  气体出口        184  排气门
186  排气管          188  节流阀
190  排气泵          192  电源供应
194  控制器          400  热喷涂器
402  喷嘴            403  入口
404  导管            405  入口
406  圆锥部份        407  出口
408  圆锥侧壁        409  中央轴
450  起弧区          452  电源供应
454  气体供应        456  压缩空气源
458  导管            490,499  自耗电极
具体实施方式
图1显示适用于一基板制程室的组件20。该组件20包含一涂层22,其具有制程残余物可附着的特殊结构的表面25,且亦可避免下衬组件的腐蚀。具有涂层22的组件20可以是该室106中的组件,其易受腐蚀及/或累积制程残余物,所述组件是(例如)以下至少一个的部份:气体分送系统(其提供该室106中的处理气体)112、支持该室106中的基板104的基板支架114、令该处理气体高能化的气体激发器116、舱室密封壁118及遮罩120、及将气体由该室106排出的排气口122,在图4中显示其示范实施例。例如,在一物理气相沉积室106中,该涂层组件包含以下任一者:舱室密封壁118、一舱室遮罩120、一标靶124、一遮盖环126、一沉积环128、一支持环130、绝缘环132、一线圈135、线圈支架137、溅镀板133、夹钳遮罩141、及一基板支架114的表面134。
该舱室组件20包含一下衬结构24,其具有覆盖至少部份该结构24的上覆涂层22,如图1所示般。该下衬结构24包含抗高能气体腐蚀的材料,该高能气体(例如)形成于基板制程环境中。例如,该结构24包含一金属,例如以下至少一个:铝、钛、钽、不锈钢、铜及铬。在一态样中,包含改良的抗腐蚀緎的结构24包含以下至少一个:铝、钽、及不锈钢。该结构24亦包含一陶瓷材料,例如以下至少一个:矾土、硅土、氧化锆、氮化硅及氮化铝。该结构24表面26与该涂层22接触,且其表面较佳为具有表面粗糙性,这可改良上覆涂层22对该结构24的附着力。例如,该结构26的表面粗糙度至少约为2.0微米(80微英寸)。
可通过提供包含至少二涂层材料的涂覆层30a,b的涂层22,来改良基板制程。该多层涂层22包含二涂覆层30a,b,其特征可选择以提供该涂层22对该下衬结构24的良好黏着力,且亦可改良该制程残余物的附着力。我们希望该涂层22包含一第一层30a及一第二层30b,该第一层30a形成于该下衬结构24的至少部份表面26上方,该第二层30b形成于该第一层的至少部份上方。用于该第一及第二层30a,b中至少一个的合适材料包含(例如)一金属材料,例如以下至少一个:铝、铜、不锈钢、钨、钽及镍。该第一及第二层30a,b中至少一个亦包含一陶瓷材料,例如以下至少一个:氧化铝、氧化硅、碳化硅、碳化硼、及氮化铝。在一态样中,该涂层22包含至少一铝层30a,b,其形成在一下衬结构24的上方,该下衬结构24包含以下至少一个:不锈钢及氧化铝。虽该涂层22仅由二层30a,b组成,然而该涂层22亦可包含多层可提供改良特征的材料。
该涂层22较佳包含一第一层30a,其特征为提供对下衬结构24的表面26的强化黏着力。在一态样,具有特殊结构表面32的第一层30a可提供改良的结果,该表面32具有一第一平均表面粗糙度,其够低而足以提供该第一层30a对该下衬结构24的良好黏着力。该表面的平均粗糙度是沿着表面的粗糙特制件的尖端与低凹处的平均线位移量的绝对值平均数。具有较低表面粗糙度的该第一层30s,会展现良好的黏着特征,例如,在该层30与该下衬表面26之间的较佳接触区。具有较低表面粗糙度的第一层30a,一般亦具有较低的孔隙度,而通过降低黏合介面的孔洞数,即可增进下衬表面26的黏着力。合适的第一层30a包含平均表面粗糙度(例如)低于约25微米(1000微英寸)的表面32,例如,由约15微米(600微英寸)至约23微米(900微英寸),及甚至约20微米(800微英寸)。该第一层30a适宜的孔隙度低于约体积的10%,如,由占体积的约5%至约9%。该第一层30a的厚度可选择,以提供对下衬表面26的良好附着力,而提供良好的抗腐蚀性,且该厚度可以为(例如)由约0.10mm至约0.25mm,例如,由约0.15mm至约0.20mm。
该涂层22更包含一第二涂覆层30b,其形成于至少部份的该第一层30a的上方,该第一层30a具有可提供对制程残余物的改良附着力的特殊结构曝露表面25。例如,该第二涂覆层30b包含一特殊结构曝露表面25,其平均表面粗糙度大于该第一层30b。第二层曝露表面30b的较高表面粗糙平均值,可强化制程残余物对该曝露表面的附着力,降低材料由特殊结构曝露表面25剥落或碎裂的发生机会,并避免处理中的基板104与组件20的污染。适合提供改良的制程残余物附着力的特殊结构曝露表面25的平均表面粗糙度,其平均表面粗糙度至少约50微米(2000微英寸),及甚至至少约56微米(2200微英寸),例如,由约56微米(2200微英寸)至约66微米(2600微英寸)。表面较粗糙的第二层30b亦具有较高的孔隙度程度,其高于该第一涂覆层30a,例如,至少约12%体积的孔隙度,例如由约12%至约25%的体积,及甚至至少约15%的体积。足以提供该第二层30b对该第一层30a的表面32的良好附着力的第二层30b的厚度,在维持对高能化气体的良好抗腐蚀性之时,是由约0.15mm至约0.30mm,例如由约0.20mm至约0.25mm。
包含该第一层及第二层30a,b的该涂层22,可提供涂层22对下衬结构24的黏着力及残余物对涂层22的附着力的本质改良。包含该第一较低表面粗糙度平均值的第一层30a,能够形成与下衬结构24的表面26的强黏着力,及因此可将该涂层22固定于下衬结构24。包含该第二较高表面粗糙度平均值的第二层30b,相较于具有较低粗糙度平均值的表面,更能够累积及维持更大体积的制程残余物,及因此可改良具有涂层22的组件20的制程耐受力。因此,具有该第一及第二涂覆层22的涂层22,可提供基板制程中改良的效能,同时亦减少了涂层22由该结构24碎裂,且降低了经处理的基板104的污染。
在一态样中,该第一及第二涂覆层30a,b较佳包含可强化该二层30a,b间的黏合的材料成分。例如,该第一及第二涂覆层30a,b可由本质上具有相似热膨胀系数(例如,差异低于约5%的热膨胀系数)的材料所组成,以减少所述层30a,b因热膨胀不相匹配而造成的碎裂。在较佳态样中,该第一及第二层30a,b包含相同的组成,以提供该第一及第二层30a,b的最理想的附着力及热匹配度。例如,该第一及第二层30a,b可由铝所组成。因为包含相同材料的第一及第二层所具有的性质会彼此良好匹配,且对制程环境中的不同应力会有相似的回应,故可设置具有较高平均表面粗糙度的第二层,而仍维持该第二层对该第一层的良好附着力。
通过一轮廓检测仪或通过一扫描电子显微镜,即可判定该第一及第二层30a,b的平均表面粗糙度,该轮廓检测仪将一针分别通过表面32,25,且在所述表面上产生表面粗糙高度的变动的图形记录,该扫描电影显微镜使用由所述表面所反射的电子束来产生所述表面的影像。在量测如粗糙度平均值或其他特征等的表面性质时,可使用详细说明了合适切断长及评估长度的国际标准ANSI/ASME B.46.1-1995。以下的表格I显示依该标准所界定的粗糙度平均值,合适切断长,与最小评估长度与典型评估长度间的对应关是:
                                    表格I
  粗糙度平均值   切断长度   最小评估长度   典型评估长度
  0至0.8微英寸(0 to 0.02μ)   0.003微英寸(0.08mm)   0.016微英寸(0.41mm)   0.016微英寸(0.41mm)
  0.8至4微英寸(0.02 to 0.1μ)   0.010微英寸(0.25mm)   0.050微英寸(1.3mm)   0.050微英寸(1.3mm)
  4至80微英寸(0.1 to 2μ)   0.030微英寸(0.76mm)   0.160微英寸(4.1mm)   0.160微英寸(4.1mm)
  80至400微英寸(2 to 10μ)   0.100微英寸(2.5mm)   0.300微英寸(7.6mm)   0.500微英寸(13mm)
  400微英寸以上(10μ以上)   0.300微英寸(7.6mm)   0.900微英寸(23mm)   1.600微英寸(41mm)
包含该第一及第二层30a,b的涂层22,可提供仅单一层的涂层改良的结果,而该涂层会展现对制程残余物较强的附着力,且可更强黏合于下衬的结构。例如,包含一第一层30a及一第二层30b的涂层22,可用以处理基板104至少约200RF小时,且实质上不污染该基板,该第一层30a的平均表面粗糙度低于约25微米(1000微英寸),及该第二层30b的平均表面粗糙度是大于约51微米(2000微英寸)。相对的,习用的单层涂层必须清洁组件以避免污染基板之前,仅可处理基板104少于约100RF小时。
可通过一方法涂敷所述涂覆层30a,b,该方法即在该涂层22与该下衬结构24之间提供强力黏合,以保护该下衬结构24。例如,至少一涂覆层30a,b的涂敷,可通过一热喷涂程序,例如以下至少一个:双线弧形喷涂程序、火焰喷涂程序、等离子体电弧喷涂程序、及氢氧焰喷涂程序。除了热喷涂程序以外,可通过化学或物理沉积程序来形成至少一涂覆层。在一态样中,下衬结构24的表面26在所述层30a,b沉积之前,会先进行液滴撞击,以通过从该表面26移除任何的松散粒子,而提升后续涂敷的涂层22的附着力,且提供黏着至该第一层30a的最适表面质地。经液滴撞击的表面26会进行移除液滴粒子的清除工作,且令该表面26干燥,以令任何残留在该表面26上的湿气蒸发,而提供所述涂覆层30a,b的良好附着力。
在一态样中,该第一及第二涂覆层30a,b会通过一双线弧形喷涂程序而涂敷于该组件20,例如描述于下者:美国专利案第6,227,435 B1号(颁证日2001/03/08,发明人Lazarz等人)及美国专利案第5,695,825号(颁证日1997/12/09,发明人Scruggs),所述文献是以引用的方式并入本文中。如图2的范例所示,在该双线弧形喷涂程序中,一热喷涂器400包含二自耗电极490,499,其形状及角度皆可令电弧形成于所述电极490,499间的起弧区450。例如,所述自耗电极490,499包含一双电线,其由涂层的金属形成于该组件20的表面22上,且其角度朝向彼此,以允许在最靠近的点之处产生放电。当来自(例如)一电源供应452的电压施加至所述自耗电极490,499,而同时一载流气体在所述电极490,499之间流动时,在所述自耗电极490,499之间可产生一电弧放电,该载流气体是例如氮气或氩气中至少一个。该载流气体可通过一气体供应454而提供,该气体供应454包含一压缩空气源456及一导管458或其他导引构件,以引导该压缩气体通过所述电极490,499。所述电极490,499间的起弧,可令所述电极490,499上的金属原子化及至少部份液化,且通过所述起弧电极490,499高能化的载流气体,会将熔化的粒子由该热喷涂器400推挤,且到达该组件20的表面26。所述熔化粒子撞击在该组件的表面上,在此处冷却且凝聚而形成一保形涂覆层30a,b。所述自耗电极490,499(例如,自耗电线)可连续地馈入该热喷涂器,以提供连续供应的金属材料。
在热喷涂期间的操作参数可为了适用于调整该涂层材料涂敷的特征而选择,例如,涂层材料由该热喷涂器到达该组件时的温度及速度。例如,由该热喷涂器往该表面26的载流气体流速、载流气体压力、功率位准、电线馈入速率、相隔距离、及涂层材料相对于该表面26的沉积角度,皆可为了增进该涂层材料的涂敷及该涂层22对下衬结构表面26的后续黏附而加以选择。例如,所述自耗电极490,499间的电压可在由约10伏特至约50伏特之间选择,例如,约30伏特。此外,在所述自耗电极490,499之间流动的电流可在由约100安培至约1000安培之间选择,例如,约200安培。该热喷涂器的功率位准的范围通常为由约6至80千瓦特,例如,约10千瓦特。
亦可为了调整该表面26上的涂层材料的沉积物特征,而选择该沉积物的相隔距离及角度。例如,可调整沉积物的相隔距离及角度,以修正溶化的涂层材料冲击该表面时溅镀的型态,以形成(例如)「薄饼式」及「薄片状」型态。亦可调整该沉积物的相隔距离及角度,以修正该涂层材料撞击该表面26时的相位、速度、或液滴尺寸。在一实施例中,该热喷涂器400与该表面间的相隔距离是约15cm,及该涂层材料在该表面26上的沉积物角度是约90°。
可调整该涂层材料的速度,以该涂层材料使适当地沉积于该表面26上。在一实施例中,该粉未式涂层材料的速度是由约100至约30米/秒。亦,可调适该热喷涂器400,是故当该涂层材料撞击该表面时,该涂层材料的温度是至低为约熔点。高于熔点的温度会产生高密度及黏合强度的涂层。例如,接近放电的高能化载流气体的温度会超过5000℃。然而,接近放电的高能化载流气体的温度亦可设定为够低,以致于该涂层材料在撞击该表面26时,仍维持熔化态一段时间。例如,一段合适的时间应至少约数秒钟。
所述热喷涂处理参数依期望而选择,以提供具有期望的结构及表面特征的含该二层30a,b的涂层22,例如,期望的涂层厚度、涂层表面粗糙度、及涂层的孔隙度,而这可促成该涂层组件20的改良效能。在一态样中,通过在形成第一层30a的第一步骤期间,维持所述第一热喷涂处理参数,及在形成具有较高平均表面粗糙度的第二层30b的第二步骤期间,将所述热喷涂处理参数改成一第二参数组,可形成一涂层22。例如,所述第一热喷涂处理参数适合形成平均表面粗糙度较低的表面32的第一层30a,而所述第二热喷涂参数适合形成平均表面粗糙度较高的表面32的第二层30b。
在一态样中,用以沉积该第一层30a的第一热喷涂处理参数包含较高第一气压的载流气体,及用以沉积该第二层30b的第二热喷涂处理参数包含低于该第一气压的较低第二气压的载流气体。例如,在该第一层30a沉积期间所维持的载流气体的第一气压,应至少约200千帕(30磅/平方英寸),例如,由约275千帕(40PSI)至约415千帕(60PSI)较高气压的载流气体会令该结构表面26上喷涂涂层材料成为更致密的压塞,因而提供具有较低的平均表面粗糙度的结果层。在该第二层30b沉积期间所维持的载流气体的第二气压,应低于200千帕(30PSI),且甚至低于175千帕(25PSI),例如由约100千帕(15PSI)至约175千帕(25PSI)。在该第一与该第二层30A,B的沉积之间,亦可改变其他参数,以提供该层所欲的性质。
在一态样中,沉积一第一铝层30a的第一热喷涂程序,包含维持该载流气体的第一气压于约415千帕(60PSI),同时施加约10瓦特的功率位准于所述电极490,499。由该下衬结构24表面26的相隔距离会维持在约15厘米(6英寸),及对该表面26的沉积角度会维持在约90°。沉积一第二铝层30b的第二热喷涂程序,包含维持该载流气体的第一气压于约175千帕(25PSI)的低气压,同时施加约10瓦特的功率位准于所述电极490,499。由该第一铝层30a表面32的相隔距离会维持在约15厘米(6英寸),及对该表面32的沉积角度会维持在约90°。
根据本发明的原理,改良的热喷涂器400已发展为可供形成该第一及第二层30a,b两者所用,该第一及第二层30a,b是使用相同热喷涂器400,而具有较高及较低的平均表面粗糙度。在一态样中,该改良的热喷涂器400包含一改良喷嘴402,其实施例显示于图3a及图3b。该改良喷嘴402包含一导管404及一圆锥部份406,该导管404可接收压缩气体及熔化涂层粒子,及该圆锥部份406可将该压缩气体及熔化粒子由该热喷涂器400释放,以令该熔化的涂层材料喷涂于该组件结构上。该导管404包含一入口403,其可接收由该电弧区流入该导管的该压缩气体及涂层粒子。该圆锥部份406包含一入口405及一出口407,该入口405可接收来自该导管的该压缩气体及涂层粒子,及该出口407可由该喷嘴402释放该气体及熔化的涂层粒子。
该圆锥部份406的内壁包含多个斜锥侧壁408,其关于该圆锥部份406的中央轴409,而由距该圆锥部份入口405第一距离d1处向外延展,至距该圆锥部份出口407的第二距离d2处。所述斜锥侧壁408可提供流经该部份的锥状流径,由该入口405处的较窄流径逐渐增加至该出口407处的较宽流径。例如,所述圆锥侧壁408包含由约5mm至约23mm的第一直径,例如由约10mm至约23mm,及甚至由约10mm至约15mm。一第二直径是由约20mm至约35mm,例如由约23mm至约25mm。该出口407的较佳第二直径可以是(例如)至少约该入口405的第一直径大小的1.5倍,例如,由约1.5倍至约2倍的入口直径大小。所述斜锥侧壁408关于另一者会形成由约60°至约120°的角度α,例如,约90°。
该改良喷嘴402可使压缩气体及熔化的涂层粒子通过,以供沉积具有一范围的平均表面粗糙度的涂覆层30a,b所用。根据期望的第一及第二层30a,b的最小及最大表面粗糙度,可选择该圆锥部份入口405的第一直径d1,较小的第一直径利于形成较低范围的平均表面粗糙度,及较大的第一直径可促进形成较高范围的平均表面粗糙度。可依该喷涂涂层材料的期望扩展及分布范围来决定该第二直径d2的大小,以提供期望的涂层性质。接着,可为了提供期望的平均表面粗糙度,而加以选择所述喷涂处理参数。例如,可提供较高的载流气体气压,以形成平均表面粗糙度较低的层30a,反之,可提供较低的载流气体气压,以形成平均表面粗糙度较高的层30b。较高气压的气体可令该熔化的涂层材料较致密且同质地一起压塞在该组件结构的表面上,以产出较低表面粗糙度的结构,其至少部份导因于较高馈给速率的涂层材料。较低的气压导致较低的馈给速率,且因此产生较高的孔隙度及较高对平均表面粗糙度的涂层结构。该改良喷嘴402可允许有效率地制造该组件20上具有不同平均表面粗糙度的层30a,b,亦可同时虑及期望的喷涂性质,例如,该涂层粒子的扩展及分布,且实质上各层30a,b不需要分离的装置或再设定为数众多的喷涂参数。
一旦该涂层22已涂敷,该涂层22表面25的疏松涂层粒子或其他污染物就应清除。可使用干净的液体清洁该表面25,该干净液体例如下列中至少一个:水、酸性清洁剂、及碱性清洁剂,且可随机地通过超声波振动该组件20。在一态样中,该表面25的清洁可通过去离子水进行冲洗。
亦可在处理至少一基板104之后,才清洁及刷新该涂层组件20,以由该组件20除去该涂层22累积的制程残余物及腐蚀部份。在一态样中,该组件20的刷新可通过除去该涂层22及制程残余物,及通过执行多样清洁处理,以在再涂敷该涂覆层30a,b之前,先行对该下衬表面26进行清洁。该下衬表面26的清洁可提供该下衬结构24与后续再形成的涂层22间的强化黏合。一旦完成该下衬结构的清洁,例如,通过一种描述于美国专利申请案第10/833,975号(发明人Lin等人,申请日2004/04/27,共同受让人为Applied Materials公司)的清洁方法,其以引用的方式并入本文中,该涂层22可再形成于该下衬结构24的表面26上方。
图4显示具有含涂覆层30a,b的组件的合适制程室的范例。该室106可以是多室平台(未示)的一部份,该平台具有一群互相连通的室,其通过将所述基板104在所述室106之间传送的机械臂构造而连接。在显示的态样中,该制程室106包含一溅镀沉积室,其亦称为物理气相沉积或PVD室,可令沉积材料溅镀在一基板104上,该沉积材料是例如以下至少一个:钽、氮化钽、钛、氮化钛、铜、钨、氮化钨及铝。该室106包含密封一制程区109的多个密封壁118,及该制程区109包含多个侧壁164、一底壁166、及一顶罩168。一支持环130配置在所述侧壁164与该顶罩168之间,以支持该顶罩168。其他室壁包含至少一遮罩120,其使所述密封壁118由该溅镀环境得到庇护。
该室106包含一基板支架130,以支持位于该溅镀沉积室106中的基板。该基板支架130可以是电浮动的,或包含一电极170,其通过一电源供应172(例如,RF电源供应)而产生偏压。该基板支架130亦包含一活动遮片133,其在无该基板时,可保护该支架130的上表面134。在操作时,该基板104会经由该室106的侧壁164的基板装载入口(未示)送入该室106,且置放于该支架130上。该支架130会通过下方的支架升降机上升或下降,且在该基板104送入及送出该室106之时,可使用一指状升降装备(未示)来升起或降低该支架130上的基板。
该支架130亦包含至少一环,例如,一遮盖环126及一沉积环128,其覆盖至少部份的该支架130的上表面134,以避免该支架130的腐蚀。在一态样中,该沉积环128至少部份环绕该基板104,以保护部份该支架130免于为该基板104所覆盖。该遮盖环126环绕及覆盖了至少部份的沉积环128,且减少沉积在该沉积环128及该下衬支架130两者的上的粒子。
例如溅镀气体的制程气体会经由一气体分送系统注入该室106,该气体分送系统112包含一制程气体供应,其包含各馈入一导管176的至少一气体来源174,该导管176具有一气体流动控制阀178,例如质流控制器,以使固定流速的气体通过。该导管176可将所述气体馈入一混合歧管(未示),其中所述气体会混合而形成一期望的制程气体组成。该混合歧管会馈入该室106中的气体分布器180,其具有至少一气体出口182。该处理气体包含一不反应气体,例如,氩气或氙气,其可以高能量由一标靶冲撞于溅镀材料上。该制程气体亦包含一反应性气体,例如以下至少一个:含氧气体及含氮气体,其可与该溅镀材料反应,而在该基板104上形成一个层。用过的制程气体及副产品会由经由一排气口122而由该室106排出,该排气口122包含至少一排气门184,其可接收用过的制程气体及令用过的气体通过一排气管186,其含有一节流阀,可控制该室106中的气体压力。该排气管186可馈入至少一排气泵190。一般,该室106中溅镀气体的气压的大小可设定为低于大气压力。
该溅镀室106更包含一溅镀标靶124,其面对该基板104表面105,及包含待溅镀于该基板104上的材料。该标靶124通过一环状绝缘环132而电隔离于该室106,且连接至一电源供应192。该溅镀室106亦具有一遮罩120,以将该室106的壁118与溅镀材料隔离。该遮罩120包含一似障壁的圆柱状的形状,具有上及下遮罩部份120a,120b,其可庇护该室106的上部及下部。在图4显示的态样中,该遮罩120具有架设于该支持环130的上方部份120a,及吻合于该遮盖环126的下方部份120b。亦可设置包含一夹钳环的夹钳遮罩141,以将该上遮罩与下遮罩部份120a,b夹在一起。亦可设置其他的遮罩结构,例如,内及外遮罩。在一态样中,电源供应192、标靶124、及遮罩120中至少一个可作用如同一气体激发器116,其可令该溅镀气体高能化,以由该标靶124溅镀材料。该电源供应192可供应一关于该遮罩120的偏压至该标靶124。在该室106中因施加电压所产生的电场,可令该溅镀气体高能化而形成一等离子体,其以高能量冲撞在该标靶124上,以将该标靶124上的材料溅镀至该基板104上。具有该电极170及该支架电极电源供应172的支架130,亦可通过令由该标靶124溅镀的该离子化材料产生高能化,且朝向该基板104加速,而操作如同部份的气体激发器116。此外,可设置一气体高能化线圈135,其通过一电源供应192供能且设置于该室106内,以提供增强的高能气体特征,例如,改良的高能气体密度。该气体高能化线圈135的支持是通过一线圈支架137,其附着于一遮罩120或该室106中的其他壁上。
通过包含程式码的控制器194可控制该室106,该程式码具有可操作该室106的组件的指令组,以处理该室106中的基板104。例如,该控制器194包含一基板定位指令组,以操作至少一基板支架130及基板运输系统,以令一基板104定位于该室106中;一气体流量控制指令组,以操作该流控阀178,而固定流向该室106的溅镀气体的流量,来维持该室106中的压力;一气体激发器控制指令组,其操作该气体激发器116,以设定一气体激发功率位准;一温控指令组,以控制该室106中的温度;及一制程监控指令组,以监控该室106中的制程。
虽然本发明的示范实施例皆已显示及描述,熟习该项技艺者可设计其他并入本发明的实施例,且其亦在本发明的范围内。例如,除了上文教示的示范组件以外,亦可清洁其他的室中组件。亦可使用其他的热喷涂器400的结构及实施例,及除了上文教示的涂层及结构组成以外,亦可使用其他的涂层及结构组成。除了所教示的清洁步骤以外,亦可执行额外的清洁步骤,及除了所教示的所述清洁步骤的次序的外,亦可执行其他次序。此外,关于示范实施例所示的相对或位置形容词是可互相交换的。因此,后附的申请专利范围不应受限于本文为了绘示本发明而教示的较佳态样,材料,或空间配置的叙述。

Claims (9)

1.一种基板制程室组件,能曝露于一制程室中的高能化气体中,该组件包含:
(a)下衬结构;
(b)位于该下衬结构上方的第一涂覆层,该第一涂覆层包含(i)低于10%的孔隙度,(ii)第一表面,其平均表面粗糙度低于25微米;及
(c)位于该第一涂覆层上方的第二涂覆层,该第二涂覆层包含(i)至少12%的孔隙度,(ii)第二表面,其平均表面粗糙度至少50微米,
由此,制程残余物会附着于该第二表面,而减少经处理的基板的污染。
2.如权利要求1所述的组件,其包含以下至少一个:
(1)该第一及第二涂覆层包含经喷涂的铝涂覆层;及
(2)该下衬结构包含以下至少一个:铝、钛、钽、不锈钢、铜及铬。
3.如权利要求1所述的组件,其中该第二涂覆层包含至少15%的孔隙度。
4.如权利要求1所述的组件,其中该第一涂覆层包含由0.1mm至0.25mm的厚度,及该第二涂覆层包含由0.15mm至0.3mm的厚度。
5.如权利要求1所述的组件,其中该组件包含至少部份的制程室密封壁、遮罩、制程配套工具、基板支架、气体分送系统、气体激发器、及排气孔。
6.一种包含有权利要求1所述组件的基板制程室,其中该制程室包含一基板支架、气体分送系统、气体激发器、及排气孔。
7.一种制备一基板处理室组件的方法,该方法包含:
(a)提供下衬结构;
(b)喷涂第一涂覆层至该下衬结构上,同时维持第一喷涂参数以在该第一涂覆层上形成第一表面,其中(i)该第一涂覆层具有低于10%的孔隙度,(ii)该第一表面具有低于25微米的平均表面粗糙度;及
(c)喷涂第二涂覆层于该第一涂覆层上方,同时维持第二喷涂参数以在该第二涂覆层上形成第二表面,其中(i)该第二涂覆层具有至少12%的孔隙度,(ii)该第二表面具有至少50微米的平均表面粗糙度。
8.如权利要求7所述的方法,其中步骤(b)与(c)包含以一压缩气体推挤涂覆材料而通过一喷嘴,该喷嘴包含一锥状流径,该锥状流径在一喷嘴出口的直径为该在一喷嘴入口的直径的至少1.5倍。
9.如权利要求8所述的方法,其中步骤(b)包含以至少200千帕的第一压力推挤涂覆材料通过该喷嘴,并且其中步骤(c)包含以低于该第一压力的第二压力推挤涂覆材料通过相同喷嘴,该第二压力低于175千帕。
CN2005800400501A 2004-11-24 2005-11-18 具有层化涂覆的制程室组件及方法 Expired - Fee Related CN101065510B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/996,883 2004-11-24
US10/996,883 US7579067B2 (en) 2004-11-24 2004-11-24 Process chamber component with layered coating and method
PCT/US2005/041862 WO2006073585A2 (en) 2004-11-24 2005-11-18 Process chamber component with layered coating and method

Publications (2)

Publication Number Publication Date
CN101065510A CN101065510A (zh) 2007-10-31
CN101065510B true CN101065510B (zh) 2011-04-06

Family

ID=36461277

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800400501A Expired - Fee Related CN101065510B (zh) 2004-11-24 2005-11-18 具有层化涂覆的制程室组件及方法

Country Status (7)

Country Link
US (2) US7579067B2 (zh)
EP (1) EP1815038B1 (zh)
JP (1) JP5058816B2 (zh)
KR (2) KR101281708B1 (zh)
CN (1) CN101065510B (zh)
TW (2) TWI326315B (zh)
WO (1) WO2006073585A2 (zh)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US20070065597A1 (en) * 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
US20070125646A1 (en) 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
WO2008049460A1 (de) * 2006-10-24 2008-05-02 Siemens Aktiengesellschaft Verfahren zur einstellung der oberflächenrauhigkeit bei niedertemperaturbeschichtungsverfahren und bauteil
KR101504085B1 (ko) * 2006-12-19 2015-03-19 어플라이드 머티어리얼스, 인코포레이티드 비접촉 프로세스 키트
US8221602B2 (en) * 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20100107982A1 (en) * 2007-03-22 2010-05-06 Kabushiki Kaisha Toshiba Vacuum deposition apparatus part and vacuum deposition apparatus using the part
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
MY152991A (en) * 2008-04-30 2014-12-31 Ulvac Inc Method for the production of water-reactive al film and constituent member for film-forming chamber
US9123511B2 (en) 2008-05-02 2015-09-01 Applied Materials, Inc. Process kit for RF physical vapor deposition
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
JP5415853B2 (ja) 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
US20110036709A1 (en) * 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9834840B2 (en) 2010-05-14 2017-12-05 Applied Materials, Inc. Process kit shield for improved particle reduction
CN102465248B (zh) * 2010-11-16 2014-01-08 无锡华润上华半导体有限公司 防护罩的表面处理方法及防护罩
IL213533A (en) * 2011-06-14 2015-11-30 Leo Mendelovici Process for Thermal Spraying of Protective and Porous Metallic Coating on Finishing Materials of Machine Parts for Splicing Thin Layers
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US8734907B2 (en) 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
DE102012105607A1 (de) * 2012-06-27 2014-01-02 Martinrea Honsel Germany Gmbh Verfahren zur Herstellung von Komposit-Spritzschichten auf Zylinderlaufflächen von Zylinderkurbelgehäusen
KR101876522B1 (ko) * 2012-08-08 2018-07-09 주식회사 원익아이피에스 기판 셔틀 장치, 이를 포함하는 기상 증착 장치 및 기판 셔틀 장치의 제조방법
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9337002B2 (en) 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
US20140272341A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US10209016B2 (en) 2013-03-22 2019-02-19 Toyota Motor Engineering & Manufacturing North America, Inc. Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same
CN103572278A (zh) * 2013-10-21 2014-02-12 黄宣斐 一种铝基表面材料制造方法
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
KR101790394B1 (ko) * 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법
WO2015190752A1 (ko) * 2014-06-11 2015-12-17 (주) 코미코 박막 증착 장치용 내부재 및 이의 제조 방법
KR101942011B1 (ko) * 2014-06-13 2019-01-25 어플라이드 머티어리얼스, 인코포레이티드 더 우수한 균일성 및 증가된 에지 수명을 위한 편평한 에지 설계
US20160168687A1 (en) * 2014-12-14 2016-06-16 Applied Materials, Inc. Particle reduction in a deposition chamber using thermal expansion coefficient compatible coating
WO2016095086A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Methods for texturing a chamber component and chamber components having a textured surface
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR20180024021A (ko) * 2015-07-23 2018-03-07 허니웰 인터내셔널 인코포레이티드 개선된 스퍼터링 코일 제품 및 제조 방법
US10655212B2 (en) 2016-12-15 2020-05-19 Honeywell Internatonal Inc Sputter trap having multimodal particle size distribution
US10662520B2 (en) * 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
US10998172B2 (en) 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11810766B2 (en) * 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components
US11239058B2 (en) 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
CN113243040A (zh) * 2018-12-13 2021-08-10 朗姆研究公司 用于工件处理室的组成零件的多层涂层
JP7361497B2 (ja) * 2019-05-28 2023-10-16 東京エレクトロン株式会社 成膜装置
KR102241674B1 (ko) * 2019-08-29 2021-04-19 삼원테크노 주식회사 선박 배기가스 정화 스크러버용 강판의 코팅방법
JP7403882B2 (ja) * 2019-12-24 2023-12-25 ブイセンス メディカル エルエルシー 分析物検知システム、及び分析物検知システムのカートリッジ
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
US11450514B1 (en) 2021-03-17 2022-09-20 Applied Materials, Inc. Methods of reducing particles in a physical vapor deposition (PVD) chamber
CN113088864B (zh) * 2021-04-13 2022-11-29 宁波大学 一种电场辅助电弧喷涂装置及方法
CN117897794A (zh) * 2021-08-19 2024-04-16 朗姆研究公司 经处理的陶瓷室部件
US20230290615A1 (en) * 2022-03-10 2023-09-14 Applied Materials, Inc. Multilayer coating for corrosion resistance
US20230416913A1 (en) * 2022-06-28 2023-12-28 Entegris, Inc. Modules for delivery systems and related methods
CN116904953A (zh) * 2023-09-14 2023-10-20 上海陛通半导体能源科技股份有限公司 一种气相沉积设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3679460A (en) * 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
CN1496577A (zh) * 2001-12-21 2004-05-12 Ӧ�ò��Ϲ�˾ 制造被涂覆处理室部件的方法

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US2935788A (en) * 1957-05-07 1960-05-10 Jacob L Kleinman Electrically operated dry shaving implements
US2931099A (en) * 1958-04-17 1960-04-05 Samuel D Schell Electric razor having an oscillating tapered blade
US2977677A (en) * 1959-01-28 1961-04-04 George A Tice Electric razor for shaving
US3092904A (en) * 1960-05-09 1963-06-11 Bruecker John Movable cutter for a dry shaver having saw tooth design cutting edge
US3028668A (en) * 1960-08-16 1962-04-10 Dechaux Charles Dry shaver with rocking cutter
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3453909A (en) * 1968-03-27 1969-07-08 Victor Yager Shear plate and screen for dry shaver
US3493793A (en) * 1968-07-05 1970-02-03 Oster Mfg Co John Hair clipper having oscillating armature motor
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
US4105493A (en) * 1975-07-05 1978-08-08 The Gillette Company Production of shaving foil
US4100252A (en) 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
US4133103A (en) * 1977-11-04 1979-01-09 Sunbeam Corporation Comb assembly for an electric dry shaver
US4150482A (en) * 1977-11-14 1979-04-24 Sunbeam Corporation Modular cutter assembly for an electric dry shaver
NL7713047A (nl) * 1977-11-28 1979-05-30 Philips Nv Scheerapparaat.
GB2057333B (en) * 1979-08-07 1982-12-15 Matsushita Electric Works Ltd Shaving blade assembly
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
NL8200101A (nl) * 1982-01-13 1983-08-01 Philips Nv Scheerapparaat.
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS59177089A (ja) * 1983-03-28 1984-10-06 松下電工株式会社 電気カミソリ
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
NL8700187A (nl) * 1987-01-27 1988-08-16 Philips Nv Knipeenheid voor een scheerapparaat.
US5009966A (en) 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US5356890A (en) * 1988-06-15 1994-10-18 Brigham And Women's Hospital S-nitroso derivatives of ace inhibitors and the use thereof
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
EP0406690B1 (en) 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
AT395125B (de) * 1991-01-18 1992-09-25 Philips Nv Elektrisches trockenrasiergeraet
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
DE69222129T2 (de) 1991-12-18 1998-04-09 Sumitomo Metal Ind Automobilkarrosserieblech aus mehrfach beschichteter Aluminiumplatte
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
EP0634756B1 (en) 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
JP3402368B2 (ja) 1993-12-27 2003-05-06 アクファーガヴェルト・アクチェンゲゼルシャフト 親水性の層を疎水性の支持体に適用するための熱処理法およびかくして塗被された支持体のオフセット印刷版の支持体としての使用
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
DE4413352C1 (de) * 1994-04-18 1995-05-04 Braun Ag Verfahren zur Herstellung eines Messers für eine Schneideinrichtung eines elektrischen Rasierapparates oder Bartschneiders
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US6032365A (en) * 1997-02-24 2000-03-07 James L. Hodges Slotted rotary shaver
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5901446A (en) * 1997-09-15 1999-05-11 Remington Corporation, L.L.C. Long hair cutting and beard lifting foil construction
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6306498B1 (en) 1997-12-22 2001-10-23 Asahi Kasei Kabushiki Kaisha Fibers for electric flocking and electrically flocked article
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
SE512978C2 (sv) 1998-10-26 2000-06-12 G S G As Bearbetning av niob-och tantalinnehållande material
EP1049133A3 (en) 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
WO2002015255A1 (en) 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
US6601302B2 (en) * 2000-09-08 2003-08-05 Remington Corporation, L.L.C. Shaving systems and adjustable trimmers therefor
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
WO2003033221A1 (en) * 2001-10-15 2003-04-24 Remington Corporation, L.L.C. Cutting foil for rotary shavers and manufacturing methods for producing same
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US7146991B2 (en) 2002-01-23 2006-12-12 Cinetic Automation Corporation Parts washer system
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7041200B2 (en) * 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
WO2003101762A1 (en) 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
FR2847719B1 (fr) * 2002-11-25 2005-03-11 Cit Alcatel Cellule solaire pour panneau de generateur solaire, panneau de generateur solaire et vehicule spatial
JP2004232016A (ja) * 2003-01-30 2004-08-19 Toshiba Corp 真空成膜装置用部品およびそれを用いた真空成膜装置
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3679460A (en) * 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
CN1496577A (zh) * 2001-12-21 2004-05-12 Ӧ�ò��Ϲ�˾ 制造被涂覆处理室部件的方法

Also Published As

Publication number Publication date
US7579067B2 (en) 2009-08-25
TWI326314B (en) 2010-06-21
WO2006073585A2 (en) 2006-07-13
JP5058816B2 (ja) 2012-10-24
TW200932953A (en) 2009-08-01
TWI326315B (en) 2010-06-21
CN101065510A (zh) 2007-10-31
KR20130018957A (ko) 2013-02-25
US20060110620A1 (en) 2006-05-25
US8021743B2 (en) 2011-09-20
KR20070089955A (ko) 2007-09-04
JP2008522031A (ja) 2008-06-26
WO2006073585A3 (en) 2006-09-08
US20100086805A1 (en) 2010-04-08
EP1815038B1 (en) 2017-03-01
TW200619421A (en) 2006-06-16
EP1815038A2 (en) 2007-08-08
KR101281708B1 (ko) 2013-07-03
KR101274057B1 (ko) 2013-06-12

Similar Documents

Publication Publication Date Title
CN101065510B (zh) 具有层化涂覆的制程室组件及方法
US10418229B2 (en) Aerosol deposition coating for semiconductor chamber components
CN105431926B (zh) 使用相与应力控制的等离子体喷涂涂覆设计
US7479464B2 (en) Low temperature aerosol deposition of a plasma resistive layer
KR102245044B1 (ko) 플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법
KR102395205B1 (ko) 플라즈마 내성 세라믹 코팅의 슬러리 플라즈마 스프레이
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
CN102210196B (zh) 用于等离子腔室部件的抗等离子涂层
JP2012191200A (ja) プラズマ処理装置
JP6639584B2 (ja) プラズマ処理装置用の部品の製造方法
US20140315392A1 (en) Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
TW201717709A (zh) 電漿處理裝置及噴頭
KR101807444B1 (ko) 플라즈마 장치용 부품 및 그 제조 방법
TW202147381A (zh) 用於電漿處理腔室部件的覆層

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110406

Termination date: 20201118

CF01 Termination of patent right due to non-payment of annual fee