CN100561350C - The method of optical approximate correction and photomask pattern thereof - Google Patents

The method of optical approximate correction and photomask pattern thereof Download PDF

Info

Publication number
CN100561350C
CN100561350C CNB2006100287736A CN200610028773A CN100561350C CN 100561350 C CN100561350 C CN 100561350C CN B2006100287736 A CNB2006100287736 A CN B2006100287736A CN 200610028773 A CN200610028773 A CN 200610028773A CN 100561350 C CN100561350 C CN 100561350C
Authority
CN
China
Prior art keywords
distance
serration
lines
scattering strip
forbidden
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006100287736A
Other languages
Chinese (zh)
Other versions
CN101105633A (en
Inventor
王谨恒
杨坚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CNB2006100287736A priority Critical patent/CN100561350C/en
Publication of CN101105633A publication Critical patent/CN101105633A/en
Application granted granted Critical
Publication of CN100561350C publication Critical patent/CN100561350C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

A kind of optical approximate correcting method comprises: the figure of determining to have forbidden distance; Form a plurality of zigzags or rectangular protrusions in described forbidden distance figure both sides; Between described forbidden distance figure, insert a plurality of auxiliary scattering strips.Through the photomask pattern of optical approximate correction, can form the figure of high resolving power, the big depth of focus and energy margin.

Description

The method of optical approximate correction and photomask pattern thereof
Technical field
The present invention relates to technical field of manufacturing semiconductors, optical approximate correcting method and photomask pattern thereof in particularly a kind of photoetching process.
Background technology
Along with the development of semiconductor technology, photoetching process is also had higher requirement to little live width high integration.The optical exposure wavelength also develops into 248nm from 365nm, and 193nm even littler also is developed out based on the infiltration type exposure technique of high refractive index medium.Because device dimensions shrink, the dimension of picture on the mask blank is more and more littler, and the spacing of figure is also more and more approaching, and the feasible resolution of transferring to the figure of wafer of the interference of optics and diffraction effect can not get desirable effect.Traditional binary mask plate (binary Mask) can not be satisfied with the needs of deep-submicron photoetching.
Figure on the mask plate is on being transferred to wafer the time, adjacent pattern influences each other, and can produce live width and attenuate, and contact hole diminishes, line end shortens (Line short) and corner sphering phenomenons such as (Corner rounding), is called optical close effect (Optical proximity effect).Phase-shift mask (PSM), optical approximate correction technology such as (Optical proximity correct) have been developed at present to overcome the problems referred to above.The phase-shift mask plate is by changing figure and photic zone phase place on the mask plate, and the compression figure is through the secondary lobe (sidelobe) behind the optical lens, to improve resolution.The optical approximate correction is meant that to the correction in advance of the figure on the mask plate for example, to the two ends lengthening of line, the other side's corner edge adds auxiliary pattern, remedies the defective of the figure of process lens (Lens) with this.In addition, exposure system also adopt off-axis illumination (OAI) such as circular ring type (Annular) and quadrapole (Quadrupole) mode with increase the photoetching resolution and the depth of focus (Depth of focus, DOF) and contrast (Contrast).
Because generally speaking, when the figure on the mask plate was comparatively intensive, its light that sees through constructive interference effect to each other made that the resolution and the depth of focus of the figure that intensive figure on the mask plate is more isolated than same live width are all big.By principle of optical interference, there is the pattern on mask plate to add the resolution and the depth of focus that the method for assisting scattering strip increases figure in the optical approximate correcting method.Yet when graphics intensive degree during in certain limit, the resolution of this intensive figure, the depth of focus and energy territory degree indexs such as (Energy latitude) are littler than the isolation pattern of identical live width on the mask plate, and by illumination and optical system parameter for example NA/Sigma optimize also few of change.This scope figure spacing becomes forbidden distance (forbiddenpitch).The patent No. be the United States Patent (USP) of US6519760 B2 by the derived reason of formation of forbidden distance of Fourier optics principle, promptly when the light to wafer was destructive interference by mask plate and lens, the graphics resolution and the depth of focus descended.The resolution and the depth of focus descend when to influencing each other it being existed just because of adjacent pattern than this adjacent pattern is single separately.As seen, forbidden distance has direct relation with the spacing of figure on the mask plate, because the existence of forbidden distance is added auxiliary scattering strip and all will be avoided its forbidden distance between designed mask plate figure and figure.
In the prior art for the photoetching process of the figure of forbidden distance, can be by figure being decomposed on some mask plates, the spacing of the figure on each mask plate is all avoided its forbidden distance.The patent No. be US7037626B2 U.S. Patent Publication this kind photoetching process.Its principle is, the figure of forbidden distance scope on the mask plate is decomposed and be fabricated on some each mask plates, figure spacing on each mask plate is all avoided forbidden distance range, and these some each mask plates combine and just in time form the pattern of described forbidden distance scope.During exposure, deposition several layers film on wafer at first, successively with the figure transfer on the mask plate of described decomposition to wafer.This method at first will be made a plurality of mask plates and be needed the multistep photoetching process to finish.Cost is higher, and process cycle is longer, and, because layered mask arts demand stacked on top of one another to accurately, has proposed higher requirement to technology and maintenance thereof, also have potential risk.
Insert auxiliary scattering strip between the forbidden distance figure, thereby change the spacing of figure, making described figure spacing avoid this forbidden distance scope also is a kind of method commonly used in the prior art.Shown in Figure 1A, periodically bargraphs 100 is used for forming gate patterns, and live width is about 80nm, and lines spacing 110 is about 360nm, and this figure is falling into the forbidden distance scope with 193nm ultraviolet light off-axis illumination situation.Can't on wafer, obtain desirable resolution graphics and higher technology redundance.Shown in Figure 1B, insert auxiliary scattering strip rule according to design rule (Design rule) and OPC, between lines 100, insert auxiliary scattering strip 120, described auxiliary scattering strip 120 width are about 40nm.Fig. 1 C is scanning electron microscope (CDSEM) photo of transferring to the figure on the photoresist behind the auxiliary scattering strip of insertion.Shown in Fig. 1 C, lines 100a is the photoresist figure that lines 100 form on wafer on the mask blank.The mask plate exposure back resolution behind the auxiliary scattering strip of insertion and the depth of focus have all reached the requirement of expection.But, the auxiliary scattering strip that between described forbidden distance figure, adds according to design rule (design rule) and OPC modification rule, the figure of auxiliary scattering strip 120 also was transferred on the wafer when depth of focus of the figure that exposure forms and energy desire degree increased, and formed photoresist residual 130.These residual 130 meetings be transferred to the yield that reduces device on the wafer substrates when etching.
Summary of the invention
The invention provides a kind of method and photomask pattern of optical approximate correction, can obtain the high resolution and the bigger depth of focus and energy margin when adopting the photomask pattern of this optical approximate correcting method to transfer on the wafer and can not stay photoresist residual.
The invention provides a kind of optical approximate correcting method, comprising:
Determine to have the figure of forbidden distance;
Form a plurality of serrations in described forbidden distance figure both sides.
Between described forbidden distance figure, insert a plurality of auxiliary scattering strips.
Described method is determined to have the figure of forbidden distance according to design rule or figure transfer is measured its live width to the wafer and calculate the depth of focus and figure that parameter such as energy margin determines to have forbidden distance in the layout data storehouse.
Described figure is a plurality of in order to make the lines of interconnection line or grid.
Described a plurality of serration is spaced apart in forbidden distance figure both sides.
Described auxiliary scattering strip is a rhombus.
Described auxiliary scattering strip equates to the distance of four serrations that are adjacent.
Four limits of described rhombus are parallel with the edge near the serration on this limit respectively.
The scope of described rhombus acute angle is 30 °~60 °.
Each limit of described rhombus is less than or equal to the spacing of serration to the distance with nearest serration edge, this limit.
The spacing of described serration is less than or equal to the spacing of auxiliary scattering strip.
Also a kind of optical approximate correcting method of the present invention comprises:
Determine to have the figure of forbidden distance;
Have forbidden distance figure both sides and form a plurality of rectangular protrusions described;
Between described forbidden distance figure, insert a plurality of auxiliary scattering strips.
Described method is determined to have the figure of forbidden distance according to design rule or figure transfer is measured its live width to the wafer and calculate the depth of focus and figure that parameter such as energy margin determines to have forbidden distance in the layout data storehouse.
Described figure is a plurality of in order to make the lines of interconnection line or grid.
Described rectangular protrusions distributes in described forbidden distance figure symmetria bilateralis.
Described auxiliary scattering strip is a rectangle;
Described auxiliary scattering strip center equates to the distance at four rectangular protrusions centers that are adjacent.
The described rectangle length of side is 30~50mm.
Square is more than or equal to square between described rectangular protrusions between described auxiliary scattering strip.
Described auxiliary scattering strip to and its nearest rectangular protrusions between distance be less than or equal to rectangular protrusions between square.
Accordingly, the invention provides a kind of photomask pattern, comprising through the optical approximate correction:
Figure with forbidden distance; With
A plurality of serrations in described forbidden distance figure both sides; And
A plurality of auxiliary scattering strip between described forbidden distance figure.
Described forbidden distance figure is for forming the lines of interconnection line or grid.
Described serration is spaced apart in forbidden distance figure both sides.
Described auxiliary scattering strip is a rhombus.
Four limits of described rhombus are parallel with the edge near the serration on this limit respectively.
The scope of described rhombus acute angle is 30 °~60 °.
Each limit of described rhombus is less than or equal to the spacing of serration to the distance with nearest serration edge, this limit.
The spacing of described serration is less than or equal to the spacing of auxiliary scattering strip.
Described forbidden distance figure, serration and auxiliary scattering strip transmittance are 10.
The present invention also provides a kind of photomask pattern through the optical approximate correction, comprising:
Figure with forbidden distance; With
In described a plurality of rectangular protrusions with the formation of forbidden distance figure both sides; And
The a plurality of auxiliary scattering strip that between described forbidden distance figure, forms.
Described rectangular protrusions distributes in forbidden distance figure symmetria bilateralis.
Described auxiliary scattering strip is a rectangle;
Described auxiliary scattering strip center equates to the distance at four rectangular protrusions centers that are adjacent.
The described rectangle length of side is 30~50mm.
The spacing of described auxiliary scattering strip is more than or equal to the spacing of described rectangular protrusions.
Described auxiliary scattering strip to and its nearest rectangular protrusions between distance be less than or equal to rectangular protrusions between square.
Described forbidden distance figure, rectangular protrusions and auxiliary scattering strip transmittance are 10.
Compared with prior art, the present invention has the following advantages: the inventive method forms a plurality of auxiliary scattering strips between described forbidden distance figure, and add a plurality of auxiliary patterns in forbidden distance figure both sides, described auxiliary scattering strip and auxiliary pattern Discrete Distribution, certain distance is arranged each other, and its area is also less, can not expose on photoresist and forms residual.Forbidden distance figure that auxiliary scattering strip closes on it and auxiliary pattern influence each other when exposing, and the light interference effect each other by described photomask pattern makes the forbidden distance figure be exposed and is formed on the photoresist.Its resolution, the depth of focus and energy margin all improve a lot.
For the technology of 65nm live width, adopt two kinds of optical approximate correcting methods of the present invention, form light mask pattern its depth of focus when photoetching and reached 0.2~0.21um, the big depth of focus and energy margin have increased the redundance of technology, process window increases the difficulty that helps to reduce technology controlling and process, even exposure focal length and energy have deviation slightly, also can drop into this depth of focus scope, transfer to the scope that the live width of the figure on the wafer is still being allowed.And auxiliary scattering strip is not exposed and to stay photoresist residual.Help to improve the yield of product.
Description of drawings
Figure 1A is a prior art forbidden distance pictorial diagram;
Figure 1B~Fig. 1 C is a defective synoptic diagram after auxiliary scattering strip of existing forbidden distance figure insertion and the photoetching;
Fig. 2~Fig. 7 is the inventive method embodiment synoptic diagram;
Fig. 8~Fig. 9 is a mask plate pattern synoptic diagram of the present invention;
Figure 10 A~Figure 10 B is the analog result of figure on the wafer that obtains of the inventive method;
Figure 11 obtains figure depth of focus comparison diagram for having or not auxiliary scattering strip and the inventive method.
Embodiment
Below in conjunction with accompanying drawing the specific embodiment of the present invention is described in detail.Described accompanying drawing is not specially drawn in proportion, therefore can not limit the scope of claim protection of the present invention in proper order.
Optic interference and diffraction effect are the bottlenecks of semiconductor critical dimension reduction.Generally speaking, for specific wavelength, its resolution is this wavelength itself.For example, for the ultraviolet source of 248nm, its yardstick that can differentiate only is 248nm.Optimization by means of optical system parameter, whole exposure machine resolution can be improved greatly, for example adopt the off-axis illumination mode to compress through light first-order diffraction angle behind the mask plate, adopt phase-shifting technique to change light and interfere the secondary lobe (sidelobe) that compresses between the adjacent pattern, optimize numerical aperture (NA) and coherent illumination parameter S igma and improve resolution and contrast etc. through the phase place and the generation of figure behind the mask plate.Dwindling rapidly and the raising of graphics intensive degree of critical size interacts between the adjacent pattern, and particularly size is reduced to 0.18um even 90nm when following, and the effect of optical approximate effects is obvious gradually.For example, line end shortens (Line short), and corner sphering phenomenons such as (corner rounding) usually can not obtain desirable figure on wafer.Figure on the mask plate is carried out the optical approximate correction and inserts auxiliary scattering strip remedying described optical exposure defective and conscious control length or destructive interference mutually, figure on the mask blank can be copied on the wafer comparatively accurately, thus the yield of raising wafer.
When optical approximate correcting method of the present invention is applied to interconnection line or grid lines, find out the figure that falls into the forbidden distance scope according to design rule, perhaps figure is formed at mask plate, described mask plate is carried out exposure imaging, measure live width then and calculate its depth of focus and energy margin, and find out those and fall into the figure of forbidding the energy spacing scope.Form auxiliary pattern and between the forbidden distance figure, insert auxiliary scattering strip in the described figure both sides of forbidding.
Fig. 2~Fig. 5 is first embodiment of optical approximate correcting method of the present invention.
As shown in Figure 2, a plurality of lines 200 are used for forming interconnection line or gate patterns, described line thickness is about 260nm~320nm and (adopts 4: 1 optical exposure systems, transfer on the wafer size and be 65nm~80nm), spacing 205 is about 1220nm (transferring to the big or small 350nm of being on the wafer), when exposure system employing 193nm deep ultraviolet laser, lighting system falls into the forbidden distance scope when being annular off-axis illumination (Anualar).By above-mentioned figure and optical system parameter input system are carried out DRC or described figure are formed at mask plate, by exposure imaging it is transferred on the wafer, the live width that measures figure on the wafer obtains its depth of focus (Depth of focus, DOF) and energy margin, can judge whether it falls into the forbidden distance scope.
As shown in Figure 3, described a plurality of lines 200 are carried out preliminary optical approximate correction, correction can be based on rule (Rule based) or based on the method for model (Model based).Place square auxiliary pattern 210 in lines corner position, and described lines line end lengthening, live width are reduced etc.The figure of preliminary optical approximate correction has overcome defectives such as corner sphering and line end shortening.
As shown in Figure 4, form a plurality of serrations 220 in described a plurality of lines 200 both sides, described projection 220 is intervally arranged in lines 200 both sides.Insert a plurality of auxiliary scattering strips 230 between described lines 200, described auxiliary scattering strip is between two adjacent lines 200, and it is shaped as rhombus, and auxiliary scattering strip 230 equates to the distance 260 of four serrations that are adjacent.Four limits of described rhombus are with parallel with the edge near the serration 220 on this limit respectively.The scope of described rhombus acute angle is 30 °~60 °.Each limit of the auxiliary scattering strip 230 of rhombus is less than or equal to the spacing 240 of serration 220 to the distance 260 with nearest serration 220 edges, this limit.The spacing 240 of described serration is less than or equal to the spacing 250 of auxiliary scattering strip 230.
Fig. 5~Fig. 7 is second embodiment of optical approximate correcting method of the present invention.
As shown in Figure 5, a plurality of lines 200 are to be used for forming interconnection line or gate patterns, described line thickness is about 260nm~320nm and (adopts 4: 1 optical exposure systems, transfer on the wafer size and be 65nm~80nm), spacing 205 is about 1220nm (transferring to the big or small 350nm of being on the wafer), when exposure system employing 193nm deep ultraviolet laser, lighting system falls into the forbidden distance scope when being annular off-axis illumination (Anualar).By above-mentioned figure and optical system parameter input system are carried out DRC or described figure are formed at mask plate, by exposure imaging it is transferred on the wafer, the live width that measures figure on the wafer obtains its depth of focus and energy territory degree, judges whether it falls into the forbidden distance scope.
As shown in Figure 6, described a plurality of lines 200 are carried out preliminary optical approximate correction, correction can be based on rule (Rule based) or based on the method for model (Model based).Place square auxiliary pattern 210 in lines corner position, and described lines line end lengthening, live width are reduced etc.The figure of preliminary optical approximate correction has overcome defectives such as corner sphering and line end shortening.
As shown in Figure 7, form a plurality of rectangular protrusions 270 in described a plurality of lines 200 both sides, described projection 270 is arranged in lines 200 symmetria bilateralis.Insert a plurality of auxiliary scattering strips 280 between described lines 200, described auxiliary scattering strip 280 is positioned in the middle of two adjacent lines 200, and it is shaped as rectangle.Auxiliary scattering strip 280 centers equate to the distance at four rectangular protrusions 270 centers that are adjacent.The described rectangle length of side is 30~50mm.Square 250 is more than or equal to square 240 between described rectangular protrusions 270 between auxiliary scattering strip 280.Described auxiliary scattering strip 280 to and its nearest rectangular protrusions 270 between distance 260 be less than or equal to rectangular protrusions 270 between square 240.
Accordingly, the present invention also provides a kind of photomask pattern through the optical approximate correction.
Fig. 8 is the first embodiment synoptic diagram of photomask pattern of the present invention.
As shown in Figure 8, a plurality of lines 200 are to be used for forming interconnection line or gate patterns, described line thickness is about 200nm~300nm and (adopts 4: 1 optical exposure systems, transfer on the wafer size and be 65nm~80nm), spacing 205 is about 1000~1300nm (transferring to the big or small 350nm of being on the wafer), when exposure system employing 193nm deep ultraviolet laser, lighting system falls into the forbidden distance scope when being annular off-axis illumination (Anualar).Be formed with square auxiliary pattern 210 in described lines 200 line end corner positions, transfer to figure sphering on the wafer to prevent it.
Be formed with a plurality of serrations 220 in described a plurality of lines 200 both sides, described projection 220 is spaced apart in lines 200 both sides.Be formed with a plurality of auxiliary scattering strips 230 between described lines 200, described auxiliary scattering strip is between two adjacent lines 200, and it is shaped as rhombus, and auxiliary scattering strip 230 equates to the distance 260 of four serrations that are adjacent.Four limits of described rhombus are with parallel with the edge near the serration 220 on this limit respectively.The scope of described rhombus acute angle is 30 °~60 °.Each limit of the auxiliary scattering strip 230 of rhombus is less than or equal to the spacing 240 of serration 220 to the distance 260 with nearest serration 220 edges, this limit.The spacing 240 of described serration is less than or equal to the spacing 250 of auxiliary scattering strip 230.Lines 200, serration 220 and auxiliary scattering strip 230 transmittance on mask plate are 10.
Between described forbidden distance figure 200, form the auxiliary scattering strip 230 of a plurality of rhombuses, and at forbidden distance figure both sides formation serration 220, described a plurality of rhombus is assisted scattering strip 230 Discrete Distribution, certain distance 250 is arranged each other, and its area is also less, can not expose on photoresist and forms residual.Forbidden distance figure 200 that auxiliary scattering strip 230 closes on it and serration 220 influence each other when exposing, and the light interference effect each other by described photomask pattern makes forbidden distance figure 200 be exposed and is formed on the photoresist.Its resolution, the depth of focus and energy margin all improve a lot.
Fig. 9 is the photomask pattern second embodiment synoptic diagram of the present invention.
As shown in Figure 9, a plurality of lines 200 are to be used for forming interconnection line or gate patterns, described line thickness is about 200nm~300nm and (adopts 4: 1 optical exposure systems, transfer on the wafer size and be 65nm~80nm), spacing 205 is about 1000~1300nm (transferring to the big or small 350nm of being on the wafer), when exposure system employing 193nm deep ultraviolet laser, lighting system falls into the forbidden distance scope when being annular off-axis illumination (Anualar).Be formed with square auxiliary pattern 210 in described lines 200 line end corner positions, transfer to figure sphering on the wafer to prevent it.
Be formed with a plurality of rectangular protrusions 270 in described a plurality of lines 200 both sides, described projection 270 distributes in lines 200 symmetria bilateralis.Be formed with a plurality of auxiliary scattering strips 280 between described lines 200, described auxiliary scattering strip 280 is between two adjacent lines 200, and it is shaped as rectangle.Auxiliary scattering strip 280 centers equate to the distance at four rectangular protrusions 270 centers that are adjacent.The described rectangle length of side is 30~50mm.Square 250 is more than or equal to square 240 between described rectangular protrusions 270 between auxiliary scattering strip 280.Described auxiliary scattering strip 280 to and its nearest rectangular protrusions 270 between distance 260 be less than or equal to rectangular protrusions 270 between square 240.Lines 200, rectangular protrusions 270 and auxiliary scattering strip 280 transmittance on mask plate are 10.
Between described forbidden distance figure 200, form the auxiliary scattering strip 280 of a plurality of rectangles, and in forbidden distance figure both sides formation rectangular protrusions 270, described a plurality of rectangle is assisted scattering strip 280 Discrete Distribution, certain distance 250 is arranged each other, and its area is also less, can not expose on photoresist and forms residual.Forbidden distance figure 200 that auxiliary scattering strip 280 closes on it and rectangular-shaped projection 270 influence each other when exposing, and the light interference effect each other by described photomask pattern makes forbidden distance figure 200 be exposed and is formed on the photoresist.Its resolution, the depth of focus and energy margin all improve a lot.
The figure transfer of optical approximate correction of the present invention has good optical resolution to wafer.The analog result of figure on the wafer that Figure 10 A and Figure 10 B obtain for optical approximate correcting method of the present invention, wherein Figure 10 A is the analog result that the inventive method first embodiment is obtained, Figure 10 B is the analog result that the inventive method second embodiment obtains.As can be seen, adopt method of the present invention to insert the bargraphs that auxiliary scattering strip can access expection to the lines of described forbidden distance, auxiliary scattering strip can not be exposed on wafer thereby can not to stay photoresist residual.
The depth of focus comparison diagram that Figure 11 assists scattering strip and two kinds of different optical approximate correcting methods of the present invention to obtain figure for prior art has or not.As described in Figure 11, transverse axis is represented different pattern classeses among the figure, the situation that does not have to add auxiliary scattering strip as W/O SB for the forbidden distance lines, W/SB adds the situation of auxiliary scattering strip for the forbidden distance lines adopt art methods, Jagged1 represents to adopt the present invention's first implementation method example to add the situation of auxiliary scattering strip, and Jagged2 represents to adopt the second embodiment of the invention method to insert the situation of auxiliary scattering strip.Longitudinal axis DOF represents the depth of focus.As can be seen, its depth of focus only was not 0.17um when the forbidden distance lines were not added auxiliary scattering strip, less for the technology of 65nm live width, parameter changes slightly with regard to the depth of focus that the exposure focus offset is allowed in the photoetching process, has increased the difficulty of technology controlling and process.And adopt two kinds of optical approximate correcting methods of the present invention, and form light mask pattern its depth of focus when photoetching and reached 0.2~0.21um, increased by 3~4 um when assisting the scattering strip correction than prior art.The big depth of focus has increased the redundance of technology, and process window increases the difficulty help to reduce technology controlling and process, even the exposure focal length has deviation slightly, also can drop into this depth of focus scope, transfers to the scope that the live width of the figure on the wafer is still being allowed.Help to improve the yield of product.Though can reach 0.23um for its depth of focus of situation that adopts art methods to add auxiliary scattering strip, but it is residual to form photoresist because the auxiliary scattering strip of its insertion can be exposed on wafer, and this residual meeting influence etching technics and reduce the product yield.
Though the present invention with preferred embodiment openly as above; but it is not to be used for limiting the present invention; any those skilled in the art without departing from the spirit and scope of the present invention; can make possible change and modification, so protection scope of the present invention should be as the criterion with the scope that claim of the present invention was defined.

Claims (6)

1, a kind of optical approximate correcting method is characterized in that comprising:
Determine to have the figure of forbidden distance;
Both sides at each lines of described forbidden distance figure form a plurality of serrations;
Between the lines of described forbidden distance figure, insert a plurality of auxiliary scattering strips; Wherein,
Described a plurality of serration is spaced apart in the both sides of each lines of forbidden distance figure;
The spacing of described serration is less than or equal to the spacing of auxiliary scattering strip;
Described auxiliary scattering strip equates to the distance of four serrations that are adjacent;
Described auxiliary scattering strip is a rhombus; Four limits of described rhombus are parallel with the edge near the serration of corresponding edge respectively; Each limit of described rhombus is less than or equal to the spacing of serration to the distance with the nearest serration edge of corresponding edge;
The scope of described rhombus acute angle is 30 °~60 °.
2, optical approximate correcting method as claimed in claim 1 is characterized in that: determine to have the figure of forbidden distance or figure transfer measured its live width to the wafer and calculate the depth of focus and figure that the energy margin parameter determines to have forbidden distance in the layout data storehouse according to design rule.
3, optical approximate correcting method as claimed in claim 1 is characterized in that: described figure is a plurality of in order to make the lines of interconnection line or grid.
4, a kind of photomask pattern through the optical approximate correction is characterized in that comprising:
Figure with forbidden distance; With
A plurality of serrations in the both sides of each lines of described forbidden distance figure; And
A plurality of auxiliary scattering strip between the lines of described forbidden distance figure;
Described serration is spaced apart in the both sides of each lines of forbidden distance figure; The spacing of described serration is less than or equal to the spacing of auxiliary scattering strip;
Described auxiliary scattering strip equates to the distance of four serrations that are adjacent;
Described auxiliary scattering strip is a rhombus;
Four limits of described rhombus are parallel with the edge near the serration of corresponding edge respectively;
Each limit of described rhombus is less than or equal to the spacing of serration to the distance with nearest serration edge, this limit;
The scope of described rhombus acute angle is 30 °~60 °.
5, the photomask pattern through the optical approximate correction as claimed in claim 4 is characterized in that: described forbidden distance figure is for forming the lines of interconnection line or grid.
6, photomask pattern as claimed in claim 4 is characterized in that: described forbidden distance figure, serration and auxiliary scattering strip transmittance are 10.
CNB2006100287736A 2006-07-10 2006-07-10 The method of optical approximate correction and photomask pattern thereof Expired - Fee Related CN100561350C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2006100287736A CN100561350C (en) 2006-07-10 2006-07-10 The method of optical approximate correction and photomask pattern thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2006100287736A CN100561350C (en) 2006-07-10 2006-07-10 The method of optical approximate correction and photomask pattern thereof

Publications (2)

Publication Number Publication Date
CN101105633A CN101105633A (en) 2008-01-16
CN100561350C true CN100561350C (en) 2009-11-18

Family

ID=38999588

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100287736A Expired - Fee Related CN100561350C (en) 2006-07-10 2006-07-10 The method of optical approximate correction and photomask pattern thereof

Country Status (1)

Country Link
CN (1) CN100561350C (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102479687B (en) * 2010-11-22 2014-07-16 中芯国际集成电路制造(上海)有限公司 Method for increasing latitude of posterior layer exposure process
CN102183874B (en) * 2011-05-06 2013-03-27 北京理工大学 Method for optimizing three-dimension phase-shifting mask (PSM) based on boundary layer (BL) model
CN102508962B (en) * 2011-11-02 2013-08-07 浙江大学 Method for determining recipe for regularly inserting scattering strips by vectorization parameters
CN102749801A (en) 2012-06-29 2012-10-24 北京京东方光电科技有限公司 Mask plate
CN104345546A (en) * 2013-07-24 2015-02-11 中芯国际集成电路制造(上海)有限公司 Photolithographic mask and making method thereof
US9218872B1 (en) * 2014-06-20 2015-12-22 Taiwan Semiconductor Manufactruing Company, Ltd. Memory chip and layout design for manufacturing same
CN105446072B (en) * 2014-08-26 2019-11-01 中芯国际集成电路制造(上海)有限公司 Mask and forming method thereof
CN106257330B (en) * 2015-06-18 2019-12-17 中芯国际集成电路制造(上海)有限公司 Method for optical proximity correction repair
CN105093813B (en) * 2015-09-11 2019-09-06 京东方科技集团股份有限公司 Optical mask plate and exposure system
WO2018233947A1 (en) * 2017-06-20 2018-12-27 Asml Netherlands B.V. Determining edge roughness parameters
CN110119065B (en) * 2018-02-06 2022-08-26 中芯国际集成电路制造(天津)有限公司 Graph optimization method and mask preparation method
CN109375441B (en) * 2018-12-21 2022-03-01 信利半导体有限公司 Substrate routing structure and routing manufacturing method
CN111025856B (en) * 2019-12-25 2021-08-13 中国科学院微电子研究所 Method for determining photoetching process node forbidden period and simulation method
CN113050367A (en) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 Optical proximity effect correction method and system, mask and preparation method thereof
CN113093472A (en) * 2020-01-08 2021-07-09 中芯国际集成电路制造(上海)有限公司 Method for correcting mask pattern
CN112612181B (en) * 2020-12-08 2022-09-20 华虹半导体(无锡)有限公司 OPC method for specific pattern side wave effect and through hole layer OPC processing method
CN113093470A (en) * 2021-02-25 2021-07-09 广东省大湾区集成电路与系统应用研究院 Method for improving graph analysis capability based on planar fully-depleted silicon-on-insulator device
CN113156760A (en) * 2021-03-22 2021-07-23 泉芯集成电路制造(济南)有限公司 Photoetching mask and mask pattern forming method

Also Published As

Publication number Publication date
CN101105633A (en) 2008-01-16

Similar Documents

Publication Publication Date Title
CN100561350C (en) The method of optical approximate correction and photomask pattern thereof
US7214453B2 (en) Mask and its manufacturing method, exposure, and device fabrication method
KR100306415B1 (en) Photomask used for a projection exposure equipment
US6335130B1 (en) System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US5558963A (en) Method of producing photomask and exposing
US7771892B2 (en) Double exposure method and photomask for same
KR100186657B1 (en) Photo-mask used in aligner for exactly transferring main pattern assisted by semi-transparent auxiliary pattern
US20080113280A1 (en) Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask
JP3080023B2 (en) Photomask for exposure
JP4886169B2 (en) Mask, design method thereof, exposure method, and device manufacturing method
EP1752825B1 (en) Lithography Masks and Methods
TW201017342A (en) Exposure method and memory medium storing computer program
US8574795B2 (en) Lithographic CD correction by second exposure
US20080090157A1 (en) Photo mask with improved contrast and method of fabricating the same
JP2007256511A (en) Photomask for resist pattern formation and its manufacturing method, and forming method for resist pattern using same photomask
JP2005150494A (en) Method of manufacturing semiconductor device
US20070148558A1 (en) Double metal collimated photo masks, diffraction gratings, optics system, and method related thereto
KR100579601B1 (en) A Method of Illuminating A Photomask Using Chevron Illumination
JP2009008933A (en) Method for forming resist pattern and photomask
KR100853801B1 (en) Mask for semiconductor device and patterning method using the same
US20130095416A1 (en) Photomask and pattern formation method
KR100706813B1 (en) Method for arranging patterns of a semiconductor device
Bramati et al. Simulation tools for advanced mask aligner lithography
JP2959496B2 (en) OPC mask
US8324106B2 (en) Methods for fabricating a photolithographic mask and for fabricating a semiconductor integrated circuit using such a mask

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20111117

Address after: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18

Co-patentee after: Semiconductor Manufacturing International (Beijing) Corporation

Patentee after: Semiconductor Manufacturing International (Shanghai) Corporation

Address before: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18

Patentee before: Semiconductor Manufacturing International (Shanghai) Corporation

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091118

Termination date: 20190710

CF01 Termination of patent right due to non-payment of annual fee